Vous êtes sur la page 1sur 3

`timescale 1ns / 1ps

//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 13:26:03 08/27/2012
// Design Name:
// Module Name: ClockDivide3
// Project Name:
// Target Devices:
// Tool versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
module power_2(
input clk,
input clk_e,
input reset,
output reg[3:0] out
);
//reg [3:0] o_put;

reg div_clk;
reg [26:0]delay_count;

//////////clock division block////////////////////


always @(posedge clk or posedge reset)
begin

if(reset)
begin
delay_count<=27'd0;
div_clk <= 1'b0;
end
else
if(delay_count==27'd67108864)
begin
delay_count<=27'd0;
div_clk <= ~div_clk;
end
else
begin
delay_count<=delay_count+1;
end
end

always @(posedge div_clk or posedge reset)


begin

if (reset)
out <= 1;
else
if (clk_e)
//#500000;
begin
out <= out*2;
if(out==8)
out <=1 ;
end
end

endmodule

Vous aimerez peut-être aussi