Vous êtes sur la page 1sur 43

1

CENTRINO

PG 37

1.25VDDR
2.5VDDR

BATT CHARGER
AC/BATT
CONNECTOR

PG 31
1.5V/1.8V
PG 32
System Power
MAX1632
PG 34

ZI6 BLOCK DIAGRAM

BATT SELECTOR

DC/DC

#:TM8000 External Gra.


install

REQ#/GNT#
X
3
2
1
0
X

*: Depop component

Banias/Dothan

PG 12

Port Replicator

CPU CORE

PG 33

(Micro-FCPGA)

LVDS

PS2 X2
1S1P
LINE-IN
LINE-OUT
MICROPHONE-IN
USB 1.1 X2
CRT
S-VIDEO
LAN

Panel Connector

PG 3,4

4X100MHZ

DDR-SODIMM1

+1.5V

PG 10

LVDS

Video
Controller

DVI

ATI M11CSP64

Montara-GM+

DDR-SODIMM2

AGP 1.5V, 66MHz VGA_CORE(+1.2V)

TV out

+1.8V

266/333 MHZ DDR

PG 10

IDSEL
X
22
23
20
18
X
A

PG 36

+2.5V

TV out

732 Micro-FCBGA

PG 30

CRT port

R.G,B

DVI

PG 5,6,7

DDR-Termiation

Chrontel 7009

PG 11

Primary IDE
HDD

PIRQ#
X
C, (B)
B
E, F
D
X

VGA
CARDBUS
IEEE 1394
MINI-PCI
LAN
USB 2.0

@:TM6000 Internal Gra.


install

CLOCKS

DVOB

66(266)MHZ, 1.8V
HUB I/F

TV EN-CONDE

ICH4-M

ATA 66/100
PG 17

R.G,B

421 BGA
PG 8,9

Secondary IDE - Swap Bay


(Optical Drive, 2ND HDD)

PG 17

USB 2.0
4-IN-1 SLOT
PG 19

33MHZ, 3.3V PCI

USB connec*4

PG 28

AC LINK
USB 1.1

3.3V LPC, 33MHz


LED/B
Connector
PG 19

BCM5705M
PG 22

MDC
SIO PC87391

PC87591

100 Pins TQFP

176 Pins LQFP

AC LINK

CONNECTOR
PG 21

PG 26

RJ11

CALEXICO

RJ45
PG 16

PG 21

CARDBUS
OZ711EC1
PG 18
CARDBUS SLOT
SCR SLOT
PG 18

AUDIO
AMP
TPA0312

PG 24
Parallel
PG 26

Touchpad
PG 19

Keyboard
PG 29

FLASH
PG 27

PG 25

EXT. /INT.
MIC

QUANTA
COMPUTER

PG 24, 25

Schematic Block Diagram1

FAN 1,2
PG 29

Size

Document Number

R ev
D

ZI6
Date:

1394 CONN.
PG 20

LINE IN

Title

FIR
PG 26

IEEE-1394
TSB43AB21
PG 20

HEAD PHONE

AUDIO
CODEC

MINI-PCI
SOCKET
PG 21

LAN

Friday, April 02, 2004


7

Sheet

1
8

of

43

PU8
MAX1632
VL

PU1
SC1565

ALWAYS ON VOLTAGE

EN

3V_591

2.5VSUS

VIN

VOUT

5VPCU

CTRL
MAINON

12VOUT

+1.8V

PQ10 NPN + PQ9 PMOS


PU4
SC1486
5VSUS

12VOUT

VOUT

VIN

2.5VSUS
7.5A

EN

+12V

CTRL
MAINON
PQ5 SI5402
NMOS

SMDDR_VTERM
3A
1.5V_S5

VIN

VOUT

PU3
MAX1844
S5_ON

+1.5V

CTRL
1.5V_S5

EN

MAIND

PQ40 SI9936
2-NMOS
PQ39

G
S5_ON

PQ11

NPN

E
G

3V_S5

5V_S5

PQ4 SI9936
2-NMOS

NPN

S5_LAN

S3_MODEM

D D

PQ12

LANVCC

3V_MODEM

D D

NPN

3V_591 3V_591

3V_591 5VPCU
PQ3 SI9936
2-NMOS

PU6
MAX1907
MAIND
VRON

VCC_CORE
25A

EN

G
G

+3V

+2.5V

D D

SUSON

V TRANS CIRCUIT

SUSD

MAINON

V TRANS CIRCUIT

MAIND

3V_591 2.5VSUS
PU2
SC338

5VSUS

VIN

CTRL
VRON

PQ1 SI9936
2-NMOS
DRV1

DRV2

VTT
(1.05V)

1.2VCCT

PQ38 SI9936
2-NMOS
MAIND

+5V

SUSD

5VSUS

1.5V_S5

D D

Title

5VPCU 5VPCU

Size

POWER BLOCK DIAGRAM

Document Number

R ev
D

ZI6
Date:

QUANTA
COMPUTER
Friday, April 02, 2004
1

Sheet

of

43

+3V

R2
P3
T2
P1
T1

HREQ#0
HREQ#1
HREQ#2
HREQ#3
HREQ#4

<5> ADS#

IERR#

REQ0#
REQ1#
REQ2#
REQ3#
REQ4#

N2

ADS#

ERROR
SIGNALS

A4

IERR#

<5> HBREQ0#
<5> BPRI#
<5> BNR#
<5> HLOCK#

N4
J3
L1
J2

BREQ0#
BPRI#
BNR#
LOCK#

ARBITRATION
PHASE
SIGNALS

<5> HIT#
<5> HITM#
<5> DEFER#

K3
K4
L4

HIT#
HITM#
DEFER#

SNOOP PHASE
SIGNALS

BPM0#
BPM1#
BPM2#
BPM3#
TRDY#
RS0#
RS1#
RS2#

RESPONSE
PHASE
SIGNALS

<5> HTRDY#
<5> RS#0
<5> RS#1
<5> RS#2

C8
B8
A9
C9
M3
H1
K1
L2

<8> A20M#
<8> FERR#
<8> IGNNE#
<9> CPUPWRGD
<8> SMI#

A20M#
C2
FERR#
D3
IGNNE#
A3
R_CPUPWRGD E4
SMI#
B4

BPM0#
BPM1#
BPM2#
BPM3#

R513

T29 *PAD
T28 *PAD

PC
COMPATIBILITY
SIGNALS

A13
A12
C12
C11
B13
A16
A15
B10
A10
A7

TCK
TDO
TDI
TMS
TRST#
ITP_CLK0
ITP_CLK1
PREQ#
PRDY#
DBR#

DIAGNOSTIC
& TEST
SIGNALS

<9> DBR#

STPCLK#
CPUSLP#
DPSLP#

D1
D4
C6
A6
B7

<8> INTR
<8> NMI
<8> STPCLK#
<8> CPUSLP#
<6,8> DPSLP#

LINT0
LINT1
STPCLK#
SLP#
DPSLP#

EXECUTION
CONTROL
SIGNALS

THERMDA
THERMDC

B18
A18

THERMDA
THERMDC

<9> THERMTRIP#

PREQ#
P RDY#
DBR#

THERMTRIP# C17
CPU_PROCHOT# B17

C23
C22
K24
L24
W25
W24
AE24
AE25

HDSTBN0#
HDSTBP0#
HDSTBN1#
HDSTBP1#
HDSTBN2#
HDSTBP2#
HDSTBN3#
HDSTBP3#

DBI0#
DBI1#
DBI2#
DBI3#

D25
J26
T24
AD20

HDBI0#
HDBI1#
HDBI2#
HDBI3#

M2
H2

DBSY# <5>
DRDY# <5>

10K
THDAT_SMB

1
Q37
RHU002N06

THCLK_SMB

SMCLK

VCC

THDAT_SMB

SMDATA

DXP

T117

-ALT

DXN

*PAD

GND

-OVT

+5V

MBCLK

<31,42> MBCLK

THERMDA

THERMDC
R324
2

1K
1

THCLK_SMB

DBSY#
DRDY#

THERMTRIP#

BCLK1
BCLK0

+3V
6648_OVT# <33>

Q35
RHU002N06

+3V

+3V

VTT

R336
IERR#
CPUPWRGD

R520
R519

56
330

R337

*2K/F

TCK
TRST#

R322
R516

330
1

VTT
27.4/F
680
R333

ICH_THRM#

ICH_THRM# <9,33>

56/F

R516 should
be place
within 2" of
the processor ;
others place
near ITP

CPU_PROCHOT#

R332

Q40

Q44
*RHU002N06

1 2

*MMBT3904

*330

VTT

VTT
+3V

B14
B15

<5>
<5>
<5>
<5>
<5>
<5>
<5>
<5>

R440

R435

R431

R428

54.9/F

*54.9/F

39.2/F

150

150
TDI
TMS

DBR#

TDO

<5>
<5>
<5>
<5>

CPURST#

HCLK_CPU# <12>
HCLK_CPU <12>

BPM0#

T120

*PAD

BPM1#

T121

*PAD

BPM2#

T122

*PAD

BPM3#

T124

*PAD

P RDY#

T125

*PAD

PREQ#

T126

*PAD

Del ITP700
Del R434,R439,C542,R442

B5

CPUINIT#

RESET#

B11

R_CPURST# R515

DPWR#

C19

INIT#

THERMAL DIODE

CPUINIT# <8>
0

CPURST# <5>
DPWR# <6>
D

PROCHOT#

QUANTA
COMPUTER

Title

Banias Processor (HOST)


Size

Document Number

R ev
D

ZI6
Date:
2

Banias_Processor

C407
2200P

MAX6648

For ATE

C415
0.1U/50V

U26

R443

A20M#
FERR#
IGNNE#
PWRGOOD
SMI#

TCK
TDO
TDI
TMS
TRST#

DSTBN0#
DSTBP0#
DSTBN1#
DSTBP1#
DSTBN2#
DSTBP2#
DSTBN3#
DSTBP3#

R328

10K

DATA
PHASE
SIGNALS

REQUEST
PHASE
SIGNALS

MBDATA

<23,31> MBDATA

R330

ADSTB0#
ADSTB1#

1 OF 3

A19
A25
A22
B21
A24
B26
A21
B20
C20
B24
D24
E24
C26
B23
E23
C25
H23
G25
L23
M26
H24
F25
G24
J23
M23
J25
L26
N24
M25
H26
N25
K25
Y26
AA24
T25
U23
V23
R24
R26
R23
AA23
U26
V24
U25
V26
Y23
AA26
Y25
AB25
AC23
AB24
AC20
AC22
AC25
AD23
AE22
AF23
AD24
AF20
AE21
AD21
AF25
AF22
AF26

U3
AE5

Banias

HD#0
HD#1
HD#2
HD#3
HD#4
HD#5
HD#6
HD#7
HD#8
HD#9
HD#10
HD#11
HD#12
HD#13
HD#14
HD#15
HD#16
HD#17
HD#18
HD#19
HD#20
HD#21
HD#22
HD#23
HD#24
HD#25
HD#26
HD#27
HD#28
HD#29
HD#30
HD#31
HD#32
HD#33
HD#34
HD#35
HD#36
HD#37
HD#38
HD#39
HD#40
HD#41
HD#42
HD#43
HD#44
HD#45
HD#46
HD#47
HD#48
HD#49
HD#50
HD#51
HD#52
HD#53
HD#54
HD#55
HD#56
HD#57
HD#58
HD#59
HD#60
HD#61
HD#62
HD#63

D0#
D1#
D2#
D3#
D4#
D5#
D6#
D7#
D8#
D9#
D10#
D11#
D12#
D13#
D14#
D15#
D16#
D17#
D18#
D19#
D20#
D21#
D22#
D23#
D24#
D25#
D26#
D27#
D28#
D29#
D30#
D31#
D32#
D33#
D34#
D35#
D36#
D37#
D38#
D39#
D40#
D41#
D42#
D43#
D44#
D45#
D46#
D47#
D48#
D49#
D50#
D51#
D52#
D53#
D54#
D55#
D56#
D57#
D58#
D59#
D60#
D61#
D62#
D63#

A3#
A4#
A5#
A6#
A7#
A8#
A9#
A10#
A11#
A12#
A13#
A14#
A15#
A16#
A17#
A18#
A19#
A20#
A21#
A22#
A23#
A24#
A25#
A26#
A27#
A28#
A29#
A30#
A31#

<5> HADSTB0#
<5> HADSTB1#

P4
U4
V3
R3
V2
W1
T4
W2
Y4
Y1
U1
AA3
Y3
AA2
AF4
AC4
AC7
AC3
AD3
AE4
AD2
AB4
AC6
AD5
AE2
AD6
AF3
AE1
AF1

HA#3
HA#4
HA#5
HA#6
HA#7
HA#8
HA#9
HA#10
HA#11
HA#12
HA#13
HA#14
HA#15
HA#16
HA#17
HA#18
HA#19
HA#20
HA#21
HA#22
HA#23
HA#24
HA#25
HA#26
HA#27
HA#28
HA#29
HA#30
HA#31

<5>
<5>
<5>
<5>
<5>

HD#[0..63] <5>

HA#[3..31]

<5> HA#[3..31]

150/F
2

HD#[0..63]

U21A

R325

+3V

+5V

Friday, April 02, 2004


7

Sheet

3
8

of

43

GTLREF: 2/3 VCCP+-2%


These 4 Resistors need to place with 0.5'' of CPU.
Comp0,2 trace need to be zo=27.4 ohm,
comp1,3 traces need to be zo=55 ohm.

T<0.5" 25 mils space

COMP0
COMP1
COMP2
COMP3
R308

COMP0
COMP1
COMP2
COMP3

R307

R291

R290

P25
P26
AB2
AB1

1K/F

COMP0
COMP1
COMP2
COMP3

54.9/F

27.4/F

GTLREF0 AD26
E26
G1
AC1

54.9/F
R296

GTLREF0
GTLREF1
GTLREF2
GTLREF3

Banias
2 OF 3

2K/F
TEST1
TEST2
CPU_VCCA

C5
F23

TEST1
TEST2

A0 CPU install R514 R502


R514

R502

*1K

*1K

POWER,
GROUND,
RESERVED
SIGNALS

A1 CPU not install R514 R502


C399

C396

C337

C338

C335

C393

C662

C648

0.01U/50V

0.01U/50V

0.01U/50V

0.01U/50V

10U/6.3V
X5R

10U/6.3V
X5R

10U/6.3V
X5R

10U/6.3V
X5R

+1.8V
+1.5V

R319

CPU_VCCA
0_0805

R300
*0_0805

AC26
N1
B1
F26

VCCA3
VCCA2
VCCA1
VCCA0

VCC_CORE

1.5V option is not used.


It is ok to leave in there.
VCC_CORE

VCC_CORE

C664

C665

C603

C311

10U/6.3V
X5R

10U/6.3V
X5R

10U/6.3V
X5R

*10U/6.3V 10U/6.3V
X5R
X5R

C590

VCC_CORE

C594

C582

C581

C580

C310

10U/6.3V
X5R

10U/6.3V
X5R

10U/6.3V
X5R

10U/6.3V
X5R

*10U/6.3V
X5R

VCC_CORE

C583

C572

C608

C577

10U/6.3V
X5R

10U/6.3V
X5R

10U/6.3V
X5R

*10U/6.3V *10U/6.3V
X5R
X5R

C578

C629

C628

C639

10U/6.3V
X5R

10U/6.3V
X5R

10U/6.3V
X5R

VCC_CORE

VCC_CORE

C650

C651

C640

C619

C679

C380

C783

C781

C355

C365

10U/6.3V
X5R

10U/6.3V
X5R

10U/6.3V
X5R

10U/6.3V
X5R

10U/6.3V
X5R

10U/6.3V
X5R

10U/6.3V
X5R

10U/6.3V
X5R

10U/6.3V
X5R

10U/6.3V
X5R

VCC_CORE

C375

C383

C358

C351

C782

10U/6.3V
X5R

10U/6.3V
X5R

10U/6.3V
X5R

10U/6.3V
X5R

10U/6.3V
X5R

10U/6.3V Total
caps (X5R)
33PCS=330U

VTT
VCC_CORE
C392 C701 C356
C698

C610

C700

C699

C379 C697

C378

C680

C611
0.1U/50V
0.1U/50V
0.1U/50V 0.1U/50V
0.1U/50V .01U/25V_040210U/6.3V
X5R

1000P/50V
1000P/50V
1000P/50V
1000P/50V
1000P/50V
VTT
D

VTT
VTT

VTT

U21B

VTT

R299

27.4/F

25 MILS (>50 MILS PREFFERD) SPACE


T < 0.5"

D6
D8
D18
D20
D22
E5
E7
E9
E17
E19
E21
F6
F8
F18
F20
F22
G5
G21
H6
H22
J5
J21
K22
U5
V6
V22
W5
W21
Y6
Y22
AA5
AA7
AA9
AA11
AA13
AA15
AA17
AA19
AA21
AB6
AB8
AB10
AB12
AB14
AB16
AB18
AB20
AB22
AC9
AC11
AC13
AC15
AC17
AC19
AD8
AD10
AD12
AD14
AD16
AD18
AE9
AE11
AE13
AE15
AE17
AE19
AF8
AF10
AF12
AF14
AF16
AF18

VCC00
VCC01
VCC02
VCC03
VCC04
VCC05
VCC06
VCC07
VCC08
VCC09
VCC10
VCC11
VCC12
VCC13
VCC14
VCC15
VCC16
VCC17
VCC18
VCC19
VCC20
VCC21
VCC22
VCC23
VCC24
VCC25
VCC26
VCC27
VCC28
VCC29
VCC30
VCC31
VCC32
VCC33
VCC34
VCC35
VCC36
VCC37
VCC38
VCC39
VCC40
VCC41
VCC42
VCC43
VCC44
VCC45
VCC46
VCC47
VCC48
VCC49
VCC50
VCC51
VCC52
VCC53
VCC54
VCC55
VCC56
VCC57
VCC58
VCC59
VCC60
VCC61
VCC62
VCC63
VCC64
VCC65
VCC66
VCC67
VCC68
VCC69
VCC70
VCC71

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

A2
A5
A8
A11
A14
A17
A20
A23
A26
B3
B6
B9
B12
B16
B19
B22
B25
C1
C4
C7
C10
C13
C15
C18
C21
C24
D2
D5
D7
D9
D11
D13
D15
D17
D19
D21
D23
D26
E3
E6
E8
E10
E12
E14
E16
E18
E20
E22
E25
F1
F4
F5
F7
F9
F11
F13
F15
F17
F19
F21
F24
G2
G6
G22
G23
G26
H3
H5
H21
H25
J1
J4
J6
J22
J24
K2
K5
K21
K23
K26
L3
L6
L22
L25
M1
M4
M5
M21
M24
N3
N6
N22
N23
N26
P2
P5
P21
P24
R1
R4

U21C

D10
D12
D14
D16
E11
E13
E15
F10
F12
F14
F16
K6
L5
L21
M6
M22
N5
N21
P6
P22
R5
R21
T6
T22
U21
P23
W4

<38>
<38>
<38>
<38>
<38>
<38>

E2
F2
F3
G3
G4
H4

CPU_VID0
CPU_VID1
CPU_VID2
CPU_VID3
CPU_VID4
CPU_VID5

R474
R481

T91
T41
T30
T90

*54.9/F
*54.9/F

*PAD
*PAD
*PAD
*PAD

T89 *PAD

R326
*1K

C770

C387

C366

C359

C364

C350

C391 C370 C348 C386 C390

.01U/25V_0402

0.1U/50V
0.1U/50V
0.1U/50V
0.1U/50V
0.1U/50V

+
150U/6.3V
SPC
CC7343

*150U/6.3V .01U/25V_0402.01U/25V_0402.01U/25V_04020.01U/50V
SPC
CC7343

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

Banias
3 OF 3
POWER, GROUND AND NC

VID0
VID1
VID2
VID3
VID4
VID5

AE7
AF6

VCCSENSE
VSSSENSE

B2
AF7
C14
C3
C16
E1

NC0
NC1
NC2
NC3
TEST3
PSI

R6
R22
R25
T3
T5
T21
T23
T26
U2
U6
U22
U24
V1
V4
V5
V21
V25
W3
W6
W22

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

VID

W23
W26
Y2
Y5
Y21
Y24
AA1
AA4
AA6
AA8
AA10
AA12
AA14
AA16
AA18
AA20
AA22
AA25
AB3
AB5
AB7
AB9
AB11
AB13
AB15
AB17
AB19
AB21
AB23
AB26
AC2
AC5
AC8
AC10
AC12
AC14
AC16
AC18
AC21
AC24
AD1
AD4
AD7
AD9
AD11
AD13
AD15
AD17
AD19
AD22
AD25
AE3
AE6
AE8
AE10
AE12
AE14
AE16
AE18
AE20
AE23
AE26
AF2
AF5
AF9
AF11
AF13
AF15
AF17
AF19
AF21
AF24

VCC,BOOT 1.2V
VCC_CORE 1.468V
(DEEPER SLEEP) 0.956V
VTT 1.05V
VCCA 1.8V

QUANTA
COMPUTER

Title

Banias Processor (POWER)


Document Number

R ev
D

ZI6
Date:
2

Size

Banias_Processor

Banias_Processor
C730
+

VCCP0
VCCP1
VCCP2
VCCP3
VCCP4
VCCP5
VCCP6
VCCP7
VCCP8
VCCP9
VCCP10
VCCP11
VCCP12
VCCP13
VCCP14
VCCP15
VCCP16
VCCP17
VCCP18
VCCP19
VCCP20
VCCP21
VCCP22
VCCP23
VCCP24
VCCP25
VCCP26

Friday, April 02, 2004


7

Sheet

4
8

of

43

U22A
HD#[0..63]

<3> ADS#
<3> HADSTB0#
<3> HADSTB1#

L28
T26
AA26

ADS#
HADSTB#0
HADSTB#1
HREQ#0
HREQ#1
HREQ#2
HREQ#3
HREQ#4

<3> RS#0
<3> RS#1
<3> RS#2
<3> DBSY#
<3> BPRI#
<3> BNR#
<3> HBREQ0#
<3> HLOCK#
<3> HIT#
<3> HITM#
<3> DRDY#
<3> HTRDY#
<3> DEFER#

N23
P26
M27
M26
P28
N25
M23
P27
N27
N28
N24
M25
M28

RS#0
RS#1
RS#2
DBSY#
BPRI#
BNR#
BREQ0#
HLOCK#
HIT#
HITM#
DRDY#
HTRDY#
DEFER#

<3> CPURST#

F15

CPURST#

AE29
AD29
HXSWING
HYS WING
HXRCOMP
HYRCOMP

27.4/F
27.4/F

HL0
HL1
HL2
HL3
HL4
HL5
HL6
HL7
HL8
HL9
HL10

HL[0..10]

<9> HL[0..10]
<9> HLSTB
<9> HLSTB#

C326

37.4/F HLRCOMP

R476
HUBSWING_MCH
HUBVREF_GMCH

B18
K28
B20
H28

K22
H27
K25
L24
J27
G28
L27
L23
L25
J24
H25
K23
G27
K26
J23
H26
F25
F26
B27
H23
E27
G25
F28
D27
G24
C28
B26
G22
C26
E26
G23
B28
B21
G21
C24
C23
D22
C25
E24
D24
G20
E23
B22
B23
F23
F21
C20
C21
G18
E19
E20
G17
D20
F19
C19
C17
F17
B19
G16
E16
C16
E17
D16
C18

DINV#0
DINV#1
DINV#2
DINV#3

J25
E25
B25
G19

HDBI0#
HDBI1#
HDBI2#
HDBI3#

HDSTBN#0
HDSTBP#0
HDSTBN#1
HDSTBP#1
HDSTBN#2
HDSTBP#2
HDSTBN#3
HDSTBP#3

J28
K27
C27
D26
E22
E21
D18
E18

HDSTBN0#
HDSTBP0#
HDSTBN1#
HDSTBP1#
HDSTBN2#
HDSTBP2#
HDSTBN3#
HDSTBP3#

HAVREF
HCCVREF
HDVREF0
HDVREF1
HDVREF2

Y22
Y28
K21
J21
J17

BCLK
BCLK#
HXSWING
HYSWING
HXRCOMP
HYRCOMP

U7
U4
U3
V3
W2
W6
V6
W7
T3
V5
V4

HI_0
HI_1
HI_2
HI_3
HI_4
HI_5
HI_6
HI_7
HI_8
HI_9
HI_10

W3
V2

HLSTB
HLSTB#

T2
U2
W1

HLRCOMP
PSWING
HLVREF

HUB I/F

R501
R528

HD#[0..63] <3>

HD#0
HD#1
HD#2
HD#3
HD#4
HD#5
HD#6
HD#7
HD#8
HD#9
HD#10
HD#11
HD#12
HD#13
HD#14
HD#15
HD#16
HD#17
HD#18
HD#19
HD#20
HD#21
HD#22
HD#23
HD#24
HD#25
HD#26
HD#27
HD#28
HD#29
HD#30
HD#31
HD#32
HD#33
HD#34
HD#35
HD#36
HD#37
HD#38
HD#39
HD#40
HD#41
HD#42
HD#43
HD#44
HD#45
HD#46
HD#47
HD#48
HD#49
HD#50
HD#51
HD#52
HD#53
HD#54
HD#55
HD#56
HD#57
HD#58
HD#59
HD#60
HD#61
HD#62
HD#63

HD#0
HD#1
HD#2
HD#3
HD#4
HD#5
HD#6
HD#7
HD#8
HD#9
HD#10
HD#11
HD#12
HD#13
HD#14
HD#15
HD#16
HD#17
HD#18
HD#19
HD#20
HD#21
HD#22
HD#23
HD#24
HD#25
HD#26
HD#27
HD#28
HD#29
HD#30
HD#31
HD#32
HD#33
HD#34
HD#35
HD#36
HD#37
HD#38
HD#39
HD#40
HD#41
HD#42
HD#43
HD#44
HD#45
HD#46
HD#47
HD#48
HD#49
HD#50
HD#51
HD#52
HD#53
HD#54
HD#55
HD#56
HD#57
HD#58
HD#59
HD#60
HD#61
HD#62
HD#63

HOST

R28
P25
R23
R25
T23

<12> HCLK_MCH
<12> HCLK_MCH#

1.2VCCT

HA#3
HA#4
HA#5
HA#6
HA#7
HA#8
HA#9
HA#10
HA#11
HA#12
HA#13
HA#14
HA#15
HA#16
HA#17
HA#18
HA#19
HA#20
HA#21
HA#22
HA#23
HA#24
HA#25
HA#26
HA#27
HA#28
HA#29
HA#30
HA#31

HREQ#0
HREQ#1
HREQ#2
HREQ#3
HREQ#4

<3>
<3>
<3>
<3>
<3>

P23
T25
T28
R27
U23
U24
R24
U28
V28
U27
T27
V27
U25
V26
Y24
V25
V23
W25
Y25
AA27
W24
W23
W27
Y27
AA28
W28
AB27
Y26
AB28

<10,11> R_MA1
<10,11> R_MA2
<10,11> R_MA4
<10,11> R_MA5

T80 *PAD

<10,11>
<10,11>
<10,11>
<10,11>

-SM_CS0
-SM_CS1
-SM_CS2
-SM_CS3

<10,11> R_SRASA#
<10,11> R_SCASA#
<10,11> R_BMWEA#
<10,11>
<10,11>
<10,11>
<10,11>

CKE0
CKE1
CKE2
CKE3

R_MA0
R_MA1
R_MA2
R_MA3
R_MA4
R_MA5
R_MA6
R_MA7
R_MA8
R_MA9
R_MA10
R_MA11
R_MA12

AC18
AD14
AD13
AD17
AD11
AC13
AD8
AD7
AC6
AC5
AC19
AD5
AB5

SMA0
SMA1
SMA2
SMA3
SMA4
SMA5
SMA6
SMA7
SMA8
SMA9
SMA10
SMA11
SMA12

R_SM_DQS0
R_SM_DQS1
R_SM_DQS2
R_SM_DQS3
R_SM_DQS4
R_SM_DQS5
R_SM_DQS6
R_SM_DQS7
R_SM_DQS8

AG2
AH5
AH8
AE12
AH17
AE21
AH24
AH27
AD15

SDQS0
SDQS1
SDQS2
SDQS3
SDQS4
SDQS5
SDQS6
SDQS7
SDQS8

SMA_B1
SMA_B2
SMA_B4
SMA_B5

AD16
AC12
AF11
AD10

SMA_B1
SMA_B2
SMA_B4
SMA_B5

-SM_CS0
-SM_CS1
-SM_CS2
-SM_CS3

AD23
AD26
AC22
AC25

SCS#0
SCS#1
SCS#2
SCS#3

R_SDM0
R_SDM1
R_SDM2
R_SDM3
R_SDM4
R_SDM5
R_SDM6
R_SDM7
R_SDM8

AE5
AE6
AE9
AH12
AD19
AD21
AD24
AH28
AH15

SDM0
SDM1
SDM2
SDM3
SDM4
SDM5
SDM6
SDM7
SDM8

R_BA0
R_BA1

AD22
AD20

SBA0
SBA1

R_SRASA#
R_SCASA#
R_BMWEA#

AC21
AC24
AD25

SRAS#
SCAS#
SWE#

CKE0
CKE1
CKE2
CKE3

AC7
AB7
AC9
AC10

SCKE0
SCKE1
SCKE2
SCKE3

AB2
AA2
AC26
AB25
AC3
AD4
AC2
AD2
AB23
AB24
AA3
AB4

SCMDCLK0
SCMDCLK#0
SCMDCLK1
SCMDCLK#1
SCMDCLK2
SCMDCLK#2
SCMDCLK3
SCMDCLK#3
SCMDCLK4
SCMDCLK#4
SCMDCLK5
SCMDCLK#5

AJ24
SMRCOMP
AB1
SMVSWINGH AJ19
SMVSWINGL AJ22

SMVREF
SMRCOMP
SMVSWINGH
SMVSWINGL

<10> CLK_SDRAM0
<10> CLK_SDRAM0#
<10> CLK_SDRAM1
<10> CLK_SDRAM1#
<10> CLK_SDRAM2
<10> CLK_SDRAM2#
<10> CLK_SDRAM3
<10> CLK_SDRAM3#
<10> CLK_SDRAM4
<10> CLK_SDRAM4#
<10> CLK_SDRAM5
<10> CLK_SDRAM5#

<3>
<3>
<3>
<3>
<3>
<3>
<3>
<3>
<3>
<3>
<3>
<3>

SMDDR_VREF

VTT

C406
R_MA[0..12]
R_SM_DQS[0..7]
R_SDM[0..7]

R503

HAVREF
H CCVREF

R_MA[0..12] <10,11>
R_SM_DQS[0..7] <11>
R_SDM[0..7] <11>

49.9/F

SDQ0
SDQ1
SDQ2
SDQ3
SDQ4
SDQ5
SDQ6
SDQ7
SDQ8
SDQ9
SDQ10
SDQ11
SDQ12
SDQ13
SDQ14
SDQ15
SDQ16
SDQ17
SDQ18
SDQ19
SDQ20
SDQ21
SDQ22
SDQ23
SDQ24
SDQ25
SDQ26
SDQ27
SDQ28
SDQ29
SDQ30
SDQ31
SDQ32
SDQ33
SDQ34
SDQ35
SDQ36
SDQ37
SDQ38
SDQ39
SDQ40
SDQ41
SDQ42
SDQ43
SDQ44
SDQ45
SDQ46
SDQ47
SDQ48
SDQ49
SDQ50
SDQ51
SDQ52
SDQ53
SDQ54
SDQ55
SDQ56
SDQ57
SDQ58
SDQ59
SDQ60
SDQ61
SDQ62
SDQ63
SDQ64
SDQ65
SDQ66
SDQ67
SDQ68
SDQ69
SDQ70
SDQ71

AF2
AE3
AF4
AH2
AD3
AE2
AG4
AH3
AD6
AG5
AG7
AE8
AF5
AH4
AF7
AH6
AF8
AG8
AH9
AG10
AH7
AD9
AF10
AE11
AH10
AH11
AG13
AF14
AG11
AD12
AF13
AH13
AH16
AG17
AF19
AE20
AD18
AE18
AH18
AG19
AH20
AG20
AF22
AH22
AF20
AH19
AH21
AG22
AE23
AH23
AE24
AH25
AG23
AF23
AF25
AG25
AH26
AE26
AG28
AF28
AG26
AF26
AE27
AD27
AG14
AE14
AE17
AG16
AH14
AE15
AF16
AF17

SRCVENIN#
SRCVENOUT#

AC16
AC15

R_MD[0..63]

R_MD0
R_MD1
R_MD2
R_MD3
R_MD4
R_MD5
R_MD6
R_MD7
R_MD8
R_MD9
R_MD10
R_MD11
R_MD12
R_MD13
R_MD14
R_MD15
R_MD16
R_MD17
R_MD18
R_MD19
R_MD20
R_MD21
R_MD22
R_MD23
R_MD24
R_MD25
R_MD26
R_MD27
R_MD28
R_MD29
R_MD30
R_MD31
R_MD32
R_MD33
R_MD34
R_MD35
R_MD36
R_MD37
R_MD38
R_MD39
R_MD40
R_MD41
R_MD42
R_MD43
R_MD44
R_MD45
R_MD46
R_MD47
R_MD48
R_MD49
R_MD50
R_MD51
R_MD52
R_MD53
R_MD54
R_MD55
R_MD56
R_MD57
R_MD58
R_MD59
R_MD60
R_MD61
R_MD62
R_MD63
T81
T78
T85
T86
T79
T82
T83
T88

*PAD
*PAD
*PAD
*PAD
*PAD
*PAD
*PAD
*PAD
C

0.1U/50V
Montara-GM+

T87 *PAD
T84 *PAD

remove RCVENIN#
and RCVENOUT#

C685

C710 C707 C693

R500

C312
+2.5V

100/F

Montara-GM+

0.01U/50V

VTT

VTT

+2.5V

+2.5V

R472

R312

60.4/F

150/F

C561

R294
VTT

R_MD[0..63] <11>

H DVREF

+1.5V

VTT

SMA_B1
SMA_B2
SMA_B4
SMA_B5

T27 *PAD

1U/10V_0805
0.1U/50V
0.1U/50V
0.1U/50V
0.01U/50V

<10,11>
<10,11>
<10,11>
<10,11>

<10,11> R_BA0
<10,11> R_BA1

HA#3
HA#4
HA#5
HA#6
HA#7
HA#8
HA#9
HA#10
HA#11
HA#12
HA#13
HA#14
HA#15
HA#16
HA#17
HA#18
HA#19
HA#20
HA#21
HA#22
HA#23
HA#24
HA#25
HA#26
HA#27
HA#28
HA#29
HA#30
HA#31

DDR 200/266 MHz

HA#[3..31]

<3> HA#[3..31]

U22C

SMRCOMP

C394

0.1U/50V

R315
604/F
SMVSWINGH

C398

0.1U/50V

SMVSWINGL

0.1U/50V

R471

R309

C384

R317

C402

60.4/F

604/F

0.1U/50V

150/F

0.1U/50V

255/F
R526

R521

49.9/F

49.9/F

R524

301/F

301/F

R297
HYS WING

162/F
HXSWING

C325

HAVREF

H CCVREF

C586
0.1U/50V

0.1U/50V
C777

C787

R313

R525

C784

100/F

1U/10V_0805
0.1U/50V

C785

R523

QUANTA
COMPUTER

R316

C400

R522

C795
R293

150/F

0.01U/50V

150/F

1U/6.3V

0.1U/50V

HUBVREF_GMCH
100/F

C313
Title

0.01U/50V
127/F

HUBSWING_MCH

0.1U/50V

Montara - GM+ ( HOST & DDR )


Size

Document Number

R ev
D

ZI6
Date:
1

Friday, April 02, 2004


7

Sheet

5
8

of

43

+3V

U22B

VSS

DVOBCLK
DVOBCLK#
GAD0 DVOBHSYNC
GAD1 DVOBVSYNC
DVOBLANK#
GAD14 DVOBFLDSTL
GAD30 DVOBINTRB#
GAD13 DVOBCLKINT

<13,17> AD_STB0
<13,17> AD_STB0#
<13,17> GAD0
<13,17> GAD1
<13,17> GCBE1#
<13,17> GAD14
<13,17> GAD30
<13,17> GAD13

GAD19
GAD20
GAD21
GAD22
GAD23
GCBE3#
GAD25
GAD24
GAD27
GAD26
GAD29
GAD28

<13> GTRDY#/DVICLK
<13> GFRAME#/DVIDATA

GAD15

J3
J2
K6
L5
L4
L3
H5
K7
N6
N7
M6
P7
T7

DVOCCLK/GADSTB1
DVOCCLK#/GADSTB1#
DVOCHSYNC/GAD17
DVOCVSYNC/GAD16
RVSD4/GCBE#2
DVOCBLANK#/GAD18
DVOCFLDSTL/GAD31
I2CCLK/GIRDY#
I2CDATA/GDEVSEL#
DVICLK/GTRDY#
DVIDATA/GFRAME#
DVI2CLK/GSTOP#
DVI2DATA/GAD15

SBA0
SBA1
SBA2
SBA3
SBA4
SBA5
SBA6
SBA7

E5
F5
E3
E2
G5
F4
G6
F6

ADDID0/GSBA0
ADDID1/GSBA1
ADDID2/GSBA2
ADDID3/GSBA3
ADDID4/GSBA4
ADDID5/GSBA5
ADDID6/GSBA6
ADDID7/GSBA7

DPMSCLK

L7
D5

ADDETECT/GPAR
DPMS/GPIPE#

AGP_BUSY#
CLK66_MCH
40.2/F DVORCOMP
VREF

F7
Y3
D1
F1

AGPBUSY#
CLK66IN
DVORCOMP
GVREF

GAD17
GAD16
GAD18
GAD31
GTRDY#/DVICLK
GFRAME#/DVIDATA

GPAR

<9,13> AGP_BUSY#
<12> CLK66_MCH

R295

<13,17> VREF

Check AGP_BUSY# on ext VGA

DVOBCLK/GADSTB0
DVOBCLK#/GADSTB0#
DVOBHSYNC/GAD0
DVOBVSYNC/GAD1
DVOBLANK#/GCBE#1
DVOBFLDSTL/GAD14
DVOBINTRB#/GAD30
DVOBCLKINT/GAD13
DVOCD0/GAD19
DVOCD1/GAD20
DVOCD2/GAD21
DVOCD3/GAD22
DVOCD4/GAD23
DVOCD5/GCBE#3
DVOCD6/GAD25
DVOCD7/GAD24
DVOCD8/GAD27
DVOCD9/GAD26
DVOCD10/GAD29
DVOCD11/GAD28

<13> GSTOP#
<13> GAD15
<13> SBA[0..7]

<13> GPAR

P3
P4
T6
T5
L2
M2
G2
M3
K5
K1
K3
K2
J6
J5
H2
H1
H3
H4
H6
G3

<13> GAD19
<13> GAD20
<13> GAD21
<13> GAD22
<13> GAD23
<13> GCBE3#
<13> GAD25
<13> GAD24
<13> GAD27
<13> GAD26
<13> GAD29
<13> GAD28
<13> AD_STB1
<13> AD_STB1#
<13> GAD17
<13> GAD16
<13> GCBE2#
<13> GAD18
<13> GAD31
<13,17> GIRDY#
<13,17> GDEVSEL#

DVOBD0/GAD3
DVOBD1/GAD2
DVOBD2/GAD5
DVOBD3/GAD4
DVOBD4/GAD7
DVOBD5/GAD6
DVOBD6/GAD8
DVOBD7/GCBE#0
DVOBD8/GAD10
DVOBD9/GAD9
DVOBD10/GAD12
DVOBD11/GAD11

IYAM0
IYAP0
IYAM1
IYAP1
IYAM2
IYAP2
IYAM3
IYAP3
ICLKAM
ICLKAP

G14
F14
E15
E14
C15
C14
C13
B13
D14
E13

LVDS_NB_L0LVDS_NB_L0+
LVDS_NB_L1LVDS_NB_L1+
LVDS_NB_L2LVDS_NB_L2+

IYBM0
IYBP0
IYBM1
IYBP1
IYBM2
IYBP2
IYBM3
IYBP3
ICLKBM
ICLKBP

H12
G12
E12
E11
C12
C11
G11
G10
E10
F10

LVDS_NB_U0LVDS_NB_U0+
LVDS_NB_U1LVDS_NB_U1+
LVDS_NB_U2LVDS_NB_U2+

DDCPCLK
DDCPDATA

B4
C5

PNL_CLK_NB
PNL_DATA_NB

LCDVCCEN
BLKCTL
BLKEN

A5
G8
F8

LVDS

<13,17> GAD3
<13,17> GAD2
<13,17> GAD5
<13,17> GAD4
<13,17> GAD7
<13,17> GAD6
<13,17> GAD8
<13,17> GCBE0#
<13,17> GAD10
<13,17> GAD9
<13,17> GAD12
<13,17> GAD11

R3
R5
R6
R4
P6
P5
N5
P2
N2
N3
M1
M5

DVO

VSS91
VSS92
VSS93
VSS94
VSS95
VSS96
VSS97
VSS98
VSS99
VSS100
VSS101
VSS102
VSS103
VSS104
VSS105
VSS106
VSS107
VSS108
VSS109
VSS110
VSS111
VSS112
VSS113
VSS114
VSS115
VSS116
VSS117
VSS118
VSS119
VSS120
VSS121
VSS122
VSS123
VSS124
VSS125
VSS126
VSS127
VSS128
VSS129
VSS130
VSS131
VSS132
VSS133
VSS134
VSS135
VSS136
VSS137
VSS138
VSS139
VSS140
VSS141
VSS142
VSS143
VSS144
VSS145
VSS146
VSS147
VSS148
VSS149
VSS150
VSS151
VSS152
VSS153
VSS154
VSS155
VSS156
VSS157
VSS158
VSS159
VSS160
VSS161
VSS162
VSS163
VSS164
VSS165
VSS166
VSS167
VSS168
VSS169
VSS170
VSS171
VSS172
VSS173
VSS174
VSS175
VSS176
VSS177
VSS178
VSS179
VSS180

GAD3 DVOBD0
GAD2 DVOBD1
GAD5 DVOBD2
GAD4 DVOBD3
GAD7 DVOBD4
GAD6 DVOBD5
GAD8 DVOBD6
GCBE0# DVOBD7
GAD10 DVOBD8
GAD9 DVOBD9
GAD12 DVOBD10
GAD11 DVOBD11

A2
B1
AH1
AJ2
AJ4
AA9
A28
AJ28
A29
B29
AH29
AJ29

NC11
NC10
NC9
NC8
NC7
NC6
NC5
NC4
NC3
NC2
NC1
NC0

T77 *PAD
T73 *PAD
LVDS_NB_LCLVDS_NB_LC+

LVDS_NB_LC- <18>
LVDS_NB_LC+ <18>
LVDS_NB_U0LVDS_NB_U0+
LVDS_NB_U1LVDS_NB_U1+
LVDS_NB_U2LVDS_NB_U2+

T72 *PAD
T71 *PAD
LVDS_NB_UCLVDS_NB_UC+

DISP_ON_NB

@0_0402
@0_0402
R847

@0_0402

BLON_NB R848

@0_0402

@2.2K_0402 PNL_DATA_NB

R292

*4.7K

R491

*4.7K

R487

@10K

AGP_BUSY#

R484

10K

EXTTS0

R486

@2.2K

R479

@2.2K GDEVSEL#

R482

@100K GAD30

LIBG

A10

RED
GRN
BLU
RED#
GRN#
BLU#

A7
C8
C9
A8
D8
D9

HSYNC
VSYNC
DDCACLK
DDCADATA
REFSET

H10
J9
B6
G9

NB_RED
NB_GRN
NB_BLU

R477
R475

E8

IREF

B7
B17
H9
C6

DREFCLK48
SSCLK66
LCLKCTLA
LCLKCTLB

LCLKCTLB

+1.5V

PNL_CLK <13,18>
PNL_DATA <13,18>
DISP_ON <13,18>
BLON <13,18>

GIRDY#

R865

#1K_0402

R811

@1K_0402

DVOBINTRB#
B

GPAR

+1.5V

NB_RED <16>
NB_GRN <16>
NB_BLU <16>

@39 NBHSYNC
@39 NB VSYNC
NB_DDCCLK
NB_DDCDAT

LCLKCTLA

+3V

<18>
<18>
<18>
<18>
<18>
<18>

LVDS_NB_UC- <18>
LVDS_NB_UC+ <18>
R845
R846

T69 *PAD

<18>
<18>
<18>
<18>
<18>
<18>

@2.2K_0402 PNL_CLK_NB

R858

R497 1.5K/F

If no DVO port, Pull down (RDDP, p207)

NBHSYNC <16>
NBVSYNC <16>
NB_DDCCLK <16>
NB_DDCDAT <16>

R854
R289

*100K
*100K

R288

@100K GAD31

DVOCFLDSTL

R480

@100K GAD14
SBA7
@1K

DVOBFLDSTL
ADDID7

R287

GAD13

DVOBCCLKINT

R495 127/F
+1.5V
DREFCLK
DREFSSCLK
LCLKCTLA
LCLKCTLB

DREFCLK48 <12>
SSCLK66 <12>
LCLKCTLA <12,17>
LCLKCTLB <12,17>

R493
@10K

C329
0.1U/50V

LVDS_NB_L0LVDS_NB_L0+
LVDS_NB_L1LVDS_NB_L1+
LVDS_NB_L2LVDS_NB_L2+

R857

DPWR#
DPSLP#
RSTIN#
PWROK
EXTTS0

AA22
Y23
AD28
J11
D6

RVSD14
RVSD3
RVSD2
RVSD1
RVSD0

D7
AA5
B12
D12
F12

DPWR# <3>
DPSLP# <3,8>
PCIRST1# <8,17,20,21,24>
EXTTS0

Q75
R483

R478

*0

R490

*0

GST0
GST1
GST2

C4
C3
C2

ST0 <13>
ST1 <13>
ST2 <13>

RVSD10/GSBSTB
RVSD6/GSBSTB#
RVSD9/GREQ#
RVSD13/GGNT#

F2
F3
B3
B2

SB_STB <13>
SB_STB# <13>
GREQ# <13>
GGNT# <13>

RVSD7/GRBF#
RVSD11/GWBF#

D3
D2

GRBF# <13>
GWBF# <13>

MISC

DPMSCLK
3

VSS0
VSS1
VSS2
VSS3
VSS4
VSS5
VSS6
VSS7
VSS8
VSS9
VSS10
VSS11
VSS12
VSS13
VSS14
VSS15
VSS16
VSS17
VSS18
VSS19
VSS20
VSS21
VSS22
VSS23
VSS24
VSS25
VSS26
VSS27
VSS28
VSS29
VSS30
VSS31
VSS32
VSS33
VSS34
VSS35
VSS36
VSS37
VSS38
VSS39
VSS40
VSS41
VSS42
VSS43
VSS44
VSS45
VSS46
VSS47
VSS48
VSS49
VSS50
VSS51
VSS52
VSS53
VSS54
VSS55
VSS56
VSS57
VSS58
VSS59
VSS60
VSS61
VSS62
VSS63
VSS64
VSS65
VSS66
VSS67
VSS68
VSS69
VSS70
VSS71
VSS72
VSS73
VSS74
VSS75
VSS76
VSS77
VSS78
VSS79
VSS80
VSS81
VSS82
VSS83
VSS84
VSS85
VSS86
VSS87
VSS88
VSS89
VSS90

P16
J16
F16
AG15
AB15
U15
R15
N15
H15
D15
AC14
AA14
T14
P14
J14
AE13
AB13
U13
R13
N13
H13
F13
D13
A13
AJ12
AG12
AA12
J12
AJ11
AC11
AB11
H11
F11
D11
AJ10
AE10
AA10
J10
C10
AG9
AB9
W9
U9
T9
R9
N9
L9
E9
AC8
Y8
V8
T8
P8
K8
H8
AJ7
AE7
AA7
R7
M7
J7
G7
E7
C7
AG6
Y6
L6
Y5
U5
B5
AE4
AC4
AA4
W4
T4
N4
K4
G4
D4
AJ3
AG3
R2
AJ1
AE1
AA1
U1
L1
G1
C1
F20

DAC

AA29
W29
U29
N29
L29
J29
G29
E29
C29
AE28
AC28
E28
D28
AJ27
AG27
AC27
F27
A27
AJ26
AB26
W26
U26
R26
N26
L26
J26
G26
AE25
AA25
D25
A25
AG24
AA24
V24
T24
P24
M24
K24
H24
F24
B24
AJ23
AC23
AA23
D23
A23
AE22
W22
U22
R22
N22
L22
J22
F22
C22
AG21
AB21
AA21
Y21
V21
T21
P21
M21
H21
D21
A21
AJ20
AC20
AA20
J20
AE19
AB19
H19
D19
A19
AJ18
AG18
AA18
J18
F18
AC17
AB17
U17
R17
N17
H17
D17
A17
AE16
AA16
T16

SUSCLK <9,21>

PWROK <9,31>
@RHU002N06

PWROK_DELAY <9>

U22E
A

HWPG_POWER-G <9,12,27,31>

R496
DREFCLK48 1

Montara-GM+

C614
2

22

*12P

R492

C593

22

5P

CLK66_MCH

GTRDY#/DVICLK
GFRAME#/DVIDATA

R775
R776

@0_0402
@0_0402

DVICLK <18>
DVIDATA <18>

Montara-GM+

QUANTA
COMPUTER

Title

Montara - GM+ ( DDR & DVO )


Size

Document Number

R ev
D

ZI6
Date:
1

Friday, April 02, 2004


7

Sheet

6
8

of

43

R329

1.2VCCT

VCCAHPLL
C422
0.1U/50V

R298

1.2VCCT

0.1U/50V

1.2VCCT

VCCAGPLL

0.1U/50V 0.1U/50V

0.1U/50V

C559
C333

C560

C566

150U/6.3V
SPC
10U/6.3V 0.1U/50V
CC7343

0.1U/50V

C601

C652

0.1U/50V

0.1U/50V

C605

C676

C668 C675

C681C653

0.1U/50V

C659 C585 C573

0.1U/50V

0.1U/50V

1.2VCCT
C669

C660

0.1U/50V

C682

0.1U/50V

0.1U/50V

0.1U/50V

C587

0.1U/50V

C667

0.1U/50V

C677

C626

0.1U/50V 0.1U/50V

0.1U/50V

+1.5V

R498

0_0805

C295

C367

VCCDLVDS
C658

C647

1.2VCCT
C373

C381
C563

47U/6.3V

22U/10V

0.1U/50V

0.1U/50V

0.1U/50V

C708

C657

C625

C691

C670

C714

C686

0.1U/50V

0.1U/50V

0.1U/50V

0.1U/50V
10U/6.3V

0.1U/50V

0.1U/50V

0.1U/50V

0.1U/50V 0.1U/50V

+2.5V
B

R302

VCCTXLVDS

0_0805

C347

C357

47U/6.3V

C362

22U/10V

C630

0.1U/50V

0.1U/50V

C634

C631

0.1U/50V

C574

+1.5V

0.1U/50V

C622
150U/6.3V
SPC
0.1U/50V
CC7343

C621

C598

C609

C315

0.1U/50V

0.1U/50V

0.1U/50V

C323

0.1U/50V

10U/6.3V

VCC_CORE

C612
0.1U/50V

C635

C637

0.1U/50V

0.1U/50V 0.1U/50V

R604

+1.5V

C636

C599

VCCAHPLL
VCCAGPLL

VCCADPLLA
VCCADPLLB

A6
B16

VCCADPLLA
VCCADPLLB

C607

C620

0.1U/50V 0.1U/50V

C623

0.1U/50V

C330

0.1U/50V

0.1U/50V

C600

0.1U/50V

0.1U/50V

0 VCCDA
C624

C615

0.1U/50V
C706

C763

C748

C776

1000P/50V

1000P/50V

1000P/50V

R605

0.01U/50V

0 VSSDA

C694
1000P/50V
1000P/50V

VTT

C424

C423

C425

C421

0.1U/50V

0.1U/50V

0.1U/50V

0.1U/50V

0.1U/50V

VCCDVO0
VCCDVO1
VCCDVO2
VCCDVO3
VCCDVO4
VCCDVO5
VCCDVO6
VCCDVO7
VCCDVO8
VCCDVO9
VCCDVO10
VCCDVO11
VCCDVO12
VCCDVO13
VCCDVO14
VCCDVO15

B9
A9
B8

VCCADAC0
VCCADAC1
VSSADAC

B15
B14
J13
G13

VCCTXLVDS

A12
D10
B10
F9

+3V

C420

P9
M9
K9
R8
N8
M8
L8
J8
H7
E6
M4
J4
E4
N1
J1
E1

VCCDLVDS

VCC_CORE
C

C308
10U/6.3V

VCCHL0
VCCHL1
VCCHL2
VCCHL3
VCCHL4
VCCHL5
VCCHL6
VCCHL7

D29
Y2

+1.5V
C318

V9
W8
U8
V7
U6
W5
Y1
V1

VCC0
VCC1
VCC2
VCC3
VCC4
VCC5
VCC6
VCC7
VCC8
VCC9
VCC10
VCC11
VCC12
VCC13
VCC14
VCC15
VCC16
VCC17

VCCAHPLL
VCCAGPLL

C296
+

W21
AA19
AA17
T17
P17
U16
R16
N16
AA15
T15
P15
J15
U14
R14
N14
H14
T13
P13

C327
0.1U/50V

POWER

U22D

VCCDLVDS0
VCCDLVDS1
VCCDLVDS2
VCCDLVDS3
VCCTXLVDS0
VCCTXLVDS1
VCCTXLVDS2
VCCTXLVDS3

A4
A3

VCCGPIO0
VCCGPIO1

A11
B11

VCCALVDS
VSSALVDS

VTTLF0
VTTLF1
VTTLF2
VTTLF3
VTTLF4
VTTLF5
VTTLF6
VTTLF7
VTTLF8
VTTLF9
VTTLF10
VTTLF11
VTTLF12
VTTLF13
VTTLF14
VTTLF15
VTTLF16
VTTLF17
VTTLF18
VTTLF19
VTTLF20

AB29
Y29
K29
F29
A26
V22
T22
P22
M22
H22
U21
R21
N21
L21
H20
A20
J19
H18
A18
H16
G15

VCCSM0
VCCSM1
VCCSM2
VCCSM3
VCCSM4
VCCSM5
VCCSM6
VCCSM7
VCCSM8
VCCSM9
VCCSM10
VCCSM11
VCCSM12
VCCSM13
VCCSM14
VCCSM15
VCCSM16
VCCSM17
VCCSM18
VCCSM19
VCCSM20
VCCSM21
VCCSM22
VCCSM23
VCCSM24
VCCSM25
VCCSM26
VCCSM27
VCCSM28
VCCSM29
VCCSM30
VCCSM31
VCCSM32
VCCSM33
VCCSM34
VCCSM35
VCCSM36

AG29
AF29
AC29
AF27
AJ25
AF24
AB22
AJ21
AF21
AB20
AF18
AB18
AJ17
AB16
AF15
AB14
AJ13
AA13
AF12
AB12
AA11
AB10
AJ9
AF9
Y9
AB8
AA8
AC1
Y7
AF6
AB6
AA6
AJ5
Y4
AF3
AB3
AG1

VCCQSM0
VCCQSM1

AJ8
AJ6

VCCASM0
VCCASM1

AF1
AD1

VTTHF0
VTTHF1
VTTHF2
VTTHF3
VTTHF4

V29
M29
H29
A24
A22

VTT

C729
C724

C403

0.1U/50V

C715

C692

C722

C674

C723

0.1U/50V

0.1U/50V

0.1U/50V

0.1U/50V

0.1U/50V 0.1U/50V

C696

C705

C709

C713

C721

150U/6.3V
SPC
CC7343

VTT
C695
0.1U/50V

0.1U/50V

0.1U/50V

0.1U/50V

0.1U/50V

0.1U/50V

0.1U/50V

C666

0.1U/50V

0.1U/50V 10U/6.3V

0.1U/50V
C431

C322

C409 C411 C798 C803 C661

0.1U/50V

0.1U/50V

0.1U/50V
C565

C790

C416

0.1U/50V

C301

0.1U/50V

150U/6.3V
SPC
CC7343

0.1U/50V 0.1U/50V

0.1U/50V

2.5VSUS

C332 C343C360

C417 C324

2.5VSUS

+
150U/6.3V
SPC
CC7343

0.1U/50V

C368

0.1U/50V

C800

0.1U/50V

C633

0.1U/50V

0.1U/50V

2.5VSUS
C346

C414

0.1U/50V

C354

C372

0.1U/50V

0.1U/50V

C627

0.1U/50V

0.1U/50V

2.5VSUS
C644

C649

0.1U/50V

C419

0.1U/50V

C418

0.1U/50V

C568

C564

0.1U/50V

L20

0.1U/50V

C569

0.1U/50V

0.1U/50V

0.22UH

VCCQSM

2.5VSUS
C320

C349
0.1U/50V

4.7U/16V
R278

1/F
L17

1.8UH

VCCASM

1.2VCCT
C321

VTTHF0
VTTHF1
VTTHF2
VTTHF3
VTTHF4

C300

25mA

+
0.1U/50V

100U/2V-7343
SPC
CC7343

+1.5V
C328

C319

C401

C408

0.1U/50V

0.1U/50V

Montara-GM+
0.1U/50V 0.01U/50V

R301

1.2VCCT

1/F

L18

0.18UH

C775

C786

0.1U/50V 0.1U/50V

C780
0.1U/50V

VCCADPLLA
C604

C345

220U/2.5V
SPC
CC7343

0.1U/50V

R310

1.2VCCT

1/F

L23

0.18UH

VCCADPLLB
C389

C654
+
220U/2.5V
SPC
CC7343

0.1U/50V

QUANTA
COMPUTER

Title

Montara - GM+ ( POWER )


Size

Document Number

R ev
D

ZI6
Date:
1

Friday, April 02, 2004


7

Sheet

7
8

of

43

2
R266

PDD[0..15]

W23
V23
U21
U23

SMI# <3>
STPCLK# <3>
CPUSLP# <3>
DPSLP# <3,6>

C/BE0#
C/BE1#
C/BE2#
C/BE3#

J2
K4
M4
N4

C/BE0#
C/BE1#
C/BE2#
C/BE3#

FRAME#
IRDY#
TRDY#
DEVSEL#
STOP#
PAR
SERR#
PERR#
PLOCK#

F1
L5
F2
M3
F3
G1
K5
L4
M2

PLOCK#

FRAME# <21,24,25,26>
IRDY# <21,24,25,26>
TRDY# <21,24,25,26>
DEVSEL# <21,24,25,26>
STOP# <21,24,25,26>
PAR <21,24,25,26>
SERR# <21,24,25,26>
PERR# <21,24,25,26>

REQ0#
REQ1#
REQ2#
REQ3#
REQ4#

B1
A2
B3
C7
B6

REQ0#
REQ1#
REQ2#
REQ3#
REQ4#

GNT0#
GNT1#
GNT2#
GNT3#
GNT4#

C1
E6
A7
B7
D6

PIRQA#
PIRQB#
PIRQC#
PIRQD#
PIRQE#/GPIO2
PIRQF#/GPIO3
PIRQG#/GPIO4
PIRQH#/GPIO5

D5
C2
B4
A3
C8
D7
C3
C4

PDD0 AB11
PDD1 AC11
PDD2 Y10
PDD3 AA10
PDD4 AA7
PDD5 AB8
PDD6
Y8
PDD7 AA8
PDD8 AB9
PDD9
Y9
PDD10 AC9
PDD11 W9
PDD12 AB10
PDD13 W10
PDD14 W11
PDD15 Y11
Y13
AB14
AA13
AB13
W13
AC12
W12
AB12
AC13
AA11
Y12

AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31

PCI

PME#
PCICLK
PCIRST#
CLKRUN#/GPIO24
GNTA#/GPIO16
GNTB#/GNT5#/GPIO17

PDD0
PDD1
PDD2
PDD3
PDD4
PDD5
PDD6
PDD7
PDD8
PDD9
PDD10
PDD11
PDD12
PDD13
PDD14
PDD15

PIRQA#
PIRQB#
PIRQC#
PIRQD#
PIRQE#
PIRQF#
ICH_GPIO4
ICH_GPIO2
R518 1
REQA#
REQB#

SDD0
SDD1
SDD2
SDD3
SDD4
SDD5
SDD6
SDD7
SDD8
SDD9
SDD10
SDD11
SDD12
SDD13
SDD14
SDD15

W17
AB17
W16
AC16
W15
AB15
W14
AA14
Y14
AC15
AA15
Y15
AB16
Y16
AA17
Y17

SDD0
SDD1
SDD2
SDD3
SDD4
SDD5
SDD6
SDD7
SDD8
SDD9
SDD10
SDD11
SDD12
SDD13
SDD14
SDD15

SDCS1#
SDCS3#
SDA0
SDA1
SDA2
SDIOR#
SDIOW#
SIORDY
IRQ15
SDDREQ
SDDACK#

AB21
AC22
AA20
AC20
AC21
Y18
AA18
AC19
AA19
AB18
AB19

SDCS1#
SDCS3#
SDA0
SDA1
SDA2
SDIOR#
SDIOW#
SIORDY
IRQ15
SDDREQ
SDDACK#

IDE

PDCS1#
PDCS3#
PDA0
PDA1
PDA2
PDIOR#
PDIOW#
PIORDY
IRQ14
PDDREQ
PDDACK#

GNT0#
GNT1#
GNT2#
GNT3#
GNT4#

J22
B5
A6

SERIRQ
REQA#/GPIO0
REQB#/REQ5#/GPIO1

C225

REQ0#
REQ1#
REQ2#
REQ3#

<26>
<25>
<24>
<21>

GNT0#
GNT1#
GNT2#
GNT3#

<26>
<25>
<24>
<21>

PIRQA#
PIRQB#
PIRQC#
PIRQD#
PIRQE#
PIRQF#

ICH_GPIO2 R132 1

2 10K

+3V

CLKRUN#

2 10K

+3V

ICH_PME#

R433 1
R107 1

2 4.7K

VCCLAN3_3_1
VCCLAN3_3_0
VCCLAN1_5_0
VCCLAN1_5_1

+1.5V

3V_S5

K10
K12
K18
K22
P10
T18
U19
V14

VCC1_5_0
VCC1_5_1
VCC1_5_2
VCC1_5_3
VCC1_5_4
VCC1_5_5
VCC1_5_6
VCC1_5_7

E11
F10
F15
F16
F17
F18
K14
V7
V8
V9

VCCSUS3_3_0
VCCSUS3_3_1
VCCSUS3_3_2
VCCSUS3_3_3
VCCSUS3_3_4
VCCSUS3_3_5
VCCSUS3_3_6
VCCSUS3_3_7
VCCSUS3_3_8
VCCSUS3_3_9

+1.5V

VCC

<13>
<21,24>
<21>
<26>
<25>
<25>

C547

C251

C250

22U/10V

0.1U/50V .1U/10V_0402

SERIRQ_SIO <30>
SERIRQ <21,31>

C550

C534

C236

22U/10V

4.7U/10V_0805
.1U/10V_0402

SDD[0..15]

SDD[0..15] <20>

C527

C532

22U/10V 22U/10V

C194

C530

.1U/10V_0402

0.1U/50V

1.5V_S5

A5
AC17
AC8
B2
H18
H6
J1
J18
K6
M10
P12
P6
U1
V10
V16
V18

+3V

C270

C549

.1U/10V_0402

0.1U/50V .1U/10V_0402

C555

C266

R607 2

SDCS1# <20>
SDCS3# <20>
SDA0 <20>
SDA1 <20>
SDA2 <20>
SDIOR# <20>
SDIOW# <20>
SIORDY <20>
IRQ15 <20>
SDDREQ <20>
SDDACK# <20>

PCIRST1# <6,17,20,21,24>

SYSRST#

1 1K

+5V

+3V

R159 *0_0805
2
1

+3V
3V_S5
1.5V_S5

C528

C533

0.1U/50V

1U/16V_0805
CC0805

R166 0_0805
2
1

C196
1U/6.3V
VCCLAN3_3

VCCLAN1_5

R180 0_0805
2
1

+1.5V

C190
1U/6.3V

C175
1U/6.3V

C181
1U/6.3V

R158 *0_0805

C199

C218

C207

C197

3V_S5

.1U/10V_0402

.1U/10V_0402

.1U/10V_0402
C230

C200

.1U/10V_0402
C222

C179

.1U/10V_0402

.1U/10V_0402

.1U/10V_0402

.1U/10V_0402

.1U/10V_0402

C531

C256

0.1U/50V

.1U/10V_0402 .1U/10V_0402 0.1U/50V

C255

C538

C198

C211

C248

C203

C541

0.1U/50V

0.1U/50V

C183
0.1U/50V

U40D

C206

ICH4
10U/6.3V

C219

C213

C223 C180

0.1U/50V

.1U/10V_0402

C170

0.1U/50V

C212

.1U/10V_0402
0.1U/50V

1.5V_S5

PCI Pullups
IRQ14
SERIRQ
REQ3#
IRQ15
U39A

+3V

7
2

TRDY#
STOP#
FRAME#
PIRQB#

PCIRST# <13,16,22,25,26,30,31>

NC7WZ32

*0
R606 2

PIRQA#
PIRQC#
PIRQD#
REQ2#

*0

+3V

C529

.1U/10V_0402 .1U/10V_0402 .1U/10V_0402 0.1U/50V

.1U/10V_0402

18P/50V_0402

D28 1

RB751V

RP2
6
7
8
9
10

10P8R_8.2K
5
I RDY#
4
PERR#
3
DEVSEL#
2
PLOCK#
1

RP3
6
7
8
9
10

10P8R_8.2K
5
PIRQE#
4
PIRQF#
3
SERR#
2
ICH_GPIO4
1

RP5
6
7
8
9
10

10P8R_8.2K
5
REQA#
4
REQ1#
3
REQ4#
2
REQ0#
1

C243

C186

C263

C241

C240

10U/16V

0.1U/50V

.1U/10V_0402

0.1U/50V

0.1U/50V

RP4
GNT0#
GNT2#
GNT3#
GNT1#

+3V

7
5
3
1

8
6
4
2

+3V

*8P4R_10K
D

+3V

+3V

QUANTA
COMPUTER

Title

ICH4-M (CPU,PCI,IDE)
Size

Document Number

R ev
D

ZI6
Date:

R419 2
VCC5REF

C185

0.1U/50V

NC7WZ32

C271

1.5V_S5

U39B

3V_S5

1U/16V_0805
CC0805

.1U/10V_0402

0.1U/50V

0.1U/50V

22U/10V.1U/10V_0402
.1U/10V_0402

C246

C233 C184

C537

3V_S5

3V_S5

C174
1 33/F

VCCSUS1_5_0
VCCSUS1_5_1
VCCSUS1_5_2
VCCSUS1_5_3
VCCSUS1_5_4
VCCSUS1_5_5
VCCSUS1_5_6
VCCSUS1_5_7

E12
E13
E20
F14
G18
R6
T6
U6

VCC3_3_0
VCC3_3_1
VCC3_3_2
VCC3_3_3
VCC3_3_4
VCC3_3_5
VCC3_3_6
VCC3_3_7
VCC3_3_8
VCC3_3_9
VCC3_3_10
VCC3_3_11
VCC3_3_12
VCC3_3_13
VCC3_3_14
VCC3_3_15

+3V

R146 2

L23
M14
P18
T22

C554

ICH4

3V_S5

Had inernal pull up

PCLK_ICH

+1.5V

VCCHI_0
VCCHI_1
VCCHI_2
VCCHI_3

C546

+3V

3V_S5

SYSRST#

5V_S5

C543
100U/2V-7343
CC7343

+3V

V_CPU_IO_0
V_CPU_IO_1
V_CPU_IO_2

C548

<21,24,25,26>
<21,24,25,26>
<21,24,25,26>
<21,24,25,26>

+3V

2 10K

2 *10K

R139 1

R147 1

REQB#

E9
F9
F6
F7

VCCLAN1_5

ICH4

GNT4#

VCCLAN3_3

VTT

0.1U/50V
0.01U/50V
H5
J3
H3
K1
G5
J4
H4
J5
K2
G2
L1
G4
L2
H2
L3
F5
F4
N1
E5
N2
E3
N3
E4
M5
E2
P1
E1
P2
D3
R1
D2
P4
W2
P5
U5
AC2
E8
C5

PDCS1#
PDCS3#
PDA0
PDA1
PDA2
PDIOR#
PDIOW#
PIORDY
IRQ14
PDDREQ
PDDACK#

<20> PDCS1#
<20> PDCS3#
<20> PDA0
<20> PDA1
<20> PDA2
<20> PDIOR#
<20> PDIOW#
<20> PIORDY
<20> IRQ14
<20> PDDREQ
<20> PDDACK#

SMI#
STPCLK#
CPUSLP#
DPSLP#

CPU

AA23
P14
U18

.1U/10V_0402
0.01U/50V

4P2R_S_10K
4
2

H19
K20
J19

GND

<20> PDD[0..15]

RP7
3
1

APICD0
APICD1
APICCLK

1 1K

C21
C23
C6
D1
D12
D15
D17
D19
D21
D23
D4
D8
D22
E10
E14
E16
E17
E18
E19
E21
E22
F8
G19
G21
G3
G6
H1
J6
K11
K13
K19
K23
K3
L10
L11
L12
L13
L14
L21
M1
M11
M12
M13
M20
M22
N10
N11
N12
N13
N14
N19

<21,24,25,26,30,31> CLKRUN#
<18> FPBACK#

NMI
A20M#
FERR#
IGNNE#
INTR
INIT#
RCIN#
A20GATE

VCC5REF

E7
V6

VSS051
VSS052
VSS053
VSS054
VSS055
VSS056
VSS057
VSS058
VSS059
VSS060
VSS061
VSS062
VSS063
VSS064
VSS065
VSS066
VSS067
VSS068
VSS069
VSS070
VSS071
VSS072
VSS073
VSS074
VSS075
VSS076
VSS077
VSS078
VSS079
VSS080
VSS081
VSS082
VSS083
VSS084
VSS085
VSS086
VSS087
VSS088
VSS089
VSS090
VSS091
VSS092
VSS093
VSS094
VSS095
VSS096
VSS097
VSS098
VSS099
VSS100
VSS101

ICH_PME#
PCLK_ICH
SYSRST#
CLKRUN#
FPBACK#

<21,24,25,26> ICH_PME#
<12> PCLK_ICH

D29 1

RB751V
V5REF1
V5REF2

VSS000
VSS001
VSS002
VSS003
VSS004
VSS005
VSS006
VSS007
VSS008
VSS009
VSS010
VSS011
VSS012
VSS013
VSS014
VSS015
VSS016
VSS017
VSS018
VSS019
VSS020
VSS021
VSS022
VSS023
VSS024
VSS025
VSS026
VSS027
VSS028
VSS029
VSS030
VSS031
VSS032
VSS033
VSS034
VSS035
VSS036
VSS037
VSS038
VSS039
VSS040
VSS041
VSS042
VSS043
VSS044
VSS045
VSS046
VSS047
VSS048
VSS049
VSS050

AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31

<21,24,25,26> AD[0..31]

V5REF_SUS

V5REF_SUS

N21
N23
N5
P11
P13
P20
P22
P3
R18
R21
R5
T1
T19
T23
U20
V15
V17
V3
W22
W5
W8
Y19
Y7
A1
A16
A18
A20
A22
A4
AA12
AA16
AA22
AA3
AA9
AB20
AB7
AC1
AC10
AC14
AC18
AC23
AC5
B12
B16
B18
B20
B22
B9
C15
C17
C19

R265 56/F V21


AB23
2
1 AA21
W21
AB22
V22
U22
Y22

VCCPLL

V5REF_SUS

E15

C254 C215
U40A

56/F

R462 2

+1.5V
C22

<3> NMI
<3> A20M#
<3> FERR#
<3> IGNNE#
<3> INTR
<3> CPUINIT#
<31> RCIN#
<31> GATEA20

U40C

VTT

Friday, April 02, 2004


7

Sheet

8
8

of

43

R624
R227 0

T2
R4
T4
U2

LAD0/FWH0
LAD1/FWH1
LAD2/FWH2
LAD3/FWH3

C13
33/F AC_SYNC_1 C9
D13
A13
B13
22 AC_BITCLK_1
B8

AC_RST#
AC_SYNC
AC_SDIN0
AC_SDIN1
AC_SDIN2
AC_BITCLK

LAD0/FWH0
LAD1/FWH1
LAD2/FWH2
LAD3/FWH3

<25,28> AC_RESET#
<25,28> AC_SYNC
<28> AC_SDIN0
<25> AC_SDIN1
T13 *PAD
<25,28> AC_BITCLK
<12> PCLK_SMB
<12> PDAT_SMB
<27> RI#
<3,33> ICH_THRM#
<6> PWROK_DELAY
3V_S5
<31> DNBSWON#
<3> THERMTRIP#
<38> DPRSLPVR
<13> STP_AGP#
<6,13> AGP_BUSY#
<12> 14M_ICH
<12> CLK_SMB
<12> DAT_SMB
<27> PCSPK
<16,34> CRT_SENSE#
<30,31> KBSMI#

AC_RESET#
R424
AC_SDIN0
AC_SDIN1
AC_BITCLK R430
PCLK_SMB
PDAT_SMB

AC4
AB4

RI#
ICH_THRM#
PWROK_DELAY
R864 2
DNBSWON#
RSMRST#
THERMTRIP#
DPRSLPVR

1 BATLOW#_SB
10K_0402

CPUPWRGD

<3> CPUPWRGD

Change signal
1
R264
1
R263

<27,38> IMVP_OK

J23
AC3
AB1
H23
R3
V4

CLK14
SMLINK0
SMLINK1
SPKR
GPIO7
GPIO8

<6,31> PWROK
C

Y23
CPUPERF#
Y20
J21
R_VR_PWRGOOD V19

*PAD T14
*PAD T16
2
0
2
*0

SM

USBOC1#

R242

C257

C260

*15K

*15K

*10P

*10P

R464
A

255/F

USBOC5#
USBRBIAS
USBRBIAS#

HUBSWING_ICH

R460 2

HL6
HL7
HL8
HL9
HL10

1 20/F_0402

PANEL_ID0_SB
PANEL_ID1_SB
PANEL_ID2_SB

HL6 <5>
HL7 <5>
HL8 <5>
HL9 <5>
HL10 <5>

R269
HUBVREF_ICH
HUBSWING_ICH
HUB_ICH_RCOMP R457

INTRUDER#
SMBALERT#/GPIO11

W6
AA5

ICH_INTRUDER# R126

0_0402
0_0402
0_0402

T12
T35
T9
T6

*PAD
*PAD
*PAD
*PAD

EE_SHCLK
EE_DOUT
EE_DIN
EE_CS

R465

PANEL_ID0 <13,18>
PANEL_ID1 <13,18>
PANEL_ID2 <13,18>

162/F

R461

SUSB#
SUSC#

SYS_RESET#
SLP_S1#/GPIO19
STP_PCI#/GPIO18
STP_CPU#/GPIO20
SUS_STAT#/LPCPD#

Y3
W18
Y21
W19
AB3

SYS_RESET#
SUSA#
LPC_PD#

GPIO12
GPIO13

V5
W3

SWI#_1
SCI#_1

GPIO25
GPIO27
GPIO28

V2
W1
W4

BT_WAKE

127/F

0.1U/50V

48.7/F
C551

C544

0.01U/50V 0.01U/50V

LPC_DRQ0# <30,31>

PWROK_DELAY
D5

AC_SDOUT <25,28>
100K

C154
0.1U/50V

VCCRTC
3V_S5

C150
1U/16V_0805
PWROK

*0

D32

1
1SS355

SCI#

R137

C173
*0.1U
2

D_3VRTC

SWI# <31>
SCI# <31>

R536 1K

G3
*SHORT

C799 0.047U/16V R539 10M


R_3VRTC
VBIAS
CLK_32KX1
5VPCU

R441
10M

LAN_RST#

C796
2.2U
CC1206

CLK_32KX1

RTC_N01

Q76
MMBT3904

R540
3K
4.7K

R542

BT1
BATCON

Y6
32.768KHZ

T37
T36
T38
T7
T8
T39

*SHORT

RTCRST#
R533
15K

RB500

1SS355
1 SWI#

G2

D33

20K/F

SpeedStep
*PAD
*PAD
*PAD
*PAD
*PAD
*PAD

C791
2.2U
CC1206

R529
1K

BT_WAKE <25>
EMAIL_LED# <23>
SPKOFF# <27>

SPKOFF#

1
RB500

R145 0

DBR# <3>

D3
2

SUSA# <12>
STP_PCI# <12>
STP_CPU# <12,38>
LPC_PD# <13,30>

2
D2

VCCRTC

2
*RB500

SUSB# <12,31>
SUSC# <31>
*PAD T119
SUSCLK <6,21>
R131

3V_S5

RTC_N02 1

LAN_RXD0
LAN_RXD1
LAN_RXD2
LAN_TXD0
LAN_TXD1
LAN_TXD2
LAN_RST#
LAN_CLK
LAN_RSTSYNC

C545

56/F

R436
10K
Change SUSC# to Y2 pin

Y4
Y2
AA2
AA4

LAN

0.1U/50V

LFRAME#/FWH4 <30,31>

SLP_S3#
SLP_S4#
SLP_S5#
SUSCLK

A10
A9
A11
B10
C10
A12
Y5
C11
B11

C553

HUBVREF_ICH

+1.5V

VBIAS
CLK_32KX1
CLK_32KX2
VCCRTC
RTCRST#
AC_SDOUT

R851
R852
R853

CLK_32KX2
C12
A8
D11
D10

+1.5V

HUB_USBP5+ <32>
HUB_USBP5- <32>

Y6
AC7
AC6
AB5
W7
D9

PM

R451

SYSUSBP3+ <32>
SYSUSBP3- <32>

USBOC3#

VBIAS
RTCX1
RTCX2
VCCRTC
RTCRST#
AC_SDOUT

MISC&GPIO

CPUPWRGD
CPUPERF#/GPIO22
SSMUXSEL/GPIO23
VGATE/VRMPWRGD

SYSUSBP1+ <32>
SYSUSBP1- <32>

LPC_DRQ0#
LPC_DRQ1#

AC97&RTC

SMBCLK
SMBDATA

R232 0

U3
U4
T5

LDRQ0#
LDRQ1#
LFRAME#/FWH4

RI#
THRM#
PWROK
BATLOW#
PWRBTN#
RSMRST#
THRMTRIP#
DPRSLPVR
C3_STAT#/GPIO21
AGPBUSY#/GPIO6

PCSPK
CRT_SENSE#
KBSMI#

M23
R22
R23

LPC&FWH

Y1
V1
AB6
AB2
AA1
AA6
W20
V20
T3
R2

14M_ICH

HI_REF
HI_VSWING
HUB_RCOMP

HUB LINK

HWPG_POWER-G <6,12,27,31>

HI_CLK
HL_STB/HL_STBS
HL_STB#/HLSTBF

T40

2
*0

T21
P21
N20

CLK66_ICH

<12> CLK66_ICH
<5> HLSTB
<5> HLSTB#

HI6
HI7
HI8
HI9
HI10
HI11

<25> BT_USBP4-

PWROK_DELAY 1

SYSUSBP4+
SYSUSBP4-

2
2

HI0
HI1
HI2
HI3
HI4
HI5

HL0
HL1
HL2
HL3
HL4
HL5

T20
R20
P23
L22
N22
K21

1
1

<5>
<5>
<5>
<5>
<5>
<5>

A21
B21
C14
A19
B19
B14
A17
B17
D14
A23
B23

<25> BT_USBP4+

RST_HDD# <20>
RST_RBAY# <20>

L19
L20
M19
M21
P19
R19

USBP1P
USBP1N
OC1#
USBP3P
USBP3N
OC3#
USBP5P
USBP5N
OC5#
USBRBIAS
USBRBIAS#

USB

RST_HDD#
RST_RBAY#
RST_LBAY#
PANEL_ID0_SB
PANEL_ID1_SB
PANEL_ID2_SB

HL0
HL1
HL2
HL3
HL4
HL5

USBOC0#

F23
H22
G23
H21
F22
E23

USBP0P
USBP0N
OC0#
USBP2P
USBP2N
OC2#
USBP4P
USBP4N
OC4#
CLK48

GPIO38
GPIO39
GPIO40
GPIO41
GPIO42
GPIO43

<32> SYSUSBP0+
<32> SYSUSBP0<32> USBOC0#
<32> SYSUSBP2+
<32> SYSUSBP2<32> USBOC2#

<12> CLK48_USB

USBOC2#
SYSUSBP4+
SYSUSBP4USBOC4#
CLK48_USB

C20
D20
B15
C18
D18
A15
C16
D16
A14
F19

RBAYON#

<20> RBAYON#

<30,31>
<30,31>
<30,31>
<30,31>

GPIO32
GPIO33
GPIO34
GPIO35
GPIO36
GPIO37

BT_DETACH

<25> BT_DETACH

J20
G22
F20
G20
F21
H20

RBA YID0
RBA YID1

<20> RBAYID0
<20> RBAYID1

RTCRST# delay 18-25ms

U40B

C539
12P

RTC

C540
2.2P

R543
15K

*PAD T10
*PAD T11

ICH4
C294
*0.1U

3V_S5

DNBSWON#

3V_S5
5
3

S5_ON 1

-RSMRST

A
B

C292
0.1U/50V

R135
R104
R421
R425
R105
R125
R426
R108
R106
R109

RSMRST#

ORGND
GND

1SS355

<31,36,40> S5_ON

ICH_THRM#

R422

8.2K

PCSPK

R458

*1K

AC_SDOUT

R151

8.2K

+3V
RBA YID0
RBA YID1

3V_S5

VCC

R274
100K
D13

+3V

Internal pull-high 20K

U20
*NC7SZ58

R277
22K

10K
10K
8.2K
10K
10K
10K
10K
10K
10K
10K

PCLK_SMB
PDAT_SMB
RI#
BATLOW#_SB
SYS_RESET#
CLK_SMB
DAT_SMB
KBSMI#
SWI#_1
SCI#_1

R455

22

10K

*10K

LFRAME#/FWH4 R119

*10K

LPC_DRQ1#

*10K

10P/50V

R268

C290

*68

*10P

R456

C556

*68

*22P

14M_ICH
CPUPERF#
THERMTRIP#

R454
R429

C229

CLK48_USB

8.2K

+3V
LPC_DRQ0#

R216

10K
10K

VTT
R453

SUSA#

R270
R459

56

CLK66_ICH

3V_S5

3V_S5
U18
-RSMRST

1
2
3
4

1A
3Y
2A
GND

*0.1U
VCC
1Y
3A
2Y

8
7
6
5

TC7W14FU
R275

R449
R188
R448
R207
R197
R179

C293

*10K
10K
*10K
10K
10K
10K

USBOC0#
USBOC1#
USBOC2#
USBOC3#
USBOC4#
USBOC5#

R423

1B
10K

LAN_RST#

R427

R634

*10K

AC_BITCLK

R446

*10K

AC_SDIN1

R447

*10K

AC_SDIN0

3V_S5
RSMRST#

QUANTA
COMPUTER

Title
R432

*10K

*0
R420

*10K

ICH4-M (USB,HUB,LPC)

SUSB#
Size

Document Number

SUSC#

R ev
D

ZI6
Date:
4

Friday, April 02, 2004


7

Sheet

9
8

of

43

2.5VSUS

SMDDR_VREF

MD6
MD12
MD8
SM_DQS1
MD14
MD11
<5> CLK_SDRAM3
<5> CLK_SDRAM3#

CLK_SDRAM3
CLK_SDRAM3#
MD21
MD20

<5> CLK_SDRAM5
<5> CLK_SDRAM5#
<5,11> CKE3

<5,11> SMA_B5
<5,11> SMA_B1

<5,11> R_BA0
<5,11> R_BMWEA#

<12> CGDAT_SMB
<12> CGCLK_SMB
+3V

41
43
45
SM_DQS2
47
MD23
49
51
MD18
53
MD28
55
57
MD24
59
SM_DQS3
61
63
MD30
65
MD31
67
69
M_CB0
71
M_CB1
73
75
77
M_CB2
79
81
M_CB3
83
85
87
89
91
93
CKE3
95
97
R_MA12
99
R_MA9
101
103
R_MA7
105
SMA_B5
107
R_MA3
109
SMA_B1
111
113
R_MA10
115
R_BA0
117
R_BMWEA#
119
-SM_CS2
121
123
125
MD33
127
MD37
129
131
SM_DQS4
133
MD35
135
137
MD39
139
MD41
141
143
MD40
145
SM_DQS5
147
149
MD47
151
MD43
153
155
157
159
161
MD48
163
MD49
165
167
SM_DQS6
169
MD54
171
173
MD51
175
MD57
177
179
MD61
181
SM_DQS7
183
185
MD62
187
MD63
189
191
CGDAT_SMB
193
CGCLK_SMB
195
197
R541
*10K_0402 199
C437
0.1U/50V

VREF
VSS
DQ0
DQ1
VDD
DQS0
DQ2
VSS
DQ3
DQ8
VDD
DQ9
DQS1
VSS
DQ10
DQ11
VDD
CK0
CK0
VSS

VREF
VSS
DQ4
DQ5
VDD
DM0
DQ6
VSS
DQ7
DQ12
VDD
DQ13
DM1
VSS
DQ14
DQ15
VDD
VDD
VSS
VSS

DQ16
DQ17
VDD
DQS2
DQ18
VSS
DQ19
DQ24
VDD
DQ25
DQS3
VSS
DQ26
DQ27
VDD
CB0
CB1
VSS
DQS8
CB2
VDD
CB3
DU
VSS
CK2
CK2
VDD
CKE1
DU/A13
A12
A9
VSS
A7
A5
A3
A1
VDD
A10/AP
BA0
WE
S0
DU
VSS
DQ32
DQ33
VDD
DQS4
DQ34
VSS
DQ35
DQ40
VDD
DQ41
DQS5
VSS
DQ42
DQ43
VDD
VDD
VSS
VSS
DQ48
DQ49
VDD
DQS6
DQ50
VSS
DQ51
DQ56
VDD
DQ57
DQS7
VSS
DQ58
DQ59
VDD
SDA
SCL
VDD(SPD)
VDD(ID)

DQ20
DQ21
VDD
DM2
DQ22
VSS
DQ23
DQ28
VDD
DQ29
DM3
VSS
DQ30
DQ31
VDD
CB4
CB5
VSS
DM8
CB6
VDD
CB7
DU/RESET
VSS
VSS
VDD
VDD
CKE0
DU/BA2
A11
A8
VSS
A6
A4
A2
A0
VDD
BA1
RAS
CAS
S1
DU
VSS
DQ36
DQ37
VDD
DM4
DQ38
VSS
DQ39
DQ44
VDD
DQ45
DM5
VSS
DQ46
DQ47
VDD
CK1
CK1
VSS
DQ52
DQ53
VDD
DM6
DQ54
VSS
DQ55
DQ60
VDD
DQ61
DM7
VSS
DQ62
DQ63
VDD
SA0
SA1
SA2
DU

PC2100 DDR SDRAM SO-DIMM (200P)

SM_DQS0
MD2

1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39

SDM[0..7]
R_MA[0..12]
-SM_CS[0..3]
CKE[0..3]

top

CN12
MD4
MD1

M_CB[0..7]

2.5VSUS

SMDDR_VREF

2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
52
54
56
58
60
62
64
66
68
70
72
74
76
78
80
82
84
86
88
90
92
94
96
98
100
102
104
106
108
110
112
114
116
118
120
122
124
126
128
130
132
134
136
138
140
142
144
146
148
150
152
154
156
158
160
162
164
166
168
170
172
174
176
178
180
182
184
186
188
190
192
194
196
198
200

2.5VSUS

M_CB[0..7] <11>
MD[0..63] <11>
MA[0..12] <11>
SM_DQS[0..7] <11>
SDM[0..7] <11>
R_MA[0..12] <5,11>
-SM_CS[0..3] <5,11>
CKE[0..3] <5,11>

SDM0
MD3

SM_DQS0
MD2

MD7
MD9

MD6
MD12

MD13
SDM1

MD8
SM_DQS1

MD10
MD15

MD14
MD11
CLK_SDRAM0
CLK_SDRAM0#

<5> CLK_SDRAM0
<5> CLK_SDRAM0#
MD16
MD17

MD21
MD20

SDM2
MD22

SM_DQS2
MD23

MD19
MD29

MD18
MD28

MD25
SDM3

MD24
SM_DQS3

MD26
MD27

MD30
MD31

M_CB4
M_CB5

M_CB0
M_CB1

M_CB6

M_CB2

M_CB7

M_CB3
<5> CLK_SDRAM2
<5> CLK_SDRAM2#
CKE1

<5,11> CKE1

R_MA11
R_MA8

MA12
MA9

R_MA6
SMA_B4
SMA_B2
R_MA0

MA7
R_MA5
MA3
R_MA1

SMA_B4 <5,11>
SMA_B2 <5,11>

<5,11> R_MA5
<5,11> R_MA1

R_BA1
R_SRASA#
R_SCASA#
-SM_CS3

R_BA1 <5,11>
R_SRASA# <5,11>
R_SCASA# <5,11>

MA10
BA0
BMWEA#
-SM_CS0

<11> BA0
<11> BMWEA#

MD36
MD32

MD33
MD37

SDM4
MD34

SM_DQS4
MD35

MD38
MD45

MD39
MD41

MD44
SDM5

MD40
SM_DQS5

MD46
MD42

MD47
MD43

CLK_SDRAM4#
CLK_SDRAM4

CLK_SDRAM4# <5>
CLK_SDRAM4 <5>

MD52
MD53

MD48
MD49

SDM6
MD55

SM_DQS6
MD54

MD50
MD56

MD51
MD57

MD60
SDM7

MD61
SM_DQS7

MD59
MD58

MD62
MD63
CGDAT_SMB
CGCLK_SMB

+3V

SMbus address A1

+3V

AMP_DDR_SODIMM

C436
0.1U/50V

CLOCK 3,4,5
CKE 2,3 CS 2,3

R343 *10K_0402

1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49
51
53
55
57
59
61
63
65
67
69
71
73
75
77
79
81
83
85
87
89
91
93
95
97
99
101
103
105
107
109
111
113
115
117
119
121
123
125
127
129
131
133
135
137
139
141
143
145
147
149
151
153
155
157
159
161
163
165
167
169
171
173
175
177
179
181
183
185
187
189
191
193
195
197
199

2.5VSUS

SMDDR_VREF
C617

CN31
MD4
MD1

CKE2 <5,11>

2.5VSUS

SMDDR_VREF

MD5
MD0

CKE2

bottom

VREF
VSS
DQ0
DQ1
VDD
DQS0
DQ2
VSS
DQ3
DQ8
VDD
DQ9
DQS1
VSS
DQ10
DQ11
VDD
CK0
CK0
VSS

VREF
VSS
DQ4
DQ5
VDD
DM0
DQ6
VSS
DQ7
DQ12
VDD
DQ13
DM1
VSS
DQ14
DQ15
VDD
VDD
VSS
VSS

DQ16
DQ17
VDD
DQS2
DQ18
VSS
DQ19
DQ24
VDD
DQ25
DQS3
VSS
DQ26
DQ27
VDD
CB0
CB1
VSS
DQS8
CB2
VDD
CB3
DU
VSS
CK2
CK2
VDD
CKE1
DU/A13
A12
A9
VSS
A7
A5
A3
A1
VDD
A10/AP
BA0
WE
S0
DU
VSS
DQ32
DQ33
VDD
DQS4
DQ34
VSS
DQ35
DQ40
VDD
DQ41
DQS5
VSS
DQ42
DQ43
VDD
VDD
VSS
VSS
DQ48
DQ49
VDD
DQS6
DQ50
VSS
DQ51
DQ56
VDD
DQ57
DQS7
VSS
DQ58
DQ59
VDD
SDA
SCL
VDD(SPD)
VDD(ID)

C760 C725

0.1U/50V

DQ20
DQ21
VDD
DM2
DQ22
VSS
DQ23
DQ28
VDD
DQ29
DM3
VSS
DQ30
DQ31
VDD
CB4
CB5
VSS
DM8
CB6
VDD
CB7
DU/RESET
VSS
VSS
VDD
VDD
CKE0
DU/BA2
A11
A8
VSS
A6
A4
A2
A0
VDD
BA1
RAS
CAS
S1
DU
VSS
DQ36
DQ37
VDD
DM4
DQ38
VSS
DQ39
DQ44
VDD
DQ45
DM5
VSS
DQ46
DQ47
VDD
CK1
CK1
VSS
DQ52
DQ53
VDD
DM6
DQ54
VSS
DQ55
DQ60
VDD
DQ61
DM7
VSS
DQ62
DQ63
VDD
SA0
SA1
SA2
DU

PC2100 DDR SDRAM SO-DIMM (200P)

2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
52
54
56
58
60
62
64
66
68
70
72
74
76
78
80
82
84
86
88
90
92
94
96
98
100
102
104
106
108
110
112
114
116
118
120
122
124
126
128
130
132
134
136
138
140
142
144
146
148
150
152
154
156
158
160
162
164
166
168
170
172
174
176
178
180
182
184
186
188
190
192
194
196
198
200

AMP_DDR_SODIMM(REVERSE)

CLOCK 0,1,2

0.1U/50V
MD5
MD0

C334

C404

.01U/25V_0402
0.1U/50V

C405

C435

0.047U/10V_0402

0.047U/10V_0402

C430

C434

0.047U/10V_0402

.01U/25V_0402

0.047U/10V_0402

2.5VSUS

SDM0
MD3

C336
MD7
MD9

C616

C687

C427

C339

C717

0.047U/10V_0402
C331
0.1U/50V 0.1U/50V .01U/25V_0402

0.1U/50V
MD13
SDM1

0.047U/10V_0402

0.047U/10V_0402

MD10
MD15

2.5VSUS
0.1U/50V
C735

MD16
MD17

C432

.01U/25V_0402 0.047U/10V_0402 0.047U/10V_0402

C746 C767 C341

C774 C342

C429

C363

0.1U/50V
.01U/25V_0402

SDM2
MD22

C410

0.047U/10V_0402
0.1U/50V

0.1U/50V

.01U/25V_0402

2.5VSUS

MD19
MD29

0.047U/10V_0402 0.047U/10V_0402

MD25
SDM3

C792

C797

C361

0.1U/50V

0.1U/50V

C352

C413

C426 C385

.01U/25V_0402

.01U/25V_0402

MD26
MD27

.01U/25V_0402

M_CB4
M_CB5

2.5VSUS
B

0.1U/50V

.01U/25V_0402

M_CB6
C596

C377

C641

C671

C353

C395

C412

C374

M_CB7
0.1U/50V

0.1U/50V

.01U/25V_0402
CKE0

0.047U/10V_0402

0.047U/10V_0402 0.047U/10V_0402

CKE0 <5,11>

MA11
MA8

SMDDR_VREF

MA6
R_MA4
R_MA2
MA0

R_MA4 <5,11>
R_MA2 <5,11>

BA1
SRASA#
SCASA#
-SM_CS1

2.5VSUS

C316

C314

C742

C638

0.1U/50V

0.047U/10V_0402

0.1U/50V

0.1U/50V

BA1 <11>
SRASA# <11>
SCASA# <11>

MD36
MD32

C397

C388
.01U/25V_0402

0.047U/10V_0402

2.5VSUS

SDM4
MD34

C588

C428

C794

C340

MD38
MD45

10U/6.3V
X5R

10U/6.3V
X5R

10U/6.3V
X5R

10U/6.3V
X5R

MD44
SDM5
2.5VSUS
MD46
MD42
CLK_SDRAM1#
CLK_SDRAM1

CLK_SDRAM1# <5>
CLK_SDRAM1 <5>

MD52
MD53

C656

C678

0.1U/50V

0.1U/50V 0.1U/50V

C771

SDM6
MD55
MD50
MD56

SMDDR_VREF

MD60
SDM7
C571
MD59
MD58

C317

C567

C309

0.1U/50V 0.1U/50V 0.1U/50V 0.1U/50V

SMbus address A0

QUANTA
COMPUTER

CKE 0,1 CKE 0,1


Title

System DRAM Expansion (200P-DDR_SODIMM X 2)


Size

Document Number

R ev
D

ZI6
Date:
1

Friday, April 02, 2004


7

Sheet

10
8

of

43

SMDDR_VTERM

R_SDM[0..7]
SDM[0..7]

RN31

SM_DQS2
MD23
MD18
MD28

10
9
8
7
6

SMDDR_VTERM
1
2
3
4
5

10P8R_56
SMDDR_VTERM

SMDDR_VTERM

10
9
8
7
6

1
2
3
4
5
10P8R_56

SMDDR_VTERM
MD4
MD1
SM_DQS0
MD2

MD5
MD0
SDM0
MD3

1
2
3
4
5
10P8R_56

SMDDR_VTERM

SMDDR_VTERM

RN36

MD33
MD37
SM_DQS4
MD35

SMDDR_VTERM

10
9
8
7
6

1
2
3
4
5
10P8R_56

SMDDR_VTERM

C802

22U/10V

0.1U/50V 0.1U/50V

C809

C702

C584

C579

0.1U/50V

0.047U/10V_0402

0.047U/10V_0402 0.047U/10V_0402

C733

C575

C589

C618

C592

0.047U/10V_0402

0.047U/10V_0402

0.047U/10V_0402

0.047U/10V_0402 0.047U/10V_0402 0.047U/10V_0402

C807

C602

MD36
MD32
SDM4
MD38

SMDDR_VTERM
R_MD7
R_MD6
R_MD3
R_MD2
R_MD1
R_MD0
R_MD4
R_MD5

RN3
1
3
5
7
1
3
5
7

8P4R_22
2
4
6
8
2
4
6
8

RN1

8P4R_22

RN6
1
3
5
7
1
3
5
7

8P4R_22
2
4
6
8
2
4
6
8

RN4

8P4R_22

MD7
MD6
MD3
MD2
MD1
MD0
MD4
MD5

C672
0.047U/10V_0402

C597

C688

0.047U/10V_0402 C606

0.047U/10V_0402 C718

SMDDR_VTERM

C813
C814

C815

0.047U/10V_0402 10U/6.3V
X5R

0.047U/10V_0402

10U/6.3V
X5R

10U/6.3V
X5R

SMDDR_VTERM

RN29

MD6
MD12
SM_DQS1
MD8

10
9
8
7
6

1
2
3
4
5
10P8R_56

MD7
MD9
MD13
SDM1

SMDDR_VTERM

RN37

MD39
MD41
MD40
SM_DQS5

SMDDR_VTERM

10
9
8
7
6

1
2
3
4
5
10P8R_56

SMDDR_VTERM

C812

MD25
SDM3
MD26
MD27

RN28
10
9
8
7
6

For terminal R-pack.

RN32

MD24
SM_DQS3
MD30
MD31

R_SDM[0..7] <5>
SDM[0..7] <10>

SDM2
MD22
MD19
MD29

CKE[0..3] <5,10>
-SM_CS[0..3] <5,10>
M_CB[0..7] <10>
MD[0..63] <10>
R_MD[0..63] <5>
MA[0..12] <10>
R_MA[0..12] <5,10>
SM_DQS[0..7] <10>
R_SM_DQS[0..7] <5>

SMDDR_VTERM
CKE[0..3]
-SM_CS[0..3]
M_CB[0..7]
MD[0..63]
R_MD[0..63]
MA[0..12]
R_MA[0..12]
SM_DQS[0..7]
R_SM_DQS[0..7]

RN25 8P4R_22
1
2
3
4
5
6
7
8
1
2
3
4
5
6
7
8

R_MD61
R_MD60
R_MD56
R_MD57
R_MD58
R_MD63
R_MD62
R_MD59

SMDDR_VTERM

RN39

SM_DQS6
MD54
MD51
MD57

MD61
MD60
MD56
MD57
MD58
MD63
MD62
MD59

10
9
8
7
6

1
2
3
4
5

MD53
MD55
MD50
MD56

1
2
3
4
5

MD60
SDM7
MD59
MD58

10P8R_56
SMDDR_VTERM

SMDDR_VTERM

RN40

MD61
SM_DQS7
MD62
MD63

RN27 8P4R_22

10
9
8
7
6
10P8R_56

R_MA0
R_MA6
R_MA3
R_MA7
R_MA8
R_MA11
R_MA9
R_MA12

RN14 8P4R_10
1
2 MA0
3
4 MA6
5
6 MA3
7
8 MA7
1
2 MA8
3
4 MA11
5
6 MA9
7
8 MA12
RN13
8P4R_10

SMDDR_VTERM

R_MD15
R_MD11
R_MD10
R_MD14
R_MD13
R_MD8
R_MD9
R_MD12

MD15
MD11
MD10
MD14
MD13
MD8
MD9
MD12

C779

10
9
8
7
6
10P8R_56

R_BA1
R_SRASA#
R_SCASA#
-SM_CS3
SMDDR_VTERM

1
3
5
7

2
4
6
8

SRASA#
SCASA#
BMWEA#
MA10

R_MD20
R_MD21
R_MD17
R_MD16
R_MD19
R_MD18
R_MD22
R_MD23

RN7
1
3
5
7
1
3
5
7

8P4R_22
2
4
6
8
2
4
6
8

RN9

8P4R_22

RN12 8P4R_22
1
2
3
4
5
6
7
8
1
2
3
4
5
6
7
8

R_MD31
R_MD26
R_MD27
R_MD30
R_MD25
R_MD24
R_MD29
R_MD28

RN15 8P4R_10

SMDDR_VTERM

RN34

R_MA1
R_MA10
R_BMWEA#
-SM_CS2

10
9
8
7
6

R_MA6
R_MA4
R_MA2
R_MA0

1
2
3
4
5
10P8R_56

SMDDR_VTERM

SMDDR_VTERM

10
9
8
7
6

1
2
3
4
5

R_MA11
R_MA8

10P8R_56
SMDDR_VTERM

<5,10> SMA_B5

SMDDR_VTERM

0.047U/10V_0402

R_SM_DQS0 3
R_SDM0
1

MD20
MD21
MD17
MD16
MD19
MD18
MD22
MD23

MD31
MD26
MD27
MD30
MD25
MD24
MD29
MD28

SM_DQS0
SDM0

SM_DQS2
SDM2

R_SM_DQS6 3
R_SDM6
1

4P2R_S_10
RN23
4
2

SM_DQS6
SDM6

R_SM_DQS1 3
R_SDM1
1

4
2

SM_DQS1
SDM1

R_SDM4
3
R_SM_DQS4 1

4P2R_S_10
RN17
4
2

SDM4
SM_DQS4

R_SDM3
3
R_SM_DQS3 1

4P2R_S_10
RN11
4
2

SDM3
SM_DQS3

4P2R_S_10
RN20
R_SM_DQS5
3
4
R_SDM5 1
2

SM_DQS5
SDM5

4P2R_S_10
RN26
R_SM_DQS7
3
4
R_SDM7 1
2

RN5

SM_DQS7
SDM7

4P2R_S_10
RN30

SMDDR_VTERM
MD14
MD11
MD21
MD20

4P2R_S_10

10
9
8
7
6

4P2R_S_10
1
2
3
4
5

10P8R_56

MD38
MD34
MD39
MD35
MD36
MD32
MD37
MD33

4
2

4
2

MD47
MD43
MD49
MD48

MD10
MD15
MD17
MD16
SMDDR_VTERM

RN38
10
9
8
7
6

1
2
3
4
5
10P8R_56

MD46
MD42
MD52
SDM6
C

SMDDR_VTERM

RN33
M_CB0
M_CB1
M_CB2
M_CB3

10
9
8
7
6

1
2
3
4
5

M_CB4
M_CB5
M_CB6
M_CB7

*10P8R_56

MD42
MD46
MD47
MD43
MD44
MD45
MD41
MD40

ECC

RN19 8P4R_22

RP11

CKE2
SMA_B5
R_MA3
R_MA5

10
9
8
7
6

CKE0
CKE1
SMA_B4
SMA_B2

1
2
3
4
5
10P8R_56

<5,10> R_BA0
<5,10> R_BA1

RN21 8P4R_22
1
2
3
4
5
6
7
8
1
2
3
4
5
6
7
8

R_MD42
R_MD46
R_MD47
R_MD43
R_MD44
R_MD45
R_MD41
R_MD40

C734

C576

RN2

RN16 8P4R_22

RP10

R_MA12
R_MA9
CKE3
R_MA7

RN18 8P4R_22
1
2
3
4
5
6
7
8
1
2
3
4
5
6
7
8

R_MD38
R_MD34
R_MD39
R_MD35
R_MD36
R_MD32
R_MD37
R_MD33

C595

0.047U/10V_0402 0.047U/10V_0402 0.047U/10V_0402

R_SM_DQS2 3
R_SDM2
1

SMDDR_VTERM

SRASA# <10>
SCASA# <10>
BMWEA# <10>

C591
0.047U/10V_0402 C642

0.047U/10V_0402

RN10 8P4R_22
R_SRASA#
R_SCASA#
R_BMWEA#
R_MA10

<5,10> R_SRASA#
<5,10> R_SCASA#
<5,10> R_BMWEA#

C743
0.047U/10V_0402 C772

RN8

SMDDR_VTERM

1
2
3
4
5

C761

0.047U/10V_0402 0.047U/10V_0402

RN35

SMA_B1
R_BA0
-SM_CS0
-SM_CS1

<5,10> SMA_B1

MD34
MD45
MD44
SDM5

R_BA0
R_BA1

R323
R318

SMDDR_VTERM
10_0402
BA0
10_0402
BA1

RN22 8P4R_22
1
2
3
4
5
6
7
8
1
2
3
4
5
6
7
8

R_MD53
R_MD48
R_MD49
R_MD52
R_MD50
R_MD55
R_MD51
R_MD54

SMA_B4 <5,10>
SMA_B2 <5,10>

BA0 <10>
BA1 <10>

MD53
MD48
MD49
MD52
MD50
MD55
MD51
MD54

RN24 8P4R_22

QUANTA
COMPUTER

Title

DDR RES. ARRAY


Size

Document Number

R ev
D

ZI6
Date:
1

Friday, April 02, 2004


7

Sheet

11
8

of

43

200

66IN

66 Input

133

66IN

66 Input

SELPSB1_CLK

66

66

66 Input

SELPSB0_CLK

133

66

66 Input

C209
10P/50V
1

XOUT
CLK_PWDN#
STP_PCI#
STP_CPU#

<38> CLK_EN#
+3V

<10> CGCLK_SMB
<10> CGDAT_SMB

+3V

1 R243
2
1K
R172
*10K

R248
*10K

PWRGD#
SCLK
SDATA

SELPSB2_CLK
SELPSB1_CLK
SELPSB0_CLK

40
55
54

SEL2
SEL1
SEL0

CLKVDD_PCI

1
8
14
19
32

VDD_REF
VDD_PCI_1
VDD_PCI_2
VDD_3V66_1
VDD_3V66_2

46
50

VDD_CPU_1
VDD_CPU_2

42

IREF

43

MULT0

37

VDD_48MHZ

+3V

475/F
CLK_IREF
2
10K
2 CK_MULT0
CLK_48MVDD

3 0 0 o h m s @ 1 0 0Mhz
HWPG_POWER-G

R271

*10K

2
1

<6,9,27,31> HWPG_POWER-G

L8

C265
0.01U/50V
36

Q29
*MMBT3904

GND_48MHZ
ICS950810

+3V

27

R_HCLK_CPU
R_HCLK_CPU#

R224
R229

33/F
33/F

HCLK_CPU
HCLK_CPU#

CPU1
CPU#1

49
48

R_HCLK_MCH
R_HCLK_MCH#

R206
R215

33/F
33/F

HCLK_MCH
HCLK_MCH#

CPU0
CPU#0

52
51

R_HCLK_ITP
R_HCLK_ITP#

R187
R199

*33/F
*33/F

3V66_0
3V66_1/VCH

33
35

R_3V66_0
R_CLK66_DVO

R253
R252

*33/F RR_3V66_0
33/F

66IN/3V66_5
66B2/3V66_4
66B1/3V66_3
66B0/3V66_2

24
23
22
21

R_CLK66_ATI
R_CLK66_ICH
R_CLK66_MCH

R257
R254
R250

#33/F CLK66_ATI
33/F
33/F

*PADT17
R_PCLK_ICH
R_PCIF1
R_PCIF0

R186
R178
R165

33/F
*33/F R_PCIF1
*33/F R_PCIF0

7
6
5

C281 1

2 *0.1U

HCLK_CPU <3>
HCLK_CPU# <3>
HCLK_MCH <5>
HCLK_MCH# <5>

CLK66_DVO
CLK66_ATI <13>
CLK66_ICH <9>
CLK66_MCH <6>

CLK66_ICH
CLK66_MCH
PCLK_ICH
C202 1
1
C193

14M_ICH <9>

C189
*10P

14M_REF

PCLK_ICH <8>

2 *0.1U
2
*0.1U

PCI6
PCI5
PCI4
PCI3
PCI2
PCI1
PCI0

18
17
16
13
12
11
10

R_PCLK_SIO
R_PCLK_PCM

R239
R230

33/F
33/F

PCLK_SIO
PCLK_PCM

*PADT15
R_PCLK_591
R_PCLK_MINI
R_PCLK_LAN
R_PCLK_1394

R221
R212
R204
R195

33/F
33/F
33/F
33/F

PCLK_591
PCLK_MINI
PCLK_LAN
PCLK_1394

48M_USB
48M_DOT

39
38

R_CLK48_USB
R_CLK48_DOT

R244
R247

22/F
33/F

CLK48_USB

+5V

C282
0.1U/50V

R259
10K

FBMJ2125HM330_T

<9> PDAT_SMB

C264
1000P/50V

PCLK_591
PCLK_PCM
DREFCLK48
PCLK_MINI
CLK48_USB
PCLK_LAN

HCLK_CPU
HCLK_CPU#
HCLK_MCH
HCLK_MCH#

These are for backdrive issue

C220
C244
0.01U/50V 0.01U/50V

CLKVDD_3V66

PCLK_SIO <30>
PCLK_PCM <21>
PCLK_591 <31>
PCLK_MINI <25>
PCLK_LAN <26>
PCLK_1394 <24>
CLK48_USB <9>
DREFCLK48 <6>

CGDAT_SMB

C272
*10P

C231
10P/50V
C239
10P/50V

+5V

C275
*10P

C228
10P/50V

C258
10P/50V

C234
15P

C210
10P/50V

C245
10P/50V

C249
10P/50V

Q31
RHU002N06

FBMJ2125HM330_T

PCLK_1394
CLK66_MCH
CLK66_ICH
CLK66_DVO
PCLK_ICH
PCLK_SIO

R258
10K

L9

*49.9/F
*49.9/F

C188
*10P

56

CLKVDD_CPU
C168
0.1U/50V
300mA ( MAX. )

L14

R192
R191

14M_SIO <30>

FBMJ2125HM330_T

+3V
C288
10U/6.3V
X5R

14M_ICH

49.9/F
49.9/F

45
44

PCI_F2
PCI_F1
PCI_F0

4
9
15
20
31

C286
10U/6.3V
X5R

14M_SIO

33/F

REF

FBMJ2125HM330_T

+3V

CLK_EN#

CK-408

33/F

R176

CPU2
CPU#2

PWR_DWN#
PCI_STP#
CPU_STP#

30
29

CLKVDD_CPU

+3V

25
34
53
28

STP_CPU#

L15

XTAL_OUT

CGCLK_SMB
CGDAT_SMB

CLKVDD_3V66

R260
10K

XTAL_IN

CLK_EN#

STP_PCI#

R235
1
R237
1

26

<9> STP_PCI#
<9,38> STP_CPU#

VSSA

R198
R209

R175

GND_IREF
GND_CPU

R173
*1K

U16

49.9/F
49.9/F

41
47

R174
1K

Y3
14.318MHZ_DSX530G

R185
2M

66 Input
66 Input

R226
R238

XIN

66
66

C208
10P/50V
2
1

100
200

1
0

R156
1K

C285
10U/6.3V
X5R

R157
*1K

C278
0.01U/50V

66 Input

66 Input

66IN

66IN

100

+3V

66

FBMJ2125HM330_T

3 0 0 o h m s @ 1 0 0Mhz

L16

VDDA_CKG

+3V

3V66_5/66IN

VDDA

3V66[0..4]

GND_REF
GND_PCI_1
GND_PCI_2
GND_3V66_1
GND_3V66_2

CPU

S0

S1

S2

C259
10P/50V

C262
10P/50V

C276
10P/50V
C280
10P/50V

C214
15P
C273
10P/50V

CLKVDD_PCI
C235
0.1U/50V

C253
0.1U/50V

C216
1000P/50V

<9> PCLK_SMB

CGCLK_SMB

1
Q30
RHU002N06

R659

*0

+3V
+3V
R214

L11
5

C238

C934
0.1U/50V
2
1

*10P

U14

*33

*BLM21A601S
R183

<6,17>
<9>
<9>
<6,17>

LCLKCTLB
CLK_SMB
DAT_SMB
LCLKCTLA

*100K

1
5

CLKIN
REF_OUT/FS_IN1

7
6

SCLK
SDATA

PD#

VDD

DVDD91718
C227
*0.047U/16V

VSS
CLKOUT/FS_IN0

3
4

CLK66_DVO
C232

C226

*22U/10V

*0.1U/50V

<9> SUSA#

<9,31> SUSB#

U50
4 TC7SH08FU

CLK_PWDN#

CLK66_DVO
DVDD91718
R170
R196
*0
R205
*0
R190
*0
R181
*0

0
R184

<6> SSCLK66

S_SSCLK66

*33/F S_SSCLK66

C201

QUANTA
COMPUTER

*10P/50V

CLK_PWDN#
*ICS91718
R177
*10K

IN1
* 1
1

IN0
0
1

66Mhz
66Mhz

-1%
+/- 1%

Title

DS
CS

CLOCK GENERATOR
Size

Document Number

R ev
D

ZI6
Date:
1

Friday, April 02, 2004


7

Sheet

12
8

of

43

U51A

*10K_0402

VGA_GPIO3

R675

*10K_0402

VGA_PWR

R855

*10K_0402

R856

*10K_0402

<6,17>
<6,17>
<6>
<6>

N27
R30
U29
W28

GCBE0#
GCBE1#
GCBE2#
GCBE3#

CLK66_ATI

<12> CLK66_ATI

AG30
AH30
AF29
AF27
R28
T27
T29
T28
T30
U27
AH29

PCICLK
RST#
REQ#
GNT#
PAR
STOP#
DEVSEL#
TRDY#
IRDY#
FRAME#
INTA#

AE27

WBF/SERR#

AG29
AG28
AE30
N29
W29
AC29

STP_AGP#
AGP_BUSY#
RBF#
AD_STB0
AD_STB1
SB_STB

AD29
AE28
AD30
AD27
AC30
AC27
AB29
AC28

SBA0
SBA1
SBA2
SBA3
SBA4
SBA5
SBA6
SBA7

ST0
ST1
ST2

AF30
AF28
AE29

ST0
ST1
ST2

<6> SB_STB#
<6,17> AD_STB0#
<6> AD_STB1#

AD28
M28
Y29

SB_STB#
ADSTRB0#
ADSTRB1#

PCIRST#

<8,16,22,25,26,30,31> PCIRST#
<6> GREQ#
<6> GGNT#
<6> GPAR
<6> GSTOP#
<6,17> GDEVSEL#
<6> GTRDY#/DVICLK
CLK66_ATI_1
<6,17> GIRDY#
<6> GFRAME#/DVIDATA
C939
<8> PIRQA#
*5P_0402

R684
*33_0402

GTRDY#/DVICLK
GIRD Y#
GFRAME#/DVIDATA

<6> GWBF#
<9> STP_AGP#
<6,9> AGP_BUSY#
R692

#10K_0402

+3V
R693

#10K_0402

<6> GRBF#
<6,17> AD_STB0
<6> AD_STB1
<6> SB_STB
<6> SBA[0..7]

<6>
<6>
<6>

<6,17> VREF
+1.5V
C942
#.1U/10V_0402

Close to ASIC K30 pin

SBA0
SBA1
SBA2
SBA3
SBA4
SBA5
SBA6
SBA7

R698

#47/F

R699

#715/F

<16,17,34> TV_C/R
<16,17,34> TV_Y/G
<16,17> TV_COMP

AGPTEST
R2SET

TV_C/R
TV_Y/G
TV_COMP
Z1001
Z1002

T132
T133
PNL_CLK
PNL_DATA

<6,18> PNL_CLK
<6,18> PNL_DATA

#15P_0402

K30
K29

AGPREF
AGPTEST

AJ24

R2SET

AK24
AK23
AK22
AG24
AG25

C_R
Y_G
COMP_B
H2SYNC
V2SYNC

AH25
AH26

DDC3CLK
DDC3DATA

AJ26
AJ25

SSIN
SSOUT

4
3

C945

C/BE#0
C/BE#1
C/BE#2
C/BE#3

R723
#1M

AJ29

XTALIN

VGA_XTALOUT

AJ30

XTALOUT

AH24
AE5

TESTEN
ROMCS#

AD24

RSTB_MSK

2
1

Y7
#27MHz

VGA_XTALIN

C946

#15P_0402

TESTEN
R719

Close to Chip
And trace as short as passable

R716
#1K_0402

ZV PORT / EXT TMDS / GPIO / ROM

R674

LVDS

VGA_GPIO2

TMDS

#10K_0402

GPIO0
GPIO1
GPIO2
GPIO3
GPIO4
GPIO5
GPIO6
GPIO7
GPIO8
GPIO9
GPIO10
GPIO11
GPIO12
GPIO13
GPIO14
GPIO15
GPIO16
TXVSSR/DVOMOD
ZV_LCDDATA0
ZV_LCDDATA1
ZV_LCDDATA2
ZV_LCDDATA3
ZV_LCDDATA4
ZV_LCDDATA5
ZV_LCDDATA6
ZV_LCDDATA7
ZV_LCDDATA8
ZV_LCDDATA9
ZV_LCDDATA10
ZV_LCDDATA11
ZV_LCDDATA12
ZV_LCDDATA13
ZV_LCDDATA14
ZV_LCDDATA15
ZV_LCDDATA16
ZV_LCDDATA17
ZV_LCDDATA18
ZV_LCDDATA19
ZV_LCDDATA20
ZV_LCDDATA21
ZV_LCDDATA22
ZV_LCDDATA23

DAC1

R672

PCI/AGP

VGA_GPIO1

AGP2X

#10K_0402

AGP4X

R671

AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31

DAC2

VGA_GPIO0

K28
L29
L27
L30
L28
M29
M27
M30
N30
N28
P29
P27
P30
P28
R29
R27
U28
U30
V27
V29
V28
V30
W27
W30
AA28
Y30
AA27
AA30
AB28
AA29
AB27
AB30

SSC

+3V
D

GAD0
GAD1
GAD2
GAD3
GAD4
GAD5
GAD6
GAD7
GAD8
GAD9
GAD10
GAD11
GAD12
GAD13
GAD14
GAD15
GAD16
GAD17
GAD18
GAD19
GAD20
GAD21
GAD22
GAD23
GAD24
GAD25
GAD26
GAD27
GAD28
GAD29
GAD30
GAD31

GAD0
GAD1
GAD2
GAD3
GAD4
GAD5
GAD6
GAD7
GAD8
GAD9
GAD10
GAD11
GAD12
GAD13
GAD14
GAD15
GAD16
GAD17
GAD18
GAD19
GAD20
GAD21
GAD22
GAD23
GAD24
GAD25
GAD26
GAD27
GAD28
GAD29
GAD30
GAD31

CLK

<6,17>
<6,17>
<6,17>
<6,17>
<6,17>
<6,17>
<6,17>
<6,17>
<6,17>
<6,17>
<6,17>
<6,17>
<6,17>
<6,17>
<6,17>
<6>
<6>
<6>
<6>
<6>
<6>
<6>
<6>
<6>
<6>
<6>
<6>
<6>
<6>
<6>
<6,17>
<6>

ZV_LCDCNTL0
ZV_LCDCNTL1
ZV_LCDCNTL2
ZV_LCDCNTL3

AJ2
AK2
AK1
AH3
AH2
AJ1
AF4
AH1
AG3
AF3
AG2
AF2
AG1
AF1
AE2
AE1
M1
AH12
AJ5
AK5
AG6
AH6
AJ6
AK6
AG7
AH7
AJ7
AK7
AG8
AH8
AJ8
AK8
AG9
AH9
AJ9
AK9
AG10
AH10
AJ10
AK10
AG11
AH11
AJ4
AK4
AH5
AG5

VGA_GPIO0
VGA_GPIO1
VGA_GPIO2
VGA_GPIO3

VGA_PWR

VGA_PWR <37>

no MCLK SS, M1=open


no TMDS, AH12=0

ATI_DDCCLK
ATI_DDCDAT
ZV_LCDDATA20

ZV_LCDCNTL0
ZV_LCDCNTL1
ZV_LCDCNTL2
ZV_LCDCNTL3

R685
R689
R690
R691

+3V

DIGON
BLON

AE13
AF13

TX0M
TX0P
TX1M
TX1P
TX2M
TX2P
TXCM
TXCP

AJ13
AK13
AJ14
AK14
AJ15
AK15
AJ12
AK12

TMDS_TX0M
TMDS_TX0P
TMDS_TX1M
TMDS_TX1P
TMDS_TX2M
TMDS_TX2P
TMDS_TXCM
TMDS_TXCP

DDC2CLK
DDC2DATA

AF12
AE12

TMDS_DDCCLK
TMDS_DDCDATA

HPD

AF11

R
G
B
HSYNC
VSYNC

AK28
AK27
AK26
AG26
AG27

RSET

AK25

DDC1DATA
DDC1CLK

AH28
AH27

SUS_STAT#

AJ28

AUXWIN

AJ27

LVDS_ATI_LCLVDS_ATI_LC+
LVDS_ATI_U0LVDS_ATI_U0+
LVDS_ATI_U1LVDS_ATI_U1+
LVDS_ATI_U2LVDS_ATI_U2+
LVDS_ATI_UCLVDS_ATI_UC+

LVDS_ATI_L0LVDS_ATI_L0+
LVDS_ATI_L1LVDS_ATI_L1+
LVDS_ATI_L2LVDS_ATI_L2+

<18>
<18>
<18>
<18>
<18>
<18>

LVDS_ATI_LCLVDS_ATI_LC+
LVDS_ATI_U0LVDS_ATI_U0+
LVDS_ATI_U1LVDS_ATI_U1+
LVDS_ATI_U2LVDS_ATI_U2+

<18>
<18>
<18>
<18>
<18>
<18>
<18>
<18>

@0_0402
@0_0402
@0_0402
@0_0402
@0_0402
@0_0402
@0_0402
@0_0402

R694

#330

R695

#330

R696

#330

R697

#330

TMDS_TX0M
TMDS_TX0P

TMDS_TX1M <18>
TMDS_TX1P <18>

TMDS_TX2M
TMDS_TX2P

TMDS_TX2M <18>
TMDS_TX2P <18>

TMDS_TXCM
TMDS_TXCP

TMDS_TXCM <18>
TMDS_TXCP <18>

TMDS_DDCCLK
TMDS_DDCDATA
DVI_0M
DVI_0P
DVI_1M
DVI_1P
DVI_2M
DVI_2P
DVI_CM
DVI_CP

TMDS_DDCCLK <18>
TMDS_DDCDATA <18>

<17>
<17>
<17>
<17>
<17>
<17>
<17>
<17>
ATI_RED <16>
ATI_GRN <16>
ATI_BLU <16>

TMDS_HPD <17,18>

ATIHSYNC <16>
ATIVSYNC <16>

+3V

R706
#20K/F
+2.5V

D47

RSET
R708 #499/F
ATI_DDCDAT
ATI_DDCCLK

SUSSTAT_VGA#
ATI_DDCDAT <16>
ATI_DDCCLK <16>
SUSSTAT_VGA#

VGA_AUXWIN R712
R714
R717
R722

#10K_0402
#47/F
#4.7K_0402
#4.7K_0402

+3V

LPC_PD#

LPC_PD# <9,30>
A

R710
#1K/F

Close to pin D8

+1.8V
C943
#10U/10V/U

2
#1SS355

QUANTA
COMPUTER

VDDR_VREF

#0_0402

C944
#.1U/10V_0402

R718
#1K/F

Title

ATI_M10_CSP64 (HOST, VIDEO O/P)-1


Size

#ATI_M11-CSP64_BGA
4

TMDS_TX0M <18>
TMDS_TX0P <18>

TMDS_TX1M
TMDS_TX1P

DISP_ON <6,18>
BLON <6,18>

MEMTEST
VGA_MEM0
VGA_MEM1

#220P_0402

Close to pin ASIC,depop when internal graphic only

LVDS_ATI_UC- <18>
LVDS_ATI_UC+ <18>

R777
R778
R779
R780
R781
R782
R783
R784

C941

+3V

Document Number

Rev
D

ZI6
Date:

C940
#220P_0402

#10K_0402
#10K_0402
#10K_0402
#10K_0402

LVDS_ATI_L0LVDS_ATI_L0+
LVDS_ATI_L1LVDS_ATI_L1+
LVDS_ATI_L2LVDS_ATI_L2+

AK16
AJ16
AK17
AJ17
AK18
AJ18
AK20
AJ20
AK19
AJ19
AH18
AG18
AH19
AG19
AH20
AG20
AH22
AG22
AH21
AG21

D8
C8
B7
B6

#10K_0402

PANEL_ID0 <9,18>
PANEL_ID1 <9,18>
PANEL_ID2 <9,18>

TXOUT_L0N
TXOUT_L0P
TXOUT_L1N
TXOUT_L1P
TXOUT_L2N
TXOUT_L2P
TXOUT_L3N
TXOUT_L3P
TXCLK_LN
TXCLK_LP
TXOUT_U0N
TXOUT_U0P
TXOUT_U1N
TXOUT_U1P
TXOUT_U2N
TXOUT_U2P
TXOUT_U3N
TXOUT_U3P
TXCLK_UN
TXCLK_UP

MVREF
MEMTEST
MEMVMODE
MEMVMODE1

R683

Friday, April 02, 2004

Sheet
1

13

of

43

U51B

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

C7
D7
C6
D6
C4
D4
C3
D3
A5
B5
A4
B4
A2
B2
A1
B1
E4
E3
F3
F4
H3
H4
J3
J4
C1
C2
D1
D2
F1
F2
G1
G2
R1
R2
T1
T2
V1
V2
W1
W2
T3
T4
U3
U4
W3
W4
Y3
Y4
Y1
Y2
AA1
AA2
AC1
AC2
AD1
AD2
AA3
AA4
AB3
AB4
AD3
AD4
AE3
AE4

B26
A26
B25
A25
C22
D21
C21
D20
C20
D22
C23
D23
A27
B27

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

H2
H1
J2
J1
K4
K3
L4
M3
L3
M4
N2
N1
N4
N3

G30
G28
B30
D26
D16
B15
D11
B10

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

D5
B3
G3
E1
U1
V3
AB1
AC3

G29
G27
B29
C26
C16
A15
C11
A10

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

C5
A3
G4
E2
U2
V4
AB2
AC4

B18
A19
A18
C19
B19
D19
B23
A23
B22
A22

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

P4
R3
R4
P2
P3
P1
K2
K1
L2
L1

U51C
A21
M15
N15
P15
B24
B20
A24
A20
B21
H8
H23
AC8
AC23
G7
G8
G9
G10
G11
G12
G13
G14
G15
G16
G17
G18
G19
G20
G21
G22
G23
G24
H7
J7
K7
L7
M7
N7
P7
R7
T7
U7
V7
W7
Y7
AA7
AB7
AC7

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

GROUND

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

MEMORY INTERFACE

J30
J29
H30
H29
F30
F29
E30
E29
J28
J27
H28
H27
F28
F27
E28
E27
D30
D29
C30
C29
A30
A29
A28
B28
D28
C28
D27
C27
D25
C25
D24
C24
D18
C18
D17
C17
D15
C15
D14
C14
B17
A17
B16
A16
B14
A14
B13
A13
D13
C13
D12
C12
D10
C10
D9
C9
B12
A12
B11
A11
B9
A9
B8
A8

M2
T12
T13
T14
T15
T16
U16
V16
W16
R15
R16
R17
R18
R19
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AC24
AB24
AA24
Y24
W24
V24
U24
T24
R24
P24
N24
M24
L24
K24
J24
H24

+1.5V

THERM
AC10
AC11

DPLUS
DMINUS

DBI_LO
DBI_HI
AGP8_DET#

+3V

A-Test

AGP8X
Y25
Y27
U25

R726
R727

#0_0402
#0_0402
R728

#47K

#ATI_M11-CSP64_BGA

QUANTA
COMPUTER

Title

ATI_M10_CSP64 DDR
Size

R ev
D

ZI6

#ATI_M11-CSP64_BGA
Date:
5

Document Number

Friday, April 02, 2004

Sheet
1

14

of

43

C952
#.1U/10V_0402
R735

#BLM11A121S
VDD_PNLIO1.8

C961
D

#10U/10V/U

C962
#.01U/25V_0402

C963
#.1U/10V_0402

C964
#.001U_0402

VDD_PNLPLL1.8

+1.8V
R736 #BLM11A121S

C972
C971
#10U/10V/U

C973

#.1U/10V_0402 #.1U/10V_0402

VDDR_25

+2.5V
R737

#BLM11A121S
C980
#10U/10V/U

C981
C982
#.1U/10V_0402 #.1U/10V_0402

VDDRC_MEM2.5
C1005

C983
#1U/6.3V

#.1U/10V_0402

VDD_MEMPLL1.8

+1.8V
R738

C1012
#.1U/10V_0402

#BLM11A121S
C989
#.1U/10V_0402

R739
VDD_DAC1.8

+1.8V
#BLM11A121S

C992
#.01U/25V_0402

C993
C994
#.1U/10V_0402 #10U/10V/U

+2.5V

C995
#.001U_0402

VDD_DAC2.5
R740

#BLM11A121S
C996
#10U/10V/U

C997
C998
#.1U/10V_0402 #.001U_0402
+2.5V

+2.5V

L74
#FBM2125HM330
VDDRC_MEM2.5

C1021
#10U/10V/U

C1022
C1023
C1024
C1025
#.1U/10V_0402 #.001U_0402 #.01U/25V_0402
#.001U_0402

+1.5V
C1032
#10U/10V/U

C1033
#10U/10V/U

C1037
C1038
C1039
#.1U/10V_0402 #.1U/10V_0402 #.1U/10V_0402

C1040
C1041
#.1U/10V_0402 #.1U/10V_0402

C1042
#.001U_0402

AK29

PVDD

AK30

PVSS

AE16
AF16
AG12
AG13
AG14
AG15
AH16
AH17
AH13
AH14
AH15

LVDDR_18
LVDDR_18
TXVDDR
TXVDDR
TXVDDR
TXVDDR
LVSSR
LVSSR
TXVSSR
TXVSSR
TXVSSR

AK21
AK11

LPVDD
TPVDD

AJ21
AJ11

LPVSS
TPVSS

AG16
AF17

LVDDR_25
LVDDR_25

AG17
AF18

LVSSR
LVSSR

F19
N6

VDDRH0
VDDRH1

F20
M6

VSSRH0
VSSRH1

A7

MPVDD

A6

MPVSS

AF23
AF24
AH23
AF20
AJ23
AE22
AE23
AJ22
AF19
AG23
AF22

AVDD
AVDD
VDD1DI
VDD2DI
A2VDDQ
AVSSN
AVSSN
A2VSSQ
VSS2DI
VSS1DI
AVSSQ

AE21
AF21
AE19
AE20

A2VDD
A2VDD
A2VSSN
A2VSSN

E9
E10
E11
E14
E15
E16
E17
E21
E22
E23
E24
E25

VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1

H26

VDDR1

E5
E6
E12
E13
E18
E19
E20
E26
F26

VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM

K25
L25
T25

VDDP
VDDP
VDDP

AA25
K26
L26
M26
N26
P26
R26
T26
U26
V26
Y26
AA26
AB26
AC26
K27
Y28

VDDP
VDDP
VDDP
VDDP
VDDP
VDDP
VDDP
VDDP
VDDP
VDDP
VDDP
VDDP
VDDP
VDDP
VDDP
VDDP

CORE & I/O POWER

VDD_PLL1.8

+1.8V

+1.8V

U51D

R734 #BLM11A121S

VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC

AJ3
V25
AG4
AH4
AF5
F6
G6
H6
P6
F7
V6
W6
AC6
AD6
AE6
F10
AE10
F11
AE11
F13
F14
AE14
AF14
AF15
AE17
AE18
F23
F24
AE24
F25
M25
N25

VDDC
VDDC
VDDC
VDDC
VDDC

W25
AC25
AF25
W26
AD26

VDDCI
VDDCI
VDDCI
VDDCI

AE15
F18
P25
U6

VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1

V5
G26
F5
G5
H5
J5
K5
L5
M5
N5
P5
R5
T5
U5
W5
Y5
AA5
AB5
AC5
AD5
E7
K6
R6
Y6
AA6
F8
F9
F15
F16
F21
F22
H25
J25
J26

VDDR4
VDDR4
VDDR4
VDDR4
VDDR3
VDDR3
VDDR3
VDDR3
VDDR3
VDDR3
VDDR3
VDDR3

AF6
AE7
AF7
AE8
AF8
AE9
AF9
AF10
AD25
AE25
AE26
AF26

VDDC15
VDDC15
VDDC15
VDDC15
VDDC15
VDDC15
VDDC15
VDDC15

L6
T6
AB6
F12
F17
G25
R25
AB25

TEST_YCLK
TEST_MCLK
PLLTEST
VREFG

E8
J6
AC22
AK3

VGA_CORE

C953
#10U/10V/U

C954
#10U/10V/U

+ C960
C955
#10U/10V/U

C956
#22U/16V

C957
#.01U/25V_0402

C958
#.01U/25V_0402

C959
#.001U_0402

#220U/2.5V-7343
D

C965
#.01U/25V_0402

C974
#.01U/25V_0402

C966
C967
C968
#.001U_0402 #.1U/10V_0402 #.1U/10V_0402

C975
#.01U/25V_0402

C986
#.001U_0402

C976
#.1U/10V_0402

C987
#.01U/25V_0402

C977
#.1U/10V_0402

C969
C970
#.001U_0402 #.1U/10V_0402

C978
#.001U_0402

C979
#.1U/10V_0402

C988
#.1U/10V_0402

+2.5V
C990
#10U/10V/U

C991
#22U/16V

C999
C1000
C1001
C1002
C1003
C1004
C984
C1006
#.01U/25V_0402 #.01U/25V_0402 #.1U/10V_0402 #.001U_0402 #.1U/10V_0402 #.001U_0402 #.01U/25V_0402 #.1U/10V_0402

C1007
#.01U/25V_0402

C1013
C1014
#.001U_0402 #.1U/10V_0402

C1015
C1016
#.01U/25V_0402 #.01U/25V_0402

C1017
C1018
C1019
C1020
#.01U/25V_0402 #.001U_0402 #.1U/10V_0402 #.1U/10V_0402

+3V

C1026
#.1U/10V_0402

C1027
#.1U/10V_0402

C1028
C1029
C1030
C1031
#.01U/25V_0402 #.001U_0402 #.001U_0402 #.1U/10V_0402

+1.5V

C1034
#.001U_0402

C1035
#.01U/25V_0402

+3V

C1036
#.1U/10V_0402

+2.5V

R741
#1K/F

QUANTA
COMPUTER

10mil Trace width

VREFG

Title
R742
#1K/F

ATI M10CSP64 Power


Size

Document Number

Rev
D

ZI6
Date:

C1009
#.1U/10V_0402

C1010
C1011
C985
#.001U_0402 #.1U/10V_0402 #.01U/25V_0402

#ATI_M11-CSP64_BGA
5

C1008
#.001U_0402

Friday, April 02, 2004

Sheet
1

15

of

43

D16
DA204U

TV-CHROMA

U56

COM

IN_B1

IN_B0

R499 C645
75/F
82P

82P

TV_Y/G

SEL

COM

C613

82P

82P

L22
TV-COMP

VCC

IN_B1

IN_B0

R494
75/F

TV_COMP

D17
DA204U

U57

VGA_GRN

C344

PR_RED <34>

NC7SB3157

VGA_RED1

GND

PR_INSERT#

TV_Y/G <13,17,34>

C369

D15
DA204U

+5V

TV-LUMA

VCC

L19
4

VGA_RED

SEL

1.8UH

TV_C/R

1.8UH
3

PR_INSERT#

CN9

L21
<13,17,34> TV_C/R

<28,31,34> PR_INSERT#

+3V

+5V

1
VGA_GRN1

C382

82P

82P

TV_COMP

PR_GRN <34>

TV_COMP <13,17>

1.8UH
C376

R311
75/F

GND
NC7SB3157
U58

PR_INSERT#
VGA_BLU

SEL

COM

VCC

IN_B1

IN_B0

+5V
VGA_BLU1

POSISTOR 1.1A,0.15 (SMD100-2018)

PR_BLU <34>

D45

F1

GND

+5V

NC7SB3157

POLY_SWITCH

+3V

VGA_VCC

VGA_VCC <18>

RB500V

VGA_RED1
R506
10K

VGA_GRN1

CRT_SENSE#

<9,34> CRT_SENSE#

R320
75/F
VGA_BLU1

RB500
C728
0.01U/50V

L56
BLM18BA470SN1

R321
75/F

D30
2

VGA_RED1

JVGA_R

R327
75/F

L57
BLM18BA470SN1
VGA_GRN1

JVGA_G
CN13
L58
BLM18BA470SN1

VGA_BLU1

<13> ATI_GRN
<6> NB_GRN
<13> ATI_BLU
<6> NB_BLU
<13> ATIHSYNC
C

<6> NBHSYNC
<13> ATIVSYNC
<6> NBVSYNC
<13> ATI_DDCDAT
<6> NB_DDCDAT
<13> ATI_DDCCLK
<6> NB_DDCCLK

R812

#0_0402

NB_RED

R813

@0_0402

ATI_GRN

R814

#0_0402

NB_GRN

R815

@0_0402

ATI_BLU

R816

#0_0402

NB_BLU

R817

@0_0402

ATIHSYNC

R818

#0_0402

NBHSYNC

R819

@0_0402

ATIVSYNC

R820

#0_0402

NB VSYNC

R821

@0_0402

ATI_DDCDAT

R822

#0_0402

NB_DDCDAT

R823

@0_0402

ATI_DDCCLK

R824

#0_0402

NB_DDCCLK

R825

@0_0402

JVGA_B

VGA_RED
T31
*PAD
R517
*10P

VGA_GRN

R509
*10P

R505
*10P

C739
10P/50V

VGA_BLU

C754
10P/50V

JVGA_NC

C768
10P/50V

+3V

VGA_VCC
+3V

VGAHSYNC

+3V
R530
2.2K

R527
4.7K

VGAVSYNC

R314
4.7K

DAT_DDC2

16
17

DS01A9_D2

R504
2.2K

Q34
RHU002N06

<6> NB_RED

ATI_RED

CRT PORT
DAT_DDC2_PR

<13> ATI_RED

6
11
1
7
12
2
8
13
3
9
14
4
10
15
5

DAT_DDC2
CLK_DDC2

CLK_DDC2_PR

CLK_DDC2

JVGA_HS
HSYNC

HSYNC

Q41
RHU002N06

<34>

L60
0
JVGA_VS

VSYNC
PCIRST2#

R608
2.2K

2
VGAHSYNC 1

L61
0

VSYNC <34>
R609
2.2K

C716
10P/50V

C793
10P/50V

C789
*10P

C778
*10P

C773
10P/50V

C788
10P/50V

+5V

+5V
+3V

D37
*DA204U

2
5

1
3
D

DAT_DDC2_PR <34>

<8,13,22,25,26,30,31> PCIRST#

C933
0.1U/50V
1
U49
4 TC7SH08FU

Q36
RHU002N06

PCIRST2#

2
D39
*DA204U
R658

1
3

*0

VGAVSYNC 1

CLK_DDC2_PR <34>

QUANTA
COMPUTER

Q38
RHU002N06

Title

CRT & TV-OUT


Size

Document Number

R ev
D

ZI6
Date:
1

Friday, April 02, 2004


7

Sheet

16
8

of

43

DVI_0M
DVI_0P
DVI_1M
DVI_1P
DVI_2M
DVI_2P
DVI_CP
DVI_CM

+3V
+1.5V

R121

R127

@4.7K_0402

@4.7K_0402

I2CCLK

Q87
@FDV301N
3

DVOBCLK#
DVOBCLK

R123
<6,12> LCLKCTLB

56
57

DVOBLANK#

DVOBCLKINT

46

DVOBHSYNC
DVOBVSYNC

4
5

*0_0402
DVOBINTRB#

13

<6,8,20,21,24> PCIRST1#

+3V

I2CDATA_P
I2CCLK_P
R162
R153
R133

14
15

*10K_0402
*10K_0402

7
8

*10K_0402

10

C204
R141

R163
R149

*10U/6.3V
@360K_0402

C182

C176

C164

R114
@360K_0402
R103
@360K_0402
@0.1U_0402
@0.1U_0402
@0.1U_0402
@140/F_0402
@2.4K_0402
C191

<6,13> VREF

21
22
24
25
27
28
30
31

H
V

BCO

SD
SC
GPIO1/TLDET*
GPIO0
AS
ISET
VSWING
VREF

@CH7009

47

C/H SYNC

48

CVBS

36

Y/G

37

C/R

38

CVBS/B

39

DVDD0
DVDD1
DVDD2

1
12
49

DGND0
DGND1
DGND2

6
11
64

DVDDV

45

TVDD0
TVDD1
TGND0
TGND1
TGND2

23
29
20
26
32

AVDD0
AVDD1
AGND0
AGND1
AGND2
VDD
GND0
GND1

18
44
16
17
41
33
34
40

RESET*

19

@0.1U_0402

TMDS_HPD
R169

@0_0402

DVO_CVBS

DVOBFLDSTL

R110

GAD14

TMDS_HPD <13,18>
GAD14 <6,13>

@75/F_0402

DV DD

L10

C195

@BLM11B121SB

+3V

C155
C158

C192

@0.1U_0402

@0.1U_0402
@0.1U_0402

@10U/6.3V

+1.5V
L7
C139

C138

C140

C133

@0.1U_0402 @0.1U_0402 @0.1U_0402 @10U/6.3V

*BLM11B121SB

+3V

DVO_AVDD

R91

Placed all of 0.1UF capacitors


as close as possible to their
respective pins

+3V
*0_0402

DVO_AVDD
C172

L6
C178

C137

@BLM11B121SB

REG_AVDD

1K/F_0402

C187

C125

R89
@226K/F
4

GND

EN

ADJ

C106
@MIC5205BM5

R88
@47P_0402
DVO_VDD

L5

@BLM11B121SB

C157

@15P_0402
@0.1U_0402

C132

@14.31818MHz
20ppm

C148

IN
OUT

@0.1U_0402 @0.1U_0402 @0.1U_0402 @10U/6.3V


@2.2U/10V

R164

+5V
U8

Y2

R155

PLaced all of 0.1UF capacitors


as close as possible to their
respective pins

POUT/TLDET*

35

1K/F_0402

+1.5V

DE

HPDET

DVO_XO

Place R1601,R1602 close to


respective pins.

XCLK*
XCLK

XO

R122
<6,12> LCLKCTLA

D11
D10
D9
D8
D7
D6
D5
D4
D3
D2
D1
D0

TV_COMP <13,16>
9

43

Q86
@FDV301N
3

50
51
52
53
54
55
58
59
60
61
62
63

TDC0*
TDC0
TDC1*
TDC1
TDC2*
TDC2
TLC
TLC*

U10
DVOBD11
DVOBD10
DVOBD9
DVOBD8
DVOBD7
DVOBD6
DVOBD5
DVOBD4
DVOBD3
DVOBD2
DVOBD1
DVOBD0

XI/FIN

@2.7K_0402

42

@2.7K_0402

*0_0402

TV_Y/G <13,16,34>

DVO_XI

R102

<13>
<13>
<13>
<13>
<13>
<13>
<13>
<13>

TV_C/R <13,16,34>

R101

2
I2CDATA

C141

C131

@0.1U_0402

@10U/6.3V

+3V

@360K_0402

@15P_0402

Vout = 1.242*( 1+ 430/226)


= 3.6V for UXGA.

R155, R164 are for ext &int used

CLOSE GMCH
+1.5V

C171

DVOBCLK#

66MHz

DVOBCLK

66MHz

DVOBLANK#

56KHz

DVOBCLKINT

66MHz

DVOBHSYNC

56KHz

DVOBVSYNC

60Hz

<6,13> GAD11
<6,13> GAD12
<6,13> GAD9
<6,13> GAD10
<6,13> GCBE0#
<6,13> GAD8
<6,13> GAD6
<6,13> GAD7
<6,13> GAD4
<6,13> GAD5
<6,13> GAD2
<6,13> GAD3
<6,13> AD_STB0#
<6,13> AD_STB0
<6,13> GCBE1#
<6,13> GAD13
<6,13> GAD0
<6,13> GAD1
<6,13> GAD30
<6,13> GDEVSEL#
<6,13> GIRDY#

GAD11
GAD12
GAD9
GAD10

R827
R828
R829
R830
R831
GAD8
R832
GAD6
R833
GAD7
R834
GAD4
R835
GAD5
R836
GAD2 R837
GAD3 R838
AD_STB0# R839
AD_STB0 R840
GCBE1# R841
GAD13 R842
GAD0 R843
GAD1 R844

@0_0402
@0_0402
@0_0402
@0_0402
@0_0402
@0_0402
@0_0402
@0_0402
@0_0402
@0_0402
@0_0402
@0_0402
@0_0402
@0_0402
@0_0402
@0_0402
@0_0402
@0_0402

R861
R862
R863

@0_0402
@0_0402
@0_0402

DVOBD11
DVOBD10
DVOBD9
DVOBD8
DVOBD7
DVOBD6
DVOBD5
DVOBD4
DVOBD3
DVOBD2
DVOBD1
DVOBD0
DVOBCLK#
DVOBCLK
DVOBLANK#
DVOBCLKINT
DVOBHSYNC
DVOBVSYNC

C177

C136

@0.1U_0402 @0.1U_0402 @0.1U_0402

DVOBINTRB#
I2CDATA
I2CCLK

QUANTA
COMPUTER

Title

DVO ( DVI + S_VIDEO )


Size

Document Number

R ev
D

ZI6
Date:
5

Sheet

Friday, April 02, 2004


1

17

of

43

PANEL ID:

FILTER FBM2125 HM330-T(4A,0.015)


+3V

LCDVCC_1

C440
R548
47_0805

C818
0.01U/50V

C823
C824
0.01U/50V 0.1U/50V

C820
4.7U/16V

BK1608HS121_T
VADJ

R_VADJ

Q78
RHU002N06

FILTER FBM2125 HM330-T(4A,0.015)

Q79
DTC144EUA

DVI_DET

3904

VIN

VIN_BLIGHT

C829
0.01U/50V

C828
0.1U/50V

TMDS_HPD

C433
10U/25V

DVI DETECT

3V_591

<9,13> PANEL_ID0

R556
10K

LID#

+1.5V

+3V

<9,13> PANEL_ID1

D34
FPBACK

TMDS_HPD <13,17>

R790
100K_0402

R557

1K

MXLID#

MXLID# <31>

<9,13> PANEL_ID2

PANEL_ID0

R547

10K

PANEL_ID1

R544

10K

PANEL_ID2

R549

10K

R785
@2.2K_0402

R553
1K

VADJ <31>

Q102
10K_0402 2

R789

L63
FBMJ2125HM330_T

C805
0.1U/50V

+1.5V

1SS355
LID#

LID# <23>

Q82
DTC144EUA

TMDS_DDCDATA_5V 3

C840
0.1U/50V
C446
*10P

C448
*10P

C449
*10P
2

BLON

DVIDATA <6>

Q98
@FDV301N

R787
@2.2K_0402

<6,13> BLON

0.1U/50V

L24

TRANSISTOR MOSFET RHU002N06(60V,200MA)

Q77
RHU002N06

+5V

PANEL_ID0
1
0
1
0
1

+3V

3
DISP_ON 2

<6,13> DISP_ON

C827
22U/16V

PANEL_ID1
1
0
0
1
1

C810
0.01U/50V
2

PANEL_ID2
0
1
1
1
1

XGA
UXGA
SXGA+
SXGA
NC

Q80
SI3456DV

R545
1K

ID3
ID4
ID5
ID6
ID7

L67
FBMJ2125HM330_T

6
5
2
1

R546
100K

+3V

LCDVCC

+12V

TMDS_DDCCLK_5V
2

+3V

LVDS_L0- R743
LVDS_L0+ R744
LVDS_L1- R745
LVDS_L1+ R746
LVDS_L2- R747
LVDS_L2+ R748
LVDS_LC- R749
LVDS_LC+ R750

#0_0402
#0_0402
#0_0402
#0_0402
#0_0402
#0_0402
#0_0402
#0_0402

LVDS_ATI_L0LVDS_ATI_L0+
LVDS_ATI_L1LVDS_ATI_L1+
LVDS_ATI_L2LVDS_ATI_L2+
LVDS_ATI_LCLVDS_ATI_LC+

LVDS_U0- R751
LVDS_U0+ R752
LVDS_U1- R753
LVDS_U1+ R754
LVDS_U2- R755
LVDS_U2+ R756
LVDS_UC- R757
LVDS_UC+R758

#0_0402
#0_0402
#0_0402
#0_0402
#0_0402
#0_0402
#0_0402
#0_0402

LVDS_ATI_U0LVDS_ATI_U0+
LVDS_ATI_U1LVDS_ATI_U1+
LVDS_ATI_U2LVDS_ATI_U2+
LVDS_ATI_UCLVDS_ATI_UC+

LVDS_ATI_L0- <13>
LVDS_ATI_L0+ <13>
LVDS_ATI_L1- <13>
LVDS_ATI_L1+ <13>
LVDS_ATI_L2- <13>
LVDS_ATI_L2+ <13>
LVDS_ATI_LC- <13>
LVDS_ATI_LC+ <13>

+3V

+5V

R791
10K_0402

+5V

Add new

R358

LVDS_ATI_U0- <13>
LVDS_ATI_U0+ <13>
LVDS_ATI_U1- <13>
LVDS_ATI_U1+ <13>
LVDS_ATI_U2- <13>
LVDS_ATI_U2+ <13>
LVDS_ATI_UC- <13>
LVDS_ATI_UC+ <13>

TMDS_DDCCLK_5V

TMDS_DDCCLK <13>

PNL_DATA

PANEL_ID0
PANEL_ID1
PANEL_ID2
R_VADJ
LCDVCC_1
+3V
A

+5V

VIN_BLIGHT

+5V
LVDS_U0+
LVDS_U0-

C1069
.01U/25V_0402

C1070
330p/25V_0402

LVDS_U1+
LVDS_U1-

41

40
38
36
34
32
30
28
26
24
22
20
18
16
14
12
10
8
6
4
2

42

PNL_CLK
PNL_DATA

<6,13> PNL_CLK
<6,13> PNL_DATA

FOR EMI

39
37
35
33
31
29
27
25
23
21
19
17
15
13
11
9
7
5
3
1

LVDS_L0LVDS_L0+

LVDS_L0- R759
LVDS_L0+ R760
LVDS_L1- R761
LVDS_L1+ R762
LVDS_L2- R763
LVDS_L2+ R764
LVDS_LC- R765
LVDS_LC+ R766

@0_0402
@0_0402
@0_0402
@0_0402
@0_0402
@0_0402
@0_0402
@0_0402

LVDS_NB_L0LVDS_NB_L0+
LVDS_NB_L1LVDS_NB_L1+
LVDS_NB_L2LVDS_NB_L2+
LVDS_NB_LCLVDS_NB_LC+

LVDS_U0- R767
LVDS_U0+ R768
LVDS_U1- R769
LVDS_U1+ R770
LVDS_U2- R771
LVDS_U2+ R772
LVDS_UC- R773
LVDS_UC+R774

@0_0402
@0_0402
@0_0402
@0_0402
@0_0402
@0_0402
@0_0402
@0_0402

LVDS_NB_U0LVDS_NB_U0+
LVDS_NB_U1LVDS_NB_U1+
LVDS_NB_U2LVDS_NB_U2+
LVDS_NB_UCLVDS_NB_UC+

LVDS_L2LVDS_L2+

TMDS_DDCDATA <13>

CN37

LVDS_NB_L0- <6>
LVDS_NB_L0+ <6>
LVDS_NB_L1- <6>
LVDS_NB_L1+ <6>
LVDS_NB_L2- <6>
LVDS_NB_L2+ <6>
LVDS_NB_LC- <6>
LVDS_NB_LC+ <6>

<13> TMDS_TX1M

<13> TMDS_TX0M
<13> TMDS_TXCP

LVDS_NB_U0- <6>
LVDS_NB_U0+ <6>
LVDS_NB_U1- <6>
LVDS_NB_U1+ <6>
LVDS_NB_U2- <6>
LVDS_NB_U2+ <6>
LVDS_NB_UC- <6>
LVDS_NB_UC+ <6>

TMDS_TX2M
TMDS_DDCDATA_5V
TMDS_TX1M

TMDS_TX0M
TMDS_TXCP

1
3
5
7
9
11
13
15
17
19
21
23

1
3
5
7
9
11
13
15
17
19
21
23

2
4
6
8
10
12
14
16
18
20
22
24

2
4
6
8
10
12
14
16
18
20
22
24

26
28
30
32
34

26
28
30
32
34

25
27
29
31
33

25
27
29
31
33

TMDS_TX2P

TMDS_TX2P <13>

TMDS_DDCCLK_5V
TMDS_TX1P

TMDS_TX1P <13>
VGA_VCC <16>

DVI_DET
TMDS_TX0P

TMDS_TX0P <13>

TMDS_TXCM

TMDS_TXCM <13>

FOX_QH81121-FK2_24P

LVDS_L1LVDS_L1+

DVI PORT

LVDS_LCLVDS_LC+

FOXCONN for B-test


A

FPBACK
LVDS_U2+
LVDS_U2-

TMDS_TX0P
TMDS_TX0M
TMDS_TX1P
TMDS_TX1M
TMDS_TX2P
TMDS_TX2M
TMDS_TXCP
TMDS_TXCM

LVDS_UCLVDS_UC+

CN15

FOR EMI

TMDS_DDCDATA_5V

Q101
#FDV301N

2.2K_0402

PNL_CLK

C1068
330p/25V_0402

R794
#4.7K_0402

Q100
#FDV301N

<13> TMDS_TX2M

C1067
330p/25V_0402

R793
10K_0402

R792
#4.7K_0402
2

Q90
DTC144EUA

+3V

2.2K_0402

DVICLK <6>

Del

Change SPEC by Acer

R359

1
Q99
@FDV301N

3
EC_FPBACK#

<31> EC_FPBACK#

Q83
*DTC144EUA

FPBACK#

<8> FPBACK#

C1044
C1045
C1046
C1047
C1048
C1049
C1043
C1050

5P_0402
5P_0402
5P_0402
5P_0402
5P_0402
5P_0402
5P_0402
5P_0402

QUANTA
COMPUTER

Title

LCD CONN

LCD_CON_40P

Size

Document Number

R ev
D

ZI6
Date:
5

Sheet

Friday, April 02, 2004


1

18

of

43

H28
*TC315BS395D110P2_A
H-TC315BS395D110P2

H1
*TC315BS395D106P2
H-TC315BS395D106P2

H17
C236D157P2
H-C236D157P2

H24
C236D157P2
H-C236D157P2

H36
C236D157P2
H-C236D157P2

H5
C236D118P2
H-C236D118P2

H12
TR335X276BS393D118P2
H-TR285X276BC236D118P2

H21
*H-O78X106D63X95P
H-O78X106D63X95P2

H10
TC315BS394D197P2
H-TC315BS394D197P2

H6
*TS315BS394D106P2
H-TS315BS394D106P2

H3
*S394D106P2
H-S394D106P2

H7
*R59X157DO20X100P2
H-R59X157DO20X100P2

H15
*HOLE_C118D118N
H-C118D118N

AUDGND
H29
TC315BS394D197P2
H-TC315BS394D197P2

H16
*TS315BS394D106P2
H-TS315BS394D106P2

H11
TR335X276BS393D118P2
H-TR285X276BC236D118P2

H2
C236D118P2
H-C236D118P2

H26
C236D157P2
H-C236D157P2

H32
*TC315BS395D106P2
H-TC315BS395D106P2

H27
*TC315BS395D106P2
H-TC315BS395D106P2

H4
*TC315BS395D106P2
H-TC315BS395D106P2

1
H9
*TC315BS395D106P2
H-TC315BS395D106P2

H30
*TC315BS395D106P2
H-TC315BS395D106P2

H42
*H-C117D77P2
H-C117D77P2

H35
*TC315BS395D106P2
H-TC315BS395D106P2

C887
C472
0.01U/50V 0.01U/50V

H20
*TC315BS395D106P2
H-TC315BS395D106P2

H19
*TC315BS395D106P2
H-TC315BS395D106P2

H37
*TC315BS395D106P2
H-TC315BS395D106P2

H23
C236D157P2
H-C236D157P2

H34
C236D157P2
H-C236D157P2

H41
*H-C117D77P2
H-C117D77P2

H18
C236D157P2
H-C236D157P2

H33
C236D157P2
H-C236D157P2

H38
*TS315BS394D106P2
H-TS315BS394D106P2

H40
H-C315D157P2
H-C315D157P2

H39
H-C315D157P2
H-C315D157P2

Change to GS5017P for IEEE

+3V_2.5V_LAN
U32
<26> TX0P
<26> TX0N
<26> TX1P
<26> TX1N
<26> TX2P
<26> TX2N
<26> TX3P
<26> TX3N

1
2
3

TCT1
TD1+
TD1-

MCT1
MX1+
MX1-

24
23
22

MCT1 R595

75/F

TX0P
TX0N

TCT2
TD2+
TD2-

MCT2
MX2+
MX2-

21
20
19

MCT2 R596

75/F

TX1P
TX1N

4
5
6
7
8
9

TCT3
TD3+
TD3-

MCT3
MX3+
MX3-

18
17
16

MCT3 R597

75/F

TX2P
TX2N

10
11
12

TCT4
TD4+
TD4-

MCT4
MX4+
MX4-

15
14
13

MCT4 R598

TX3P
TX3N

X-TX0P R591
X-TX0N R592

0
0

X-TX0P-PR
X-TX0N-PR

X-TX1P R593
X-TX1N R594

0
0

X-TX1P-PR
X-TX1N-PR

X-TX1P-PR <34>
X-TX1N-PR <34>

X-TX2P
X-TX2N
75/F
X-TX3P
X-TX3N

U31

LAN_1
C888
C889
0.01U/50V 0.01U/50V

X-TX0P-PR <34>
X-TX0N-PR <34>

+3V_2.5V_LAN

GS5017P
C473
1000P/2KV
CC1808

GND_LAN_CHASIS

6
7
8

CT
TD+
TD-

CT
TX+
TX-

11
10
9

MCT1
X-TX0P
X-TX0N

TX1P
TX1N
+3V_2.5V_LAN

1
2
3

RD+
RDCT

RX+
RXCT

16
15
14

X-TX1P
X-TX1N
MCT2

PAD31
*EMIPAD_S

+3V_2.5V_LAN

+3V_2.5V_LAN
TX0P
TX0N

*ATPL_119

For LAN cable latch

R603

*0

X-TX2N

NC2/2-

X-TX1N

RX-/1-

CATHODE1

X-TX3P

NC/3+

X-TX3N

NC4/3-

14

LAN_LILED# <26>

GREEN
ANODE1

CATHODE2

13

15

RJ45_LANVCC1 R381

330

R602

*330

RJ45_LANVCC2 R380

330

LANVCC

PAD46
FBZI2004017

PAD43
FBZI2003011

PAD44
FBZI2003011

PAD22
*FDZI1001016

PAD26
*EMIPAD_S

PAD38
*EMIPAD_S

LAN_1000LED#
1

NC1/2+

RX+/1+

PAD24
*EMIPAD_S

X-TX2P

X-TX1P

For LAN cable latch

PAD17
*FDZI1001016

LAN_100LED# <26,34>

TX-/0-

TX+/0+

PAD41
FDET2002016

X-TX0N

PAD11
*FDET2002016

R584

X-TX0P

PAD10
*FDET2002016

LAN_1000LED# <26>

R582

CN23

LANVCC

YELLOW
CN36
ANODE2

C476
1000P/3KV
CC4520

17
18

PAD45
FBZI2003011

PAD34
*FDZI1001016

PAD18
*EMIPAD_S

PAD32
*EMIPAD_S

PAD19
*EMIPAD_S

GND1
GND2
C475
1000P/3KV
CC4520

PAD36
EMIPAD_S

LAN_ACTLED# <26,34>
C474 10U/10V

RING

MDC

16

TIP

9
10

TIP_RJ11
RI NG_RJ11

2
1

C890 1000P/50V
LAN_MODEM_CONN
C894 1000P/50V
PAD29
FBZI2004017

PAD30
*EMIPAD_S

C893 1000P/50V

C892 10U/10V
1

This pin will


interfere with screw
hole, change to GND.

C891 1000P/50V

R599

PAD33
*EMIPAD_S

R600

PAD15
PAD21
FBZI2003011 *EMIPAD_S

0
1

PAD27
*EMIPAD_S
R601

PAD37
*EMIPAD_S

GND_LAN_CHASIS
A

GND_LAN_CHASIS

QUANTA
COMPUTER

Title

RJ11 & RJ45 & HOLES


Size

Document Number

Rev
D

ZI6
Date:
5

Friday, April 02, 2004

Sheet
1

19

of

43

+3V
SIORDY

+3V

R249 1

2 4.7K

R223

+3V

SDIOR#
SDIOW#

10K

SIRQ15 R236

IRQ15 <8>

R233 1
R245 1

2 *1K
2 *4.7K

SDDREQ R225 1

2 *4.7K

SDD7

2 *4.7K

R210 1

PDD[0..15]

<8> PDD[0..15]

+3V

PDA1
PDA0
PDCS1#
PDA2
PDCS3#

PDA1
PDA0
PDCS1#
PDA2
PDCS3#

LAYOUT CLOSE TO CONNECTOR


R281
10K

PIRQ14
R100
RPDDRQ R168
PIORDY
R283 1

PDIOW#
PDIOR#
PIORDY
PDDACK#

<8> PDIOW#
<8> PDIOR#
<8> PIORDY
<8> PDDACK#
<8>
<8>
<8>
<8>
<8>

IRQ14 <8>
PDDREQ <8>
+3V

0
2 4.7K

PDIOR#
PDIOW#

R284
R285

*4.7K
*4.7K

RPDDRQ
PDD7

R193
R286

*4.7K
*10K

+3V

CN8

SDD3
SDD2
SDD1
SDD0
SDIOW#
SIORDY

<8> SDIOW#
<8> SIORDY

SIRQ15
SDA1
SDA0
SDCS1#
RIDE_LED#
-RBAYINS

<8> SDCS1#
<23> RIDE_LED#
<31> -RBAYINS
2
R555

3V_591

1
10K

1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49

2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50

2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50

CDR <28>
CDGND <28>

SDD8
SDD9
SDD10
SDD11
SDD12
SDD13
SDD14
SDD15
SDDREQ
SDIOR#

SDDREQ <8>
SDIOR# <8>
<23> -HDD0_LED

SDDACK#
SDA2
SDCS3#
RBA YID0
RBA YID1
RCSEL

SDDACK# <8>

+5V

SDCS3# <8>
RBAYID0 <9>
RBAYID1 <9> 470

RBAYVCC

RPDDRQ
PDIOW#
PDIOR#
PIORDY
PDDACK#
PIRQ14
PDA1
PDA0
PDCS1#
-HDD0_LED

C304
*100P

PCSEL

R282

470

PDIAG
PDA2
PDCS3#

R280

*10K

150
MIL
+5V

MR6_HDD

SDA[0..2]
SDD[0..15]

<8> SDA[0..2]
<8> SDD[0..15]

1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49

PDD8
PDD9
PDD10
PDD11
PDD12
PDD13
PDD14
PDD15

+ C303
C305
C297
1000P/50V 0.1U/50V 10U/10V

C302
0.1U/50V

-RST_RBAY0
SDD7
SDD6
SDD5
SDD4

43
41
39
37
35
33
31
29
27
25
23
21
19
17
15
13
11
9
7
5
3
1

R554

+ C298
10U/10V
2

CN19
<28> CDL

44
42
40
38
36
34
32
30
28
26
24
22
20
18
16
14
12
10
8
6
4
2

-RST_HDD0
PDD7
PDD6
PDD5
PDD4
PDD3
PDD2
PDD1
PDD0

ADD
*PAD T92

BAYCON_50P

RCSEL
MASTER

BAY ID STATUS
RBAYID0/
LBAYID0

RBAYID1/
LBAYID1

0
0
1

0
1
0

STATUS

FDD
HDD
CD/DVD

SWAP BAY: OPTICAL DRIVE, 2ND BATTERY, 2ND HDD

HDD CONNECTOR

+5V

RBAYON#

<9> RBAYON#

C269
C833
1000P/50V
10U/16V
2

Z1422

1
100K

R267
+12V

RBAYVCC
1
2
3
1

Q81
SI4800DY

8
7
6
5

C277
C268
C252
C217
0.1U/50V 0.1U/50V 0.1U/50V 0.1U/50V
2

1
C832
10U/16V

C836
0.1U/50V

1
C838
0.1U/50V

1
C837
0.1U/50V

1
C839
0.1U/50V

C816
C822
C821
C826
0.1U/50V 0.1U/50V 0.1U/50V 0.1U/50V
2

+5V

RBAYVCC

+5V

C287
0.1U/50V

Q33
DTC144EUA

R466
R262

+3V
+3V

RST_RBAY#

PCIRST1#

-RST_RBAY0

2 10K

RST_HDD# 1
PCIRST1#

U17
*TC7SH08FU

C557
*0.1U
1

R463 1

<9> RST_HDD#
4

<6,8,17,21,24> PCIRST1#

+3V

4
2
3

<9> RST_RBAY#
D

C284
*0.1U
2
1

2 10K
5

+3V

R261 1

22

22

-RST_HDD0
D

U44
*TC7SH08FU

QUANTA
COMPUTER

Title

DEVICE BAY
Size

SWAP BAY POWER CONTROL& RESET

Document Number

R ev
D

ZI6
Date:
3

Friday, April 02, 2004


7

Sheet

20
8

of

43

+12V

+3V

+3V

+3V
A_CAD[0..31]

C112

C121

C159

C100
4.7U/10V_0805

4.7U/10V_0805

OZ_SDATA

R134

10K_0402

OZ_SLATCH

+3V

1
SC_VCC

SC_DET#

R84

22K_0402

SC_C4

R797 22K_0402
C

<8,24,25,26>
<8,24,25,26>
<8,24,25,26>
<8,24,25,26>
AD22
R82

<8> PIRQC#
<8,24> PIRQB#

C/BE3#
C/BE2#
C/BE1#
C/BE0#

33_0402

PCLK_PCM

<12> PCLK_PCM
<8,24,25,26> DEVSEL#
<8,24,25,26> FRAME#
<8,24,25,26> IRDY#
<8,24,25,26> TRDY#
<8,24,25,26> STOP#
<8,24,25,26> PAR
<8,24,25,26> PERR#
<8,24,25,26> SERR#
<8> REQ3#
<8> GNT3#

R116
R112

0_0402
*0_0402

+3V
<6,8,17,20,24> PCIRST1#

R798

10K_0402

<8,24,25,26> ICH_PME#
<8,24,25,26,30,31> CLKRUN#
<8,31> SERIRQ
<27> PCICRI#
<27> PCMSPK#

R83

*68_0402

MB_VCC

C101

PCMSPK#

*10P_0402

L18
M19
M18
M15
M17
N17
P18
R19
N14
R17
T19
R14
U15
P14
W15
U11
P10
W11
U10
V10
P9
R9
U9
W9
U8
R8
W7
V7
U7
W6
P8
U6

IRQ7/B_VPP_PGM/EXT_REQ#
IRQ10/B_VPP_VCC_PGM/EXT_GNT#

B14
A4
C5
V9
K19

IRQ12/PME#
IRQ14/CLKRUN#
IRQ5/SERIRQ#
IRQ15/RI_OUT#
SPKR_OUT#

J19
E8
E5

U9

LEDO#/SKTA_ACTV
IRQ11/SKTB_ACTV
NC

40mils
A_VCC
C145

A_CAD31
A_CAD30
A_CAD29
A_CAD28
A_CAD27
A_CAD26
A_CAD25
A_CAD24
A_CAD23
A_CAD22
A_CAD21
A_CAD20
A_CAD19
A_CAD18
A_CAD17
A_CAD16
A_CAD15
A_CAD14
A_CAD13
A_CAD12
A_CAD11
A_CAD10
A_CAD9
A_CAD8
A_CAD7
A_CAD6
A_CAD5
A_CAD4
A_CAD3
A_CAD2
A_CAD1
A_CAD0

E6
F19

4IN1_BUSY
10K_0402

R849

R10
J18
B10

IDSEL
PCI_CLK
DEVSEL#
FRAME#
IRDY#
TRDY#
STOP#
PAR
PERR#
SERR#
REQ#
GNT#
INTA#
INTB#/IRQ4/A_VPP_PGM
INTC#/LOCK#
RST#
GRST#

4IN1_BUSY

<22> 4IN1_BUSY

C/BE3#
C/BE2#
C/BE1#
C/BE0#

A_VCC

R7
R13

A_CC/BE3#
A_CC/BE2#
A_CC/BE1#
A_CC/BE0#

N15
V14
V11
W8

A_CC/BE3
A_CC/BE2
A_CC/BE1
A_CC/BE0

R142
V13
U14
P13
W14
U13
W13
R11
V12
R18
P17 A_CREQ
R12
P12
U12
L17 A_CCLKRUN
P15
L19
V8
P11
W10
W16
V6
L14
M14
N19

A_CFRAME <22>
A_CIRDY <22>
A_CTRDY <22>
A_CDEVSEL <22>
A_CSTOP <22>
A_CPAR <22>
A_CPERR <22>
A_CSERR <22>
A_CREQ <22>
A_CGNT <22>
A_CINT <22>
A_CBLOCK <22>
A_CCLKRUN <22>
A_CRST <22>
A_RSVD/D2 <22>
A_RSVD/D14 <22>
A_RSVD/A18 <22>
A_CVS1 <22>
A_CVS2 <22>
A_CCD1 <22>
A_CCD2 <22>
A_CAUDIO <22>
A_CSTSCHNG <22>

SCLK/A_VCC_5#
SDATA/B_VCC_3#
SLATCH/B_VCC_5#
IRQ3/A_VCC_3#
IRQ9/A_VPP_VCC_PGM

K14
K15
K17
W12
P19

B_CSTSCHG/SQRY7
B_CAUDIO/SQRY6
B_CCD2#
B_CCD1#
B_CVS2
B_CVS1
B_R2_A18/SQRY1
B_R2_D14/SM_D7
B_R2_D2
B_CRST#/SQRY2
B_CCLKRUN#/RSVD
B_CBLOCK#/SD_DATA0
B_CINT#/SD_DATA3
B_CGNT#/SM_ALE/SC_RFU
B_CREQ#/SQRY4
B_CSERR#/SQRY3
B_CPERR#/SD_DATA1
B_CPAR/SM_WE#
B_CSTOP#/SD_CMD
B_CDEVSEL#/SD_DATA2
B_CTRDY#/MC_CD#
B_CIRDY#/MC_WP#
B_CFRAME#/SC_FCB
B_CCLK

F8
C8
C6
J15
A10
E18
C14
G17
F7
C10
A5
A14
F12
E13
C9
A9
A15
C15
C13
B13
A13
C12
B12
E12

BCC/BE0#/SM_D0/MS_RFU7
B_CC/BE1#/SM_RE#
BCC/BE2#/SMCLE/SCRST
B_CC/BE3#/SQRY5

G14
A16
A12
F9

B_SKT_VCC
B_SKT_VCC
B_SKT_VCC

G19
F13
E7

C166

<22>
<22>
<22>
<22>

22_0402

OZ_SCLK
OZ_SDATA

A_CCLK <22>
C126

22P_0402

MB_VCC

OZ_SCLK <22>
OZ_SDATA <22>
OZ_SLATCH <22>
C146

PCMSPK#

SC_VCC

+3V

R120

R115 0_0402
SM_D7 <22>

SD_D0 <22>
SD_D3 <22>
SM_ALE <22>
SQRY4 <22>
SQRY3 <22>
SD_D1 <22>
SM_WE# <22>
SD_CMD <22>
SD_D2 <22>
MC_CD# <22>
MC_WP# <22>

MMC_CLK <22>
SM_D0 <22>
SM_RE# <22>
SM_CLE <22>
MB_VCC

CH715F
1

B6
A6
B7
C7
A7
B8
A8
E9
B9
F10
E10
F11
C11
B11
A11
E14
D19
F15
E17
F14
G15
E19
F18
F17
G18
H15
H14
H17
H18
H19
J14
J17

D2
B4
C16
C4
D3
B16
V16
U16
T18
T17
D17

D18
U4
T2
T3
V4
B15

E11
H3
K5
K18
P2
V15
W5

A_VCC

100K_0402

SM_D4 <22>
SM_D3
SM_D5
SM_D2
SM_D6
SM_D1

2
D4
ODR_VCC

C151
4.7U/10V_0805

C169
.1U/10V_0402

<22> SC_DET#
<22> SC_IO
<22> SC_C4
<22> SC_RST#
<22> SC_CLK
<22> SC_VCC5#
<22> SC_VCC3#

SC_DET#
SC_IO
SC_C4
SC_RST#
SC_CLK

C110
.1U/10V_0402

4.7U/10V_0805

OZ711M3CSP
+3V

.1U/10V_0402

4.7U/10V_0805

A_SKT_VCC
A_SKT_VCC

A_CCLK
A_CFRAME#
A_CIRDY#
A_CTRDY#
A_CDEVSEL#
A_CSTOP#
A_CPAR
A_CPERR#
A_CSERR#
A_CREQ#
A_CGNT#
A_CINT#
A_CBLOCK#
A_CCLKRUN#
A_CRST#
A_R2_D2
A_R2_D14
A_R2_A18
A_CVS1
A_CVS2
A_CCD1#
A_CCD2#
A_CAUDIO
A_CSTSCHG

O 2 MICRO CORP.
CARDBUS CONTROLLER
OZ711M3 (224CSP)

H5
E3
L3
K6
L1
L2
L5
M2
L6
M1
G6
F5
B5
F6
V5
D1
N18

PCLK_PCM

G1
K3
M3
R1

F2
J5
M6
P5

L15

STRAP FOR SERIAL POWER SW

A_CAD[0..31] <22>

.1U/10V_0402
.1U/10V_0402

SC_DET#/SD_DET#
SC_IO/SD_DATA0
SC_RSVD8/SD_DATA1
SC_RSVD4/SD_WP#
SC_RST#/SD_CMD
SC_CLK/SD_CLK
SD_DATA2
SC_OC#/SD_DATA3
SC_VCC5#
SC_VCC3#/SD_VCC3#
SC_VCC/SD_VCC

10K_0402

MS_DET#
MS_BS
MS_SDIO
MS_CLK
MS_VCC3#
MS_VCC

R143

AD31
AD30
AD29
AD28
AD27
AD26
AD25
AD24
AD23
AD22
AD21
AD20
AD19
AD18
AD17
AD16
AD15
AD14
AD13
AD12
AD11
AD10
AD9
AD8
AD7
AD6
AD5
AD4
AD3
AD2
AD1
AD0

PCI_VCC
PCI_VCC
PCI_VCC
PCI_VCC

+3V

E1
E2
F3
F1
G5
H6
G3
G2
H2
H1
J1
J2
J3
J6
K1
K2
M5
N2
N1
N3
N6
P1
P3
N5
P6
R2
R3
T1
W4
R6
U5
P7

AUX_VCC

AD31
AD30
AD29
AD28
AD27
AD26
AD25
AD24
AD23
AD22
AD21
AD20
AD19
AD18
AD17
AD16
AD15
AD14
AD13
AD12
AD11
AD10
AD9
AD8
AD7
AD6
AD5
AD4
AD3
AD2
AD1
AD0

GND
GND
GND
GND
GND
GND
GND

Q17
<8,24,25,26> AD[0..31]
RHU002N06

CORE_VCC
CORE_VCC
CORE_VCC

.1U/10V_0402
.1U/10V_0402
.1U/10V_0402
OZ_SCLK

C1059

R796
100K_0402

<6,9> SUSCLK

C165

A_CAD31
A_CAD30
A_CAD29
A_CAD28
A_CAD27
A_CAD26
A_CAD25
A_CAD24
A_CAD23
A_CAD22
A_CAD21
A_CAD20
A_CAD19
A_CAD18
A_CAD17
A_CAD16
A_CAD15
A_CAD14
A_CAD13
A_CAD12
A_CAD11
A_CAD10
A_CAD9
A_CAD8
A_CAD7
A_CAD6
A_CAD5
A_CAD4
A_CAD3
A_CAD2
A_CAD1
A_CAD0

C102

B_CAD31/SQRY10
B_CAD30/SQRY9
B_CAD29/RSVD
B_CAD28/SQRY8
B_CAD27/RSVD
B_CAD26/RSVD
B_CAD25/RSVD
B_CAD24/RSVD
B_CAD23/RSVD
B_CAD22/RSVD
B_CAD21/RSVD
B_CAD20/RSVD
B_CAD19/SQRYDR
B_CAD18/RSVD
B_CAD17/SM_CE#/SC_IO
B_CAD16/ISOGND
B_CAD15/RSVD
B_CAD14/ISOGND
B_CAD13/RSVD
B_CAD12/ISOGND
B_CAD11/SM_R/B#
B_CAD10/ISOGND
B_CAD9/SM_WP#
B_CAD8/SM_LVD
B_CAD7/SM_D1/MS_RFU5
B_CAD6/SM_D6
B_CAD5/SM_D2/MS_SDIO
B_CAD4/SM_D5
B_CAD3/SM_D3/MS_BS
B_CAD2/SMD4
B_CAD1/RSV
B_CAD0/RSV

C82
4.7U/10V_0805

A_CREQ

R124

10K_0402

A_CCLKRUN

R86

10K_0402

<22>
<22>
<22>
<22>
<22>
A

R304
R305
R306
R303

300_0402
220/F_0402
220/F_0402
56_0402

SM_LVD <22>
SM_WP# <22>
SM_R/B# <22>
SM_CE# <22>
SQRYDR <22>

QUANTA
COMPUTER

Title
OZ711M3

ODR_VCC
Size

Document Number

Rev
D

ZI6
Date:
5

Friday, April 02, 2004

Sheet
1

21

of

43

MB_VCC
A_VCC
+12V

A_VPP

A_VCC

C1063
.1U/16V_0402

C1064
C1065
.1U/10V_0402 .1U/10V_0402

C147

C153

4.7U/10V_0805

40mils
D

40mils
18
19

20mils
+12V

.1U/10V_0402

C97
.1U/10V_0402

C113
.1U/16V_0402

C134
.1U/10V_0402

C1060

C152

C122

.1U/10V_0402

C108

10U/10V

.1U/16V_0402

A_VCC

40mils

+5VIN
+5VIN
+5VIN

A:VCC
A:VCC
A:VCC

15
14
13

+3.3VIN
+3.3VIN

A:VPP

12

20mils

B:VCC
B:VCC
B:VCC

24
23
22

40mils

2
11

+12VIN
+12VIN

7
8
9

SDATA
SCLK
SLATCH

10
17

RESET
RESET#

16

GND

<21> OZ_SDATA
<21> OZ_SCLK
<21> OZ_SLATCH
<8,13,16,25,26,30,31> PCIRST#

C127

B:VPP

MODE
STBY#

3
20

OVERCURR#

21

MC_CD# 2

<21> MC_CD#

CON1

D48
1SS355

A_VPP

MB_VCC

D51

R802 10K_0402
1
2

<21> SQRYDR

<21>
<21>
<21>
<21>
<21>
<21>

SD_CD

1
1SS355

<21> SQRY3
+3V

R850
220/F_0402

MB_VCC

1
3
5
7

8P4R-22K
RN46
2
4
6
8

SM_LVD
SM_WE#
SM_RE#
SM_ALE
SM_CLE

<21> SM_D0
<21> SM_D1
<21> SM_D2
<21> SM_D3
<21> SM_D4
<21> SM_D5
<21> SM_D6
<21> SM_D7

SM_D6
SM_D3
SM_D5
SM_D4

SM_ LVD
SM_ WE#
SM_ RE#
SM _ALE
SM_ CLE

25
35
37
39
43

SM_LVD
SM_WE#
SM_RE#
SM_ALE
SM_CLE

SM_D0
SM_D1
SM_D2
SM_D3
SM_D4
SM_D5
SM_D6
SM_D7

27
23
19
15
9
13
17
21

SM_D0
SM_D1
SM_D2
SM_D3
SM_D4
SM_D5
SM_D6
SM_D7

MC_WP#
SM_WP#

SD_WP
SD_CD
SD_D0
SD_D1
SD_D2
SD_D3

2
8
12
10
48
46

SD_ WP
SD_CD
S D _ D0
S D _ D1
S D _ D2
S D _ D3

SD_CMD
SD_CLK

44
16

SD_CMD
MM C_CLK

MS_RSV0
MS_RSV2

26
32

MS_INS

30

MS_BS
MS_SDIO
MS_CLK

20
24
34

28
3
11
29
47
50
51

NC
MS_VSS
SM_CD/GND
MS_VSS
SM_10/GND SD_WP-/GND
SM_GND
SD_CD-/GND
SM_GND
SD_6/VSS
SM_WPGND
SD_VSS
GND
GND

38
18
4
6
14
42
52

SM_CLE
SM_ALE
SM_D0

2N7002
SD_D0
SD_D1
SD_D2
SD_D3

<21>
<21>
<21>
<21>

SD_CMD <21>
MMC_CLK <21>

MC_CD#
SM_D3
SM_D2
MM C_CLK

R800
*10K_0402
MC_WP#

Q103
DTC144EUA

R872
33_0402

SD_WP

<21> A_CSERR
<21> A_CAD23
<21> A_CREQ
<21> A_CAD24
<21> A_CC/BE3

1
1

NC
C8
C7
C6
C5
SW-GND
NC
SW-CD
C1
C2
C3
C4

<21> A_CAD25
<21> A_CAUDIO
<21> A_CAD26
<21> A_CSTSCHNG
<21> A_CAD27
<21> A_CAD28
<21> A_CAD29
<21> A_CAD30
<21> A_RSVD/D2
<21> A_CAD31
<21> A_CCLKRUN
<21> A_CCD2

R808

1
1

GND
GND
GND
GND

H2
H1
76
75

CARDBUS_Slot
A

QUANTA
COMPUTER

D49
1SS355
<21> SC_VCC3#

GND1/P1P35
D3/P2
CD1#/P36
D4/P3
D11P37
D5/P4
D12/P38
GND1
D6/P5
D13/P39
D7/P6
D14/P40
CE1#/P7
D15/P41
GND2
A10/P8
CE2#/P42
OE#/P9
VS1#/P43
A11/P10
GND3
IORD#/P44
A9/P11
IOWR#/P45
A8/P12
A17/P46
GND4
A13/P13
A18/P47
A14/P14
A19/P48
WE#/P15
A20/P49
RDY/P16
A21/P50
VCC1/P17
VCC2/P51
VPP1/P18
VPP2/P52
A16/P19
A22/P53
A15/P20
A23/P54
A12/P21
A24/P55
A7/P22
A25/P56
GND5
A6/P23
VS2#/P57
A5/P24
RESET/P58
A4/P25
GND6
WAIT#/P59
A3/P26
INPACK#/P60
A2/P27
REG#/P61
GND7
A1/P28
BVD2/P62
A0/P29
BVD1/P63
D0/P30
D8/P64
GND8
D1/P31
D9/P65
D2/P32
D10/P66
WP/P33
CD2#/P67
GND10/P34P68

SC_DET#

SC_VCC

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74

SCR_CONN

R810 10K_0402
1
2

SC_IO

12
11
10
9
8
7
6
5
4
3
2
1

SC_VCC

Q106
2N7002

SC_DET#

+3V

<21> SC_VCC5#

SC_C4
SC_CLK
SC_RST#

R809
10K_0402

R871
10K_0402

<21> SC_IO

Q109
IRLML2502

2
2

2
R870
10K_0402

<21> SC_DET#

3 1

R873
100K_0402
+3V

<21> SC_C4
<21> SC_CLK
<21> SC_RST#

+12V

+3V

<21> A_CAD20
<21> A_CVS2
<21> A_CAD21
<21> A_CRST
<21> A_CAD22

CN30
+5V

A_CSTOP
A_CINT
A_CDEVSEL

<21> A_CCLK
<21> A_CTRDY
<21> A_CIRDY
<21> A_CFRAME
<21> A_CC/BE2
<21> A_CAD17
<21> A_CAD18
<21> A_CAD19

R801
10K_0402

SD_CD

A_CPERR
A_CBLOCK

A_VPP

MB_VCC

SM_D1
SM_D0

PF1737-0

8P4R-22K

<21> A_CPAR
<21> A_RSVD/A18
<21> A_CPERR
<21> A_CBLOCK
<21> A_CGNT
<21> A_CSTOP
<21> A_CINT
<21> A_CDEVSEL
A_VCC

<21> 4IN1_BUSY

1
3
5
7

8P4R-22K
RN45
2
4
6
8

SM_LVD
SM_D2
SM_D1
SM_D7

SM_CD
SM_CD_11P
SM_WP_CARD
SM_WP
SM_CE
SM_R/B

36
22
40

1
3
5
7

8P4R-22K
RN44
2
4
6
8

<21>
<21>
<21>
<21>
<21>

SM_ CE#
SM_ R/B#

1
7
49
31
41
33

Q108

MS_VCC
MS_VCC
SD_VDD

SM_CE#
SM_RE#
SM_WE#
SM_R/B#

MC_CD#
<21> SQRY4
<21> MC_WP#
<21> SM_WP#
<21> SM_CE#
<21> SM_R/B#

SM_12/VCC
SM_VCC

1
3
5
7

8P4R-10K
RN43
2
4
6
8

5
45

SD_D3
SD_D2
SD_D0
SD_D1

2
4
6
8

RN42

CON2

<21> A_CAD5
<21> A_CAD6
<21> A_CAD7
<21> A_RSVD/D14
<21> A_CC/BE0
<21> A_CAD8

<21> A_CAD13
<21> A_CAD14
<21> A_CAD15
<21> A_CC/BE1
<21> A_CAD16

HSMB-C112-orange
SD_CMD

A_CAD0
A_CCD1
A_CAD1
A_CAD2
A_CAD3
A_CAD4

<21> A_CAD9
<21> A_CAD10
<21> A_CAD11
<21> A_CVS1
<21> A_CAD12

LED1
MB_VCC

1
3
5
7

1U/25V_0805 .1U/16V_0402

A_VCC

MB_VCC

R803 10K_0402
1
2

C104

.1U/10V_0402 0.047U/16V

OZ2216R-24P

C103

U7

4
5
6

+5V

+3V

C1061
.1U/10V_0402

C1062
.1U/10V_0402

A_VPP

+5V

+3V

47K

SC_IO
Title

CARDBUS SLOT

D50
1SS355

Size

Document Number

Rev
D

ZI6
Date:
8

Friday, April 02, 2004


2

Sheet

22

of
1

43

12 MIL
5VSUS

C806 0.1U/50V
INT_MIC

R365

*0

20
18
16
14
12
10
8
6
4
2

CN14
5VTP
TPDATA-C
TPCLK-C

TPDATA
TPCLK

<31> TPDATA
<31> TPCLK

CN21

PIN DEFINITION TEMPORARY CHANGED

FBMJ2125HM330_T
L62
L64
LZA10-2ACB104MT
L65
LZA10-2ACB104MT

+5V
5VPCU

C808
*22P

<28> INT_MIC

C811
*22P

R353

*0

1
3
5
7
9
11
13
15
17
19

1
3
5
7
9
11
13
15
17
19

2
4
6
8
10
12
14
16
18
20

2
4
6
8
10
12
14
16
18
20

21

21

22

22

R_BAT1_LED#
R_BAT2_LED#
R_BT_LED#
WIRELESS_SW#
BLUETOOTH_SW#
R_RF_ON_LED#
R_PWR_LED#
R_SUSPEND_LED#

AUDGND

Modify Per Spec Change

CAPSLED
NUMLED
SCROLED
N BSWON#
LI D#

<31> NBSWON#
<18> LID#

09/24

EMAIL_LED
IDE_LED
BT1#
BT2#
BT3#
BT4#
WIRELESS_SW#
BLUETOOTH_SW#

19
17
15
13
11
9
7
5
3
1

BT1# <31>
BT2# <31>
BT3# <31>
BT4# <31>
WIRELESS_SW# <31>
BLUETOOTH_SW# <31>
4

LED_BD_20P

ACES_87216_2002
AUDGND

Modify Per Spec Change

09/24

+5V

+5V

R363
1K

R331
1K

CAPSLED
Q54

<31> CAPSLED#

R_BAT1_LED#

R_PWR_LED#

Q39
Q45

PWR_LED#

+5V
+5V

+5V

+5V

R362
1K

R364
*1K

R_BT_LED#

<31> NUMLED#

NUMLED#

BT_LED

Q56
SCROLED# 2

<31> SCROLED#

DTC144EUA

DTC144EUA

Q47

DTC144EUA

DTC144EUA

R_BAT2_LED#

DTC144EUA

5VSUS
1

3V_591

+5V

Q42

1
Q48

<25> BT_LED
1

Q53

*0

R334
1K

SCROLED

R340
1K

BAT2_LED#

NUMLED

5VPCU

R341

<31> BAT2_LED#

DTC144EUA

DTC144EUA

DTC144EUA

DTC144EUA

3V_591

EMAIL_LED# 2

<9> EMAIL_LED#

BAT1_LED#

<31> BAT1_LED#

<31> PWR_LED#

Q52

CAPSLED# 2

R338
1K

EMAIL_LED

5VPCU

R361
1K
3

3V_591

5VPCU

3V_591

R875
10K

+5V

+5V

C453

+5V

R874
10K
+5V

R350
1K

0.1U/50V

R_SUSPEND_LED#

3
DTC144EUA

R335

<20> RIDE_LED#

*0

1
3

Q72

R339
1K
Q46

R_RF_ON_LED#

<20> -HDD0_LED

SUSPEND_LED# 1

<31> SUSPEND_LED#

RIDE_LED#

-HDD0_LED

DTC144EUA

Old DTA124EU
3

Q43
<25> RF_ON_LED

Q57
NDS352AP
BAM03520Z16

U28
7SZ08

DTC144EUA
1

DEL DEDIO X2 AND ADD

R366
1K

Old 560 ohm


IDE_LED

IDE LED CONTROL LOGIC

3V_591

C558
0.1U/50V
U45
B/A#

<31> B/A#

MBDATA

<3,31> MBDATA

B/A#

0
1

B0 to COM
B1 to COM

NC7SB3157

SEL

VCC

COM

IN_B1

IN_B0

GND

To Main BATTERY
MBDATA_MBAT <42>

R_BAT1_LED#
R_BAT2_LED#
CAPSLED
NUMLED

1
3
5
7

R_RF_ON_LED#
EMAIL_LED
IDE_LED

1
3
5
7

R_PWR_LED#
BT1#
BT2#
BT3#

1
3
5
7

BT4#
N BSWON#
WIRELESS_SW#
BLUETOOTH_SW#

1
3
5
7

MBDATA_ABAT <42>
To 2nd BATTERY

CA1

220PX4
2
4
6
8

CA2

220PX4
2
4
6
8

CA3

220PX4
2
4
6
8

CA4
3V_591

R467

47K

MBDATA_MBAT

R468

47K

MBDATA_ABAT

Del SW2

SW1
1
2

N BSWON#

3
4

220PX4
2
4
6
8

QUANTA
COMPUTER

Title

4-IN-1 MEMORY CARD


Size

Document Number

Rev
D

ZI6
Date:
A

Friday, April 02, 2004

Sheet
E

23

of

43

+3V
+3V

+3V

+3V

IEEE-1394

C83
C87
C44
C86
C13
0.1U/50V 0.1U/50V 0.01U/50V0.01U/50V1000P/50V

C49
C85
C5
C84
C45
C61
C36
C72
0.1U/50V 0.1U/50V 0.1U/50V 0.01U/50V0.01U/50V1000P/50V
1000P/50V
1000P/50V

L2
BK1608HS600

1394_PLLVCC
+3V

R75
2

100
1

C/BE0#
C/BE1#
C/BE2#
C/BE3#
PCLK_1394
GNT2#
REQ2#
IDSEL1394
FRAME#
IRD Y#
TR DY#
DEVSEL#
STOP#
PERR#
SERR#
PAR
PME1394#
PIRQB#
CLKRUN#
PCIRST1#
*0
G_RST#

<8,21,25,26> C/BE0#
<8,21,25,26> C/BE1#
<8,21,25,26> C/BE2#
<8,21,25,26> C/BE3#
<12> PCLK_1394
<8> GNT2#
<8> REQ2#
<8,21,25,26> FRAME#
<8,21,25,26> IRDY#
<8,21,25,26> TRDY#
<8,21,25,26> DEVSEL#
<8,21,25,26> STOP#
<8,21,25,26> PERR#
<8,21,25,26> SERR#
<8,21,25,26> PAR

<8,21> PIRQB#
<8,21,25,26,30,31> CLKRUN#
<6,8,17,20,21> PCIRST1#
+3V

R61

R58
100K

15
27
39
51
59
72
88
100
1
2
108
120
107

TPBIAS0
0 TPA0+
TPA0TPB0+
TPB0-

116
115
114
113
112

R0

118

1394_R0
1394_R1

R1

119

X0

R5
56.2/F

R6

1394_XOUT

6.34K/F
C68

F0

F1

1394_F0 C19
1394_F1

92
91

1394_SDATA
1394_SCLK

TPA1+
TPA1TPB1+
TPB1-

POWER CLASS

PC0
PC1
PC2

TEST9
TEST8
TEST3
TEST2
TEST1
TEST0

0_0805

R13
56.2/F

AGND_1394
AGND_1394

56.2/F

R12

56.2/F

2
10P/50V
R10
5.1K/F

C12
220P

2
3
2

1394_XIN

R11

Y1
24.576MHz

X1

FILTER

TSB43AB21PDT

1M

PHY PORT 1TPBIAS1

R383
1U/6.3V

CRYSTAL

SDATA
SCLK

0_0805

1394_TPA0+
1394_TPA01394_TPB0+
1394_TPB0-

R26

EEPROM BUS

R4

3
2

BIAS CURRENT

R9

1000P/50V

C31
0.1U/50V

10P/50V

3
2

PHY PORT

17
23
30
33
44
55
64
75
83
93
103
68
109
110
111
117
126
127
128

R29
220

GPIO2
GPIO3

1K

1394_TPBIAS0

1000P/50V

C477

AGND_1394
R8
*0

R17

R1

*0

*0

125
124
123
122
121

1
3
5
7

RP14
8P4R_47
2
4
6
8

R14
PLW3216S900SQ2B1

*0

R2
PLW3216S900SQ2B1

99
98
97
94
95
101
102
104
105

R3
4
1

G_RST-

PLLVCC

14

VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
VCC3
AVCC
AVCC
AVCC
AVCC
AVCC

CBE0CBE1CBE2CBE3PCI_CLK
GNTREQIDSEL
FRAMEIRDYTRDYDEVSELSTOPPERRSERRPAR
PCI_PMEINTACLKRUNRST-

1394_GPIO2 90
1394_GPIO389
C46
R21
0.1U/50V 220

VCCP
VCCP
VCCP
VCCP
VCCP

73
60
47
34
16
18
19
36
49
50
52
53
54
56
57
58
21
13
12
85

1394_CPS

1000P/50V

C7

CN5
FOX_UV31413_G6
5

L1394_TPB0L1394_TPA0L1394_TPA0+
L1394_TPB0+

TEST9
1394_TEST3
1394_TEST2
1394_TEST1
1394_TEST0

1
3
5
7

1
3
4
2

2
4
6
8

AGND_1394

RP1
8P4R_47
R651
TEST9

1 47

PAD14
*FDZI1001016

For ATE
+3V

PCLK_1394

AD23

: GNT2#

106

CPS

C4

4
1

Grant indicates

4.7K
4.7K
4.7K
4.7K
10K

Request indicates : REQ2#


B

1394_TEST7
R7
1394_TEST6
R36
1394_TEST17 R37
1394_CYCLEIN R28
1394_CYCLEOUT
R42

: PIRQB#

96
11
10
87
86

TEST7
TEST16
TEST17
CYCLEIN
CYCLEOUT

Interrupt Pin

AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31

PLLGND1
PLLGND2

: AD23

84
82
81
80
79
77
76
74
71
70
69
67
66
65
63
61
46
45
43
42
41
40
38
37
32
31
29
28
26
25
24
22

+3V

8
9

ID Select

AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31

C6

GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
AGND
AGND
AGND
AGND
AGND
AGND
AGND

<8,21,25,26> AD[0..31]

PCI
INTERFACE

U4
AD[0..31]

20
35
48
62
78

C20
C47
1000P/50V 10U/16V

4
1

+3V

Used for AVCC


(Pin1,2,107,108,120)

3
2

+3V

Used for vcc3


(Pin15,27,39,51,59,72,88,100)

4
1

Used for vccp


(Pin20,35,48,62,78)

C10
C3
C76
C11
C26
0.1U/50V 0.1U/50V 0.01U/50V1000P/50V
1000P/50V

AGND_1394
1394_DGND1
AGND_1394
R68
*22

1394_DGND2
R40
*2.7K

R32
*2.7K

For EMI Request; PAD size is not determined yet.

U1
C73
C89
0.1U/50V 0.01U/50V

C88
*22P

R69
*0

C14
C18
0.1U/50V 0.01U/50V

1394_SCLK
1394_SDATA

R24
*0

R879
220/F_0402

R880
220/F_0402

R665
PME1394#

ICH_PME#

R41
*470

6
5

SCL
SDA

WP

A0
A1
A2

1
2
3

VCC
GND

8
4

+3V
D

*AT24C02

QUANTA
COMPUTER

C57
*0.1U/50V

ICH_PME# <8,21,25,26>

Title

TSB43AB21[1394]
Size

Document Number

R ev
D

ZI6
Date:
1

Friday, April 02, 2004


7

Sheet

of

24
8

43

ID Select

: AD20

Interrupt Pin

: PIRQE# , PIRQF#

+3V

: GNT1#

WLAN_CLK_1
R868

U54
NC7SZ126P5X

3
R511
*22

C752
*22P

AD27
AD25
WLAN_DATA_1
C/BE3#
AD23

<8,21,24,26> C/BE3#

AD21
AD19

AD17
C/BE2#
I RDY#

<8,21,24,26> C/BE2#
<8,21,24,26> IRDY#

CLKRUN#
SERR#

<8,21,24,26,30,31> CLKRUN#
<8,21,24,26> SERR#

PERR#
C/BE1#
AD14

<8,21,24,26> PERR#
<8,21,24,26> C/BE1#

AD12
AD10
AD8
AD7
AD5
AD3
+5V

AD1
AC_ SYNC
AC_SDIN1
AC_BITCLK

<9,28> AC_SYNC
<9> AC_SDIN1
<9,28> AC_BITCLK
C

L59
HZ0603B601R_00
VCC5A

+5V

MINI_PCI_1

R574
1
R573
1
R575
1
1
R576

C863
220P

C862
100P

+5V

AC_ SYNC
2
2

AC_SDIN1

R577
33/F

PIRQE# <8>

+3V
PCIRST#

AC_BITCLK

MDC30

PIRQE#

0
0

PHONE <28>
3V_MODEM

10K
2
*0
2

4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
52
54
56
58
60
62
64
66
68
70
72
74
76
78
80
82
84
86
88
90
92
94
96
98
100
102
104
106
108
110
112
114
116
118
120
122
124

AC_SDOUT
AC_RESET#

PHONE

BT_LED

3V_S5
PCIRST# <8,13,16,22,26,30,31>

GNT1#

C864
10P/50V

BT_LED <23>

GNT1# <8>

MINIPCI_PME#
WLAN_CLK
AD30

3V_MODEM

AD28
AD26
AD24
MINI_IDSEL-1
AD22
AD20
PAR
AD18
AD16

AD20

R512

C757
0.1U/50V

C758
0.1U/50V

C756
0.1U/50V

100

PAR <8,21,24,26>

FRAME#
TRDY#
STOP#
DEVSEL#

FRAME# <8,21,24,26>
TRDY# <8,21,24,26>
STOP# <8,21,24,26>
+3V

DEVSEL# <8,21,24,26>

AD15
AD13
AD11
AD9
C/BE0#

C/BE0# <8,21,24,26>

AD6
AD4
AD2
AD0

3V_S5

WLAN_CLK_1 2

AC_SDOUT
AC_RESET#

3V_MODEM

WLAN_CLK

+3V

U55
NC7SZ126P5X

R508
100K

AC_SDOUT <9,28>

R648
10K

R649
10K

AC_RESET# <9,28>
BT_WAKE_R

BT_WAKE <9>

Q88
3V_MODEM

DTC144EUA

+3V

3V_MODEM

C755
0.1U/50V

8PMJ-1
8PMJ-2
8PMJ-4
8PMJ-5
LED2_YELP
LED2_YELN
RESERVED
5V
INTA#
RESERVED
3.3VAUX
RST#
3.3V
GNT#
GROUND
PME#
RESERVED
AD30
3.3V
AD28
AD26
AD24
IDSEL
GROUND
AD22
AD20
PAR
AD18
AD16
GROUND
FRAME#
TRDY#
STOP#
3.3V
DEVSEL#
GROUND
AD15
AD13
AD11
GROUND
AD9
C/BE0#
3.3V
AD6
AD4
AD2
AD0
RESERVED
RESERVED
GROUND
M66EN
AC_SDATA_OUT
AC_CODEC_ID0#
AC_RESET#
RESERVED
GROUND
SYS_AUDIO_IN
SYS_AUDIO_IN GND
AUDIO_GND
MCPIACT#
3.3VAUX

2
4
6
8
10
12
14
16
18
20
22
24
26
28
30

AUDIO_PWRDN
MONO_PHONE
RESERVED
GND
5V
RESERVED
RESERVED
PRIMARY_DN
5V
GND
AC97_SYNC
AC97_SDATA_INB
AC97_SDATA_INA
GND
AC97_BITCLK

AD31
AD29

8PMJ-3
8PMJ-6
8PMJ-7
8PMJ-8
LED1_GRNP
LED1_GRNN
CHSGND
INTB#
3.3V
RESERVED
GROUND
CLK
GROUND
REQ#
3.3V
AD31
AD29
GROUND
AD27
AD25
RESERVED
C/BE3#
AD23
GROUND
AD21
AD19
GROUND
AD17
C/BE2#
IRDY#
3.3V
CLKRUN#
SERR#
GROUND
PERR#
C/BE1#
AD14
GROUND
AD12
AD10
GROUND
AD8
AD7
3.3V
AD5
RESERVED
AD3
5V
AD1
GROUND
AC_SYNC
AC_SDATA_IN
AC_BIT_CLK
AC_CODEC_ID1#
MOD_AUDIO_MON
AUDIO_GND
SYS_AUDIO_OUT
SYS_AUDIO_OUT GND
AUDIO_GND
RESERVED
VCC5A

BT_PWRON# <31>
BT_USBP4+ <9>
BT_USBP4- <9>

REQ1#

<8> REQ1#

RING

<12> PCLK_MINI

1K_0402

R507
0
1
2
D31 RB500
PIRQF#
<8> PIRQF#
+3V

3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49
51
53
55
57
59
61
63
65
67
69
71
73
75
77
79
81
83
85
87
89
91
93
95
97
99
101
103
105
107
109
111
113
115
117
119
121
123

TIP

GND
GND

<23> RF_ON_LED
<31> RF_ENABLE

R869

CN32

MONO_OUT/PC_BEEP
GND
AUXA_RIGHT
AUXA_LEFT
CD_GND
CD_RIGHT
CD_LEFT
GND
3.3V_AUX
GND
3.3V
AC97_SDATA_OUT
AC97_RESET#
GND
AC97_MSTRCLK

126
125

R510
*10K

1
3
5
7
9
11
13
15
17
19
21
23
25
27
29

0_0402

WLAN_DATA

BT_PWRON#
BT_DETACH_R
BT_USBP4+
BT_USBP4BT_WAKE_R

Need To Check With AMBIT

CN33

3V_MODEM

WLAN_DATA_1

+3V

3V_MODEM

Request indicates : REQ1#


Grant indicates

R650
10K

3V_S5

<8,21,24,26> ICH_PME#

ICH_PME#
3

+5V

3V_S5

R663
4.7K

Q96
FD301V

3
C750
0.1U/50V

C765
0.1U/50V

Q89

Q97
FD301V

R664
10K

C769
4.7U/16V

BT_DETACH_R

DTC144EUA

2
C762
0.1U/50V

<9> BT_DETACH

3V_S5

MINIPCI_PME#

+3V

C747
0.1U/50V

C753
0.1U/50V

C751
0.1U/50V

C749
0.1U/50V

C759
10U/10V

C764
10U/10V

<8,21,24,26> AD[0..31]

AD[0..31]

QUANTA
COMPUTER

Title

MINI-PCI & MDC


Size

Document Number

R ev
D

ZI6
Date:
1

Friday, April 02, 2004


7

Sheet

25
8

of

43

+1.8V_1.2V_LAN
+3V

+1.8V_1.2V_LAN

C92

AD[0..31]

<8,21,24,25> AD[0..31]

AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31

Note: Populate R8 and depopulate R27 when


CLKRUN is not required. Depopulate R8 and
populate R27 when CLKRUN is required.

<8,21,24,25>
<8,21,24,25>
<8,21,24,25>
<8,21,24,25>

LANVCC

C/BE0#
C/BE1#
C/BE2#
C/BE3#

R34
4.7K
R33
*47K
+3V

AD18

<8,21,24,25,30,31> CLKRUN#
R94
0

R93
R92

LANVCC

4.7K
4.7K

BCM_SMCLK
BCM_SMDATA

M4
L3
F3
C4

CBE_0#
CBE_1#
CBE_2#
CBE_3#

C8
H4
A10
C9
M11

VAUXPRSNT
REQ#
GNT#
FRAME#
IRDY#
DEVSEL#
STOP#
TRDY#
PAR
PERR#
SERR#
INTA#
PCI_RST#
PCI_CLK
IDSEL
PME#

C70

0.1U/50V 0.1U/50V 0.1U/50V

C54

C42

R25

*1K

C21

+3V_2.5V_LAN

J14
N11
N10

CLK_LAN_X1

+3V_2.5V_LAN

EPHY_AVDD/AVDDL
EPHY_AVDD/AVDDL

F12
F13

+1.8V_1.2V_LAN

NC/TRD[3]NC/TRD[3]+

E14
E13

TX3N
TX3P

NC/TRD[2]NC/TRD[2]+

D14
D13

TX2N
TX2P

RDN/TRD[1]RDP/TRD[1]+

C14
C13

TX1N
TX1P

TDN/TRD[0]TDP/TRD[0]+

B14
B13

TX0N
TX0P

LINK_LED10#/LINKLEDB
LINK_LED100#/SPD100LEDB
COL_LED#/SPD1000LEDB
ACT_LED#/TRAFFICLEDB

G13
H13
G12
G14

XTALVDD
XTALI
XTALO

D10

LAN_RDAC
R416

H12
K13
J13

EEWP#

SPROM_CLK/EECLK
SPROM_CS/EEDATA

M10
P10

EECLK
EEDATA

P7
H14

R50
49.9/F

R48
49.9/F

R52
49.9/F

R60
49.9/F

R70
49.9/F

R130
1K

LAN_PLLVDD3

TRST#
TDI
TCK
TMS
TDO

D11
D12
C12
A12
B12

BCM_TRST#

+ C15
10U/10V

REGIN33/REGSUP25

B11

NC/REGCTL25

C11

OUT33/REGSEN25

C10

1K

1
2
3
4

CS
SK
DI
DO

R413

4.7K

8
7
6
5

10mils

C93
2.2U/10V_0805
CC0805

TX3N <19>
TX3P <19>
TX2N <19>
TX2P <19>
TX1N <19>
TX1P <19>
TX0N <19>
TX0P <19>

B9

NC/REGCTL12

B10

REGOUT18/REGSEN12

NC
NC
NC
NC
NC
NC
NC
VSS/NC
VSS/NC

L7
K11
K4
J11
J4
H10
M8
L14
L11

NC/CS#
EECLK_PXE/SCLK
EEDATA_PXE/SI
NC/SO

H11
E11
E10
G11

R117
*1K

VCC
WP#
SCL
SDA

A0
A1
A3
GND

1
2
3
4

AT24C128-10TI-2.7
LANVCC

C142
10U/10V

1G

B
C

C130
0.01U/50V

2.5V@88mA 0.564W

40mils

A9

1G

U15
8
7
6
5

EEWP#
EECLK
EEDATA

Q65
BCP69T1

+3V_2.5V_LAN

Q61
BCP69T1
C143
10U/10V

1G

B
C

C120

C109

C123

0.01U/50V 0.01U/50V

0.01U/50V

1.2V@618mA 0.803W

40mils
1.5" AWAY FROM CHIP

+1.8V_1.2V_LAN

+ C128
10U/10V

Use Philips BCP69-16, hfe=75~275

C118

C119
C144
0.01U/50V 0.01U/50V

0.01U/50V
LANVCC

<8,21,24,25> ICH_PME#

ICH_PME#
R661
4.7K

Q94
FD301V

R662
*10K
A

BCM4401 is for 10/100(1.8)


BCM5702 is for giga
BCM5705M is for giga cost-down(12)

Q95
FD301V

C48
1000P/50V

LAN_PLLVDD2

R77
49.9/F

C205
0.1U/50V

40mils

TB160808B601

R74
49.9/F

LANVCC
VCC
NC
ORG
GND

NC/REGSUP12

B7
D4
D5
D6
D7
D8
D9
E2
E5
E6
E7
E8
E9
F5
F6
F7
F8
F9
F10
G4
G5
G6
G7
G8
G9
G10
H9
K2
L6
L9
M6
M12
M13
N1
N12
N13

L3

0.1U/50V 0.1U/50V 0.1U/50V

When use 93C46 not to install


R1256,R1256 for 1G

U12

C9
0.1U/50V

C91

*FM93C46

NC/PLLVDD3
PLLVDD2
NC
NC
NC

R63
49.9/F

R129
1K

10mils

+1.8V_1.2V_LAN

L8
M9
N8

C80

LANVCC

TB160808B601

C129

10U/10V

EEDATA
BCM_DI
BCM_DO

VSS
VSS
VSS
VSS
VSS
VSS
NC/VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
ND/VSS
VSS
VSS
VSS

L1

C520

+3V_2.5V_LAN

1.21K/F

R35

N9
P9

R_CLK_LAN_X2

27P

C99
1000P/50V

LAN_LILED# <19>
LAN_100LED# <19,34>
LAN_1000LED# <19>
LAN_ACTLED# <19,34>

RDAC

SPROMDOUT/NC
SPROMDIN/NC

+3V_2.5V_LAN

10mils

GPIO0
GPIO1
GPIO2

15mm x 15mm
BGA196

M66EN

+3V_2.5V_LAN

LANVCC

BCM4401/BCM5702/BCM5705M

CSTSCHG
CLKRUN#
SMB_CLK
SMB_DATA
LOW_PWR

R87
FBMJ2125HM330_T

K14
L13
P11
A13
F14

NC/VDDP
NC/VDDP
VDDP
NC/AVDD
NC/AVDD

0 R15

CLK_LAN_X2

0.01U/50V

LANVCC

X1 25MHz
C8

C66

0.01U/50V

P1
G2
A1

VESD1
VESD2
VESD3

27P

C71

0.01U/50V

BIASVDD

R95

C43

0.01U/50V

F4

C135

A14
A11
F11
K12
L12

BIASVDD
VDDIO
VDDIO
VDDIO
VDDIO

LAN_PME#

<31> LAN_PME#

AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31

J12
C3
J3
F2
F1
H3
H1
G3
J1
J2
A2
H2
C2
A3
A4
A6

<8> REQ0#
<8> GNT0#
<8,21,24,25> FRAME#
<8,21,24,25> IRDY#
<8,21,24,25> DEVSEL#
<8,21,24,25> STOP#
<8,21,24,25> TRDY#
<8,21,24,25> PAR
<8,21,24,25> PERR#
<8,21,24,25> SERR#
<8> PIRQD#
<8,13,16,22,25,30,31> PCIRST#
<12> PCLK_LAN
R85
100

R96
*4.7K

N7
M7
P6
P5
N5
M5
P4
N4
P3
N3
N2
M1
M2
M3
L1
L2
K1
E3
D1
D2
D3
C1
B1
B2
B4
A5
B5
B6
C6
C7
A8
B8

C41
0.1U/50V

U33

VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC

VDDIO_PCI
VDDIO_PCI
VDDIO_PCI
VDDIO_PCI
VDDIO_PCI
VDDIO_PCI
VDDIO_PCI
VDDIO_PCI
VDDIO_PCI
VDDIO_PCI

0.1U/50V 0.1U/50V 0.1U/50V 0.01U/50V 0.01U/50V 0.01U/50V 0.01U/50V

+ C29
10U/10V

C75

C34

C67

C94

C69

C35

E12
H5
H6
H7
H8
J5
J6
J7
J8
J9
J10
K5
K6
K7
K8
K9
K10
L5
L10
M14
N14
P8
P12
P13
P14

+ C40
10U/10V

A7
B3
C5
E1
E4
G1
K3
L4
N6
P2

+3V

QUANTA
COMPUTER

LAN_PME#
Title

BCM5705M LAN
Size

Document Number

R ev
D

ZI6
Date:
5

Sheet

Friday, April 02, 2004


1

26

of

43

AUDIO POWER
+5V

+5V

+12V

R56

3
2
1

C77
100P

AUDGND

AUDGND

C517
10U/10V

Q63
SI9410DY

U35A
LM358AM

C497
1U/50V_0805

10

1
2

R65
3.3M

C78
0.1U/50V

D23
1SS355

R66
100K

5
6
7
8

R57

100K

AVDD

TO AUDIO

AUDGND
C64
0.1U/50V

C65
0.1U/50V

C509
10U/10V

C501
22U/16V

7
U35B
LM358AM

AUDGND

+3V
C

C289
0.1U/50V

+3V

R I#

RI# <9>

HWPG_POWER

<35,36> HWPG_POWER

R276

100K

4
2

D14

Q70
DTC144EUA

Q68
DTC144EUA

MRI1

*RB500

C291
0.1U/50V

HWPG_POWER-G <6,9,12,31>

U19
7SZ08

MRI1 <34>

Q69
DTC144EUA

<9,38> IMVP_OK

PCICRI#

<21> PCICRI#

R444
10K

R445
2.2K

+3V

R637

10K

AVDD

BEEP <28>

R98
10K

+3V

C117

PCMSPK#

4
U13
NC7SZ86

Q13

2N7002

C124
1000P/50V

10K

R76

2N7002

R97

BEEP_AMP <29>

*0.1U_0805

100K

BEEP_AMP

Q12

<9> PCSPK
<21> PCMSPK#

PCSPK

PC_BEEP2

SPKOFF# <9>
A

AUDGND

AUDGND
R81

QUANTA
COMPUTER

10K

Title

AUDIO POWER & BEEP & FDD SEL


Size

Document Number

Rev
D

ZI6
Date:
5

Friday, April 02, 2004

Sheet
1

27

of

43

RA

AC97 CODEC

AVDD_ADI

0 PHONE_R

AGND_ADI

1A not stuff
AC97_XIN

RC

U36

1
R417

22

1
2
3
4
5
6
7
8
9
10
11
12

R_CBIT_CLK

AC_SDIN0

<9> AC_SDIN0

AC_ SYNC
AC_RESET#

<9,25> AC_SYNC
<9,25> AC_RESET#

BEEP-0
C114
22P/50V

BEEP

<27> BEEP

AUDGND

C525

0.47U/10V

C921

*1000P

DVDD1
XTL_IN
XTL_OUT
DVSS1
SDAT_OUT
BIT_CLK
DVSS2
SDAT_IN
DVDD2
SYNC
RESET#
NC1 (PC-BEEP)

PHONE_R
CDGND0

R621
R622
R623

AUDGND
<20> CDL
<20> CDGND
<20> CDR

2 *10K
2 10K
2 10K

1
1
1

C922

.01U/25V_0402

C923

100P_0402

Z1010

AUD_NC2
AUD_JS0
AUD_JS1

AUDGND

2 1K

R_CDL0

C516

1U/6.3V

R_CDL1

2 1K

CDGND0

C515

1U/6.3V

C DGNDC1

R408

2 1K

R _CDR0

C513

1U/6.3V

R _CDR1

C1
1
2
6
3
4
5

7
8
10

1000P/50V

L52

1
1K

C503

1U/6.3V AOUTR_PR

R640

1K

C53

1U/6.3V AOUTL_PR

R641

1K

C52

1U/6.3V AOUTR_1

R642

1K

C504

1U/6.3V AOUTL_1

AVDD
C81
10U/16V

+
C56
0.47U/10V

C55
0.1U/50V

C508
0.1U/50V

C512

0.47U/10V

CB

C518

0.47U/10V

MICREF1
2.2K
C39
0.1U/50V

CA

C519

0.47U/10V

AOUTL_1 <29>

C493
*10U/10V

C507
1000P/50V

C506
1000P/50V

R39

1
2

MICREF

MIC_CONN
AUDGND
AVDD

C927

100P_0402

C929

1000P/50V_0402

LINE_R_0 2 R406
1
L51
BK1608HS600
6.8K

C38

C37

180P

180P

LINE_R
R46

220K

220K

PHONEJACK

AUDGND

R47

R64
100K

C74
0.1U/50V

C511
1U/6.3V

SYS_MIC

VCC

IN_B1

SEL

PR_MIC_IN#

COM

N04_MIC

GND

IN_B0

PR_MIC

<34> PR_MIC

AUDGND

NC7SB3157

AUDGND
R636

PR_MIC_IN# <34>

R54

AUDGND
AUDGND

R876
4.7K

U5
1

LINE_R_1

0_0402

.01U/25V_0402 10

C510
1U/6.3V
LINE_L

C925

L50
BK1608HS600
6.8K
LINE_L_0 2 R405
1

LINE_L_1

MICREF

AUDGND

R644

1
2
6
3
4
5

N05_MIC

SEL

FUNCTION

LOW

IN_B0

HIGH

IN_B1

R654

<16,31,34> PR_INSERT#

*0

Q66
DTC144EUA

LINE_R_0 1

AVDD

R632
*0
N04_MIC

100
C898
0.1U/50V

R633
C899
0.1U/50V

*0

LINEINL_PR <34>

R412
10K
R653

2.2K

AUDGND

LINEINPLG

MIC_IN

LINE_L_0 1

R407
10K

1U/6.3V

MICREF

Q60
CH2506E(60V_250mA)

AVDD

C62

R613

N04_MIC
+12V

1U/6.3V

1
2

C494
180P

C897
0.1U/50V

AUDGND

7
8

C50

CN24
INT_MIC

AVDD

C505
0.1U/50V

INT_MIC <23>

R397
0

100

D44
1SS355

AUDGND
CN27

1U/6.3V

MIC_IN

1000P/50V_0402
AUDGND

C502

AUDGND

SYS_MIC
2

AOUTR_1 <29>

BK1608HS600

AOUTL_PR <29>

AUDGND

INT_MIC1
R398

AOUTR_PR <29>

Z1005
Z1006
Z1007
Z1008
MICREF
Z1009

10:15

MIC_IN_CON

MIC_IN_CONN
C924

PHONE-0

0.47U/10V

R410

9
0_0402

0.47U/10V

C521

R411

CN26
R643

C522

R639

13
14
15
16
17
18
19
20
21
22
23
24

ALC202

AOUTR_2
AOUTL_2

36
35
34
33
32
31
30
29
28
27
26
25

LINE_OUT_R
LINE_OUT_L
AVDD4 (VAUX)
AVSS4 (DCVOL)
AFILT4 (VRDA)
AFILT3 (VRAD)
AFILT2
AFILT1
VREFOUT
VREF
AVSS1
AVDD1

C111
10P/50V

PHONE_IN
AUX_L
AUX_R
JS1 (VIDEO_L)
JS0 (VIDEO_R)
CD_L
CD_GND
CD_R
MIC_IN
NC2 (MIC_IN2)
LINE_IN_L
LINE_IN_R

1
2
AC_SDOUT
AC_BITCLK

<9,25> AC_SDOUT
<9,25> AC_BITCLK

*0
AUDGND

Y4
24.576MHz
2

C107
10P/50V

R72

AC97_XOUT

AUDGND

C523
0.01U/50V

R611
*100K

C115
0.1U/50V

ALC202 & AD1981 co-design:


For ALC202 (default):
Mount RB, CA = CB = 0.47u
No mount RA & RC
For AD1981:
Mount RA, RB; CA = CB = 4.7K
No mount RB

C105
10U/16V

C896
1000P/50V

C524
0.1U/50V

MIC_IN

1000P/50V

C116
10U/16V

SDIF
EAPD
ID1
ID0
AVSS3 (GPIO1)
AVDD3 (GPIO0)
NC3 (AGND)
HP_OUT_R
AVSS2 (NC)
HP_OUT_L
AVDD2
MONO_OUT

C895

<25> PHONE

1M
2

R_LINE_L
R_LINE_R

R90
1

C98
C95
1000P/50V 0.1U/50V

48
47
46
45
44
43
42
41
40
39
38
37

D41
1

*RB500
2

AVDD

0
2

R610

R53
1

L4
FBMJ2125HM330_T
AVDD_1

RB
AGND_ALC

+3V

*0
2

12/02

R62
1

R396

10K

AUDGND
AUDGND

AUDGND
3

LINEINR_PR <34>

Q64
CH2506E(60V_250mA)

R409

10K

AUDGND
Spec Change

10/02

QUANTA
COMPUTER

Title

ACP7 CODEC
Size

Document Number

R ev
D

ZI6
Date:
8

Friday, April 02, 2004


2

Sheet

28
1

of

43

SPKMUTE#

NDS351AN

INSPKR+ 3

AUDGND

R55
AVDD

AMP_RIN+

C498

1U/6.3V

AMP_LIN+

AOUTL_1

<28> AOUTL_1

2
C500

AUDGND

AMP_BYPASS
1
2.2U/10V_0805
AUDIO_G0
AUDIO_G1

29
30
31
32
33

23
20
8

RLINEIN
RHPIN
RIN+

10
6
5

LIN+
LHPIN
LLINEIN

11

BYPASS

2
3

LOUT+
LOUT-

4
9

INSPKL+
INSPKL-

PC-BEEP

14

R_BEEP C96

SE/BTL
HP/LINE

15
17

R78
1

AUDGND5
AUDGND6
AUDGND7
AUDGND8
AUDGND9

PVDD1
PVDD2

SHUTDOWN

GAIN0
GAIN1

0.1U/50V
100K
2

BEEP_AMP

C63
*4.7U

1
3V_591
3

U6 NC7SZ32P5X

3
1

Q8
2N7002

AUDGND

15.6dB

21.6dB

Del Q91
DTC144EUA

Q11
DTC144EUA

AMP_MUTE# <31>

AUDIO_G0
AUDIO_G1
SPKMUTE#
R403
10K

EMI

100P

AUDGND

SPKMUTE#

Q5

R401
*10K

NDS351AN

INSPKL+_R 3

R45

Q62
2

10dB

C932

MUTE_R

R73
100K
R80
47K/F

AUDGND

HPSENCE_PR <34>

R402
10K

6dB

HPSENCE_PR

AUDGND

4
3
2
1

0.1U/50V_0805

R404
*10K

Av

R_L_SPEAKERS

C79
0.1U/50V

SPKMUTE#

C60

INSPKL-_R

*0

CN6

RB500V

Gain1

INSPKR+_R
INSPKR-_R
INSPKL+_R
INSPKL-_R

+12V

R79
100K

AVDD

NDS351AN

3
R43

Q10
2N7002

D1

INSPKL-

*0

3V_591

AUDGND

Gain0

INSPKR-_R

R71
10K

1
24
13
12

High: External
Low: Internal

Q3

BEEP_AMP <27>

AUDGND

AVDD

R67
10K

SPKMUTE#

INSPKL+_R

*0

NDS351AN

R51

AUDGND

SPKPLG

R44

SHUTDOWN#

22

NDS351AN

AVDD

25
26
27
28

TPA0312

GND4
GND3
GND2
GND1

Q4

INSPKL+ 3

Q7

INSPKR- 3

1U/6.3V

INSPKR+
INSPKR-

C499

21
16

AUDGND

ROUT+
ROUT-

AOUTR_1

<28> AOUTR_1

VDD

7
18

AUDGND1
AUDGND2
AUDGND3
AUDGND4

19
D

INSPKR+_R

*0

SPKMUTE#
U34

SPKMUTE#

Q6
2

Audio Amplifier

HPOUTL_0

NDS351AN

INSPKR+_R 3

*0

R49

HPOUTR_0

*0

AUDGND

HEADPHONE OUT

100P

C880

1000P/50V
HPOUTL_0

AVDD

C879
C464

C51
10U/16V

C59
0.1U/50V

C90
0.1U/50V

C58
0.1U/50V

C916
100U/6.3V-6032
HPOUTL_1
+

C465

L48
1
BLM11A12PT
L44
1
BLM11A12PT

330 HPOUTL_2

R614

100P
0.01U/50V

R414

R16

R38

*0

R99

*0

HPOUTR_0

HPOUTR_1

R615

330 HPOUTR_2

HPOUTR_3

C917
100U/6.3V-6032

C2
R616
*10K

CN28
1
2
6
3
4
5

HPOUTL_3

R617
*10K

C30

7
8

R638

0_0402

10

C918

.01U/25V_0402

C919

100P_0402

C920

1000P/50V_0402

HEADPHONE_OUT

1000P/50V
1000P/50V

AUDGND

AUDGND

C492

SPKPLG

Q92

AUDGND

AUDGND

180P

Q93
2

AUDGND

2N7002
1

2N7002

AUDGND

MUTE_R

AOUTL_PR 3

SPK_L_PR <34>

Q1
2N7002

SPKMUTE#

SPK_L_PR

<28> AOUTL_PR

<28> AOUTR_PR

AOUTR_PR 3

SPK_R_PR

QUANTA
COMPUTER

SPK_R_PR <34>

Q2
2N7002

Title

AUDIO AMP
Size

Document Number

R ev
D

ZI6
Date:
8

Friday, April 02, 2004


2

Sheet

29
1

of

43

+3V

PCLK_SIO

14M_SIO

R561
*68

R559
*68

C842
*10P

C841
*10P

PCLK_SIO
PCIRST#
LFRAME#/FWH4
LPC_DRQ0#
LPC_PD#
1
2
CLKRUN#
SERIRQ_SIO
D35
1SS355

8
9
12
11
7
6
10
19

LCLK
LRESET#
LFRAME#
LDRQ#
LPCPD#
CLKRUN#/GPIO36
SERIRQ
SMI#/GPIO35

14M_SIO

20

CLKIN

21
22
23
24
25
26
27
28
29
30
31
32
33
34

+3V
3

R560
*10K

Reserved For PC87393

TXD1

R562

*10K

T114
T107
T110
T106
T109
T103
T113
T112
T111
T108

+3V

Reserved For PC87393


R630

10K

PC87391

DSKCHG#
HDSEL#
RDATA#
WP#
TRK0#
WGATE#
WDATA#
SETP#
DIR#
DR0#
MTR0#
INDEX#
DENSEL
DRATE0/IRSL3

PNF/XRDY
SLCT/WGATE#
PE/WDATA#
BUSY_WAIT#/MTR1#
ACK#/DR1#
SLIN#_ASTRB#/SETP#
INIT#/DIR#
ERR#/HDSEL#
AFD#_DSTRB#/DENSEL
STB#_WRITE#

35
36
37
40
41
47
49
51
53
54

DCD1#
DSR1#
SIN1
RTS1#/TEST
SOUT1/XCNF0
CTS1#
DTR1#_BOUT1/BADDR
RI1#

55
56
57
58
59
60
61
62

DCD1#
DSR1#
RXD1
RTS1#
TXD1
CTS1#
DTR1#
RI1

IRTX
IRRX1
IRRX2_IRSL0
IRSL1
IRSL2/PWUREQ#

70
69
68
67
66

IRTX
IRRX1
IRSEL

XA0/GPIO20
XA1/GPIO21
XA2/GPIO22
XA3/GPIO23
XA4/GPIO24/XSTB0#
XA5/GPIO25/XSTB1#/XCNF2
XD0/GPIO00/JOYABTN1
XA6/GPIO26/PRIQA/XSTB2#
XD1/GPIO01/JOYBBTN1
XA7/GPIO27/PIRQB
XD2/GPIO02/JOYAY
XA8/GPIO30/PIRQC
XD3/GPIO03/JOYBY
XA9/GPIO31/MTR1#/PIRQD
XD4/GPIO04/JOYBX
XA10/GPIO32/XIORD#/MDRX
XD5/GPIO05/JOYAX
XA11/GPIO33/XIOWR#/MDTX
XD6/GPIO06/JOYBBTN0
XA12/GPIO10/JOYABTN1/RI2#
XD7/GPIO07/JOYABTN0
XA13/GPIO11/JOYBBTN1/DTR2#_BOUT2
XA14/GPIO12/JOYAY/CTS2#
XER#/XCNF1
XA15/GPIO13/JOYBY/SOUT2
XRD#/GPIO34/WDO#
XA16/GPIO14/JOYBX/RTS2#
XIOWR#/XCS1#/MTR1#/DRATE0
XA17/GPIO15/JOYAX/SIN2
XIORD#/GPIO37/IRSL2/DR1#
XA18/GPIO16/JOYBBTN0/DSR2#
XCS0#/DR1#/XDRY
XA19/DCD2#/JOYABTN0/GPIO17

+3V

L75
L76
L77
L78
L79
L80
L81
L82

52
50
48
46
45
44
43
42

R367
SLCT
PE
B USY
ACK#
INIT#
ERROR#
AFD#
STRB#

I/O address strap

1
2
3
4
5

R351 1
R355 1

T XD
RXD

2 22
2 22

T33

IRSEL

BK1608HM121

SLIN#

TXD
RXD
FIR_SELMOD

SD/MODE

T98
T95
T96
T97
T100
T99
T102
T101

4
5
73
71
72

T93
T94

LED_C

T32

TFDU6102F

R348 2

0_0805
C447
10U/10V

V CC_IC
C441
0.1U/50V

C442
1000P/50V

+5V

40mil: Power/ GND


35mil: VCC_LED, VCC_IC, GND_IC
10mil: TXD, RXD, IRSEL, IRTX,
IRRX1

R357
1K_0402

T104
T105

3
2
1
100
99
98
97
96

U27

3
4
7

SLIN# <34>

+3V

+5V
RP8

PD[0..7] <34>

IRTX
IRRX1

DCD1# <34>
DSR1# <34>
RXD1 <34>
RTS1# <34>
TXD1 <34>
CTS1# <34>
DTR1# <34>
RI1 <34>

10
INIT#
9
SLIN#
8
ERROR# 7
AFD#
6

10K
+3V
SLCT <34>
PE <34>
BUSY <34>
ACK# <34>
L83

PD[0..7]

PD0
PD1
PD2
PD3
PD4
PD5
PD6
PD7

INIT# <34>
ERROR# <34>
AFD# <34>
STRB# <34>

13
38
64
89

PC87391VJG

BK1608HM121
BK1608HM121
BK1608HM121
BK1608HM121
BK1608HM121
BK1608HM121
BK1608HM121
BK1608HM121

VCC_LED

5.6_1206
C443
10U/10V

C Test pop: o ohm


PD0/INDEX#
PD1/TRK0#
PD2/WP#
PD3/RDATA#
PD4/DSKCHG#
PD5/MSEN0
PD6/DRATE0
PD7/MSEN1

VSS
VSS
VSS
VSS

DTR1#

95
94
93
92
91
90
87
86
85
84
83
82
81
80
79
78
77
76
75
74

R352

LEAD

LAD0
LAD1
LAD2
LAD3

GND
VCC

<12> 14M_SIO

15
16
17
18

+5V

5.6_1206
2

8
6

<12> PCLK_SIO
<8,13,16,22,25,26,31> PCIRST#
<9,31> LFRAME#/FWH4
<9,31> LPC_DRQ0#
<9,13> LPC_PD#
<8,21,24,25,26,31> CLKRUN#
<8> SERIRQ_SIO

LAD0/FWH0
LAD1/FWH1
LAD2/FWH2
LAD3/FWH3

R354
1

LAD0/FWH0
LAD1/FWH1
LAD2/FWH2
LAD3/FWH3

VDD
VDD
VDD
VDD

<9,31> KBSMI#
<9,31>
<9,31>
<9,31>
<9,31>

U47

FIR
2

KBSMI# 3

C843
0.1U/50V

R558
10K

C454
0.1U/50V

14
39
63
88

Q55
*DTC144EUA

C855
10U/10V

C455
10U/10V

+3V

Super I/O
+3V

+3V

STRB#

PD0

C1071

*330p/25V_0402

PD1

C1072

*330p/25V_0402

PD2

C1073

*330p/25V_0402

PD3

C1074

*330p/25V_0402

PD4

C1075

*330p/25V_0402

PD5

C1076

*330p/25V_0402

PD6

C1077

*330p/25V_0402

PD7

C1078

*330p/25V_0402

SLIN#

C1079

*330p/25V_0402

+5V

ACK#
B USY
PE
SLCT

RN47

+5V

3
1

10P8R_4.7K

4
2

PD1
PD2

4
2

PD7
PD4

4
2

PD6
PD5

4P2R_4.7K
RN48
3
1
4P2R_47K
RN49
PD3
PD0

R877
R878

4.7K_0402
4.7K_0402

3
1
4P2R_4.7K

QUANTA
COMPUTER

Title

SUPER I/O NS37391


Size

Document Number

R ev
D

ZI6
Date:
A

Sheet

Friday, April 02, 2004


E

30

of

43

REF3V

3V_591
R EFP

<42> REFP

2
Q25
2N7002

R202

+3V

U46

D8

VCC
GND

8
4

WP

2
1

1
2
3

KBSMI#

<9,30> KBSMI#

1SS355

G1
*SHORT
C299
0.1U/50V

D52
GATEA20
RC IN# D53

<8> GATEA20
<8> RCIN#

<33>
<33>
<33>
<33>
<33>
<33>
<33>
<33>

Add pull up or

3V_591

direct short(leakage)
+3V
C

R272
*10K

R273
*10K

R189
*10K

R246
*10K

PR_INSERT#
C884
0.1U/50V

+5V

R219
10K

R220
10K

R211
10K

R203
10K

R194
10K

1 1SS355

MX0
MX1
MX2
MX3
MX4
MX5
MX6
MX7

MX0
MX1
MX2
MX3
MX4
MX5
MX6
MX7

M Y0
M Y1
M Y2
M Y3
M Y4
M Y5
M Y6
M Y7
M Y8
M Y9
MY10
MY11
MY12
MY13
MY14
MY15

CAPSLED#
NUMLED#

1
BT1#

Q22
DTA124EUA

R437

GA20/IOPB5
KBRST/IOPB6

49
50
51
52
53
56
57
58
59
60
61
64
65
66
67
68

R182
10K

3VH_591

IOPD3/ECSCI

5
6
71
72
73
74
77
78
79
80

MSCLK
MSDATA
KPCLK
KPDATA
TPCLK
TPDATA

<34> MSCLK
<34> MSDATA
<34> KPCLK
<34> KPDATA
<23> TPCLK
<23> TPDATA
<23> CAPSLED#
<23> NUMLED#

31

20M

KBSIN0
KBSIN1
KBSIN2
KBSIN3
KBSIN4
KBSIN5
KBSIN6
KBSIN7

591_AVCC
95

Host interface

AD Input

JTAG debug port

110
111
114
115
116
117
118
119

PSCLK1/IOPF0
PSDAT1/IOPF1
PSCLK2/IOPF2
PSDAT2/IOPF3
PSCLK3/IOPF4
PSDAT3/IOPF5
PSCLK4/IOPF6
PSDAT4/IOPF7

PS2 interface

158

32KX1/32KCLKOUT

591_32KX2

160

32KX2

R438

N BSWON#
SUSB#
LPCPD#
CLK RUN#

IOPH0/A0/ENV0
IOPH1/A1/ENV1
IOPH2/A2/BADDR0
IOPH3/A3/BADDR1
IOPH4/A4/TRIS
IOPH5/A5/SHBM
IOPH6/A6
IOPH7/A7

124
125
126
127
128
131
132
133

ENV0
ENV1
B ADDR0
B ADDR1
TRIS
SHBM
A6
A7

IOPI0/D0
IOPI1/D1
IOPI2/D2
IOPI3/D3
IOPI4/D4
IOPI5/D5
IOPI6/D6
IOPI7/D7

138
139
140
141
144
145
146
147

D0
D1
D2
D3
D4
D5
D6
D7

IOPJ0/RD
IOPJ1/WR0

150
151

RD#
W R#

SELIO

152

IOSEL#

41
42
54
55

M/A#
B/A#
D/ C#
BL/C#

IOPK0/A8
IOPK1/A9
IOPK2/A10
IOPK3/A11
IOPK4/A12
IOPK5/A13/BE0
IOPK6/A14/BE1
IOPK7/A15/CBRD

143
142
135
134
130
129
121
120

A8
A9
A10
A11
A12
A13
A14
A15

IOPL0/A16
IOPL1/A17
IOPL2/A18
IOPL3/A19
IOPL4/WR1

113
112
104
103
48

A16
A17
A18

121K/F

C S#

Q21
DTA124EUA

R154

*10K

LANVCC

1
A

IOPM0/D8
IOPM1/D9
IOPM2/D10
IOPM3/D11
IOPM4/D12
IOPM5/D13
IOPM6/D14
IOPM7/D15

173
174
47

SEL0
SEL1
CLK

Q32
*DTA124EUA

PORTK
PORTM

PORTL

GND1
GND2
GND3
GND4
GND5
GND6
GND7

H OLD#

LAN_PME#2

148
149
155
156
3
4
27
28

PC87591L
H OLD#

Q24
DTA124EUA

IOPD4
IOPD5
IOPD6
IOPD7

PORTD-2
PORTJ-2

HWPG_POWER-G <6,9,12,27>

REF ON
MXLID#
0

R136 SW I#
DNBSWON_591
PWR_LED#
EC_FPBACK#

ENV1

10K

R167

B ADDR0

*10K

R161

B ADDR1

*10K

Q27
*MMBT3904

R152

LPCPD#

10K

R241

SHBM

10K

C279
2200P

R148

VFAN2 <33>

SHBM=1: Enable shared memory with host BIOS

C267
2200P

CHECK

CELL-SET <41>

BADDR1-0
0 0
0 1
1 0
1 1

SCROLED# <23>
AMP_MUTE# <29>
BT1# <23>
BT2# <23>
BT3# <23>
BT4# <23>

3V_591

BAT2_LED# <23>
BAT1_LED# <23>
SUSPEND_LED# <23>
MBCLK <3,42>
MBDATA <3,23>
PCIRST# <8,13,16,22,25,26,30>
REFON <42>
MXLID# <18>
SWI# <9>

I/O Address
Index
Data
2E
2F
4E
4F
(HCFGBAH, HCFGBAL)(HCFGBAH, HCFGBAL)+1
Reserved

MBCLK
MBDATA

R218
R217

4.7K
4.7K

D6
1

PWR_LED# <23>
EC_FPBACK# <18>

DNBSWON# <9>
R150

1SS355

PWROK_1

R635

*1K

P WROK

NBSWON# <23>
SUSB# <9,12>

+3V
PWROK <6,9>

If Pin 24 is not pull-high,


System will not able to boot.

CLKRUN# <8,21,24,25,26,30>

M/A#
B/A#
D/ C#
BL/C#

M/A# <42>
B/A# <23>
D/C# <42>
BL/C# <42>

+3V
WIRELESS_SW#

R655

4.7K

BLUETOOTH_SW#

R656

4.7K

U38
*PAD
T2

C S#
RD#
W R#

12
11
10
9
8
7
6
5
27
26
23
25
4
28
29
3
2
30

A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
A13
A14
A15
A16
A17

22
24
31

CE#
OE#
WE#

D0
D1
D2
D3
D4
D5
D6
D7
VPP

13
14
15
17
18
19
20
21

D0
D1
D2
D3
D4
D5
D6
D7

A18

R160

1K

3V_591

3VH_591

N BSWON#

2
Q20
DTA124EUA

VCC

GND

32

16

3V_591
C161
0.1U/50V

SUSB#
A CIN

<41> ACIN

D11 2

1 1SS355

D10 2

1 1SS355

H OLD#

R657

Pin 103 internal


is "A19",Can't
use to GPIO

BIU configuration should match flash speed used

100K

LANVCC

C1066

3V_591
3

HWPG_POWER-G

PLCC32
NC1
NC2
NC3
NC4
NC5
NC6
NC7
NC8
NC9
NC10

H OLD#

3VH_591

BT4#

RF_ENABLE
BT_PWRON#
S3_MODEM
S5_LAN
VR ON
MAINON
SUSON
S5_ON

<25> RF_ENABLE
<25> BT_PWRON#
<39> S3_MODEM
<39> S5_LAN
<36,38> VRON
<35,37,39,40> MAINON
<35,40> SUSON
<9,36,40> S5_ON

IOPJ2/BST0
IOPJ3/BST1
IOPJ4/BST2
IOPJ5/PFS
IOPJ6/PLI
IOPJ7/BRKL_RSTO

AGND

3VH_591

BT3#

62
63
69
70
75
76

11
12
20
21
85
86
91
92
97
98

BT2#

<20> -RBAYINS
<16,28,34> PR_INSERT#

Q19
DTA124EUA

96

3VH_591

17
35
46
122
159
167
137

PORTJ-1

-R BAYINS
PR_INSERT#

BAT2_LED#
BAT1_LED#
SUSPEND_LED#
MBCLK
MBDATA
PCIRST#
R200
0

2
44
24
25

32.768KHZ
C536
5.6P

VFAN2
CELL-SET
SCROLED#
AMP_MUTE#
EMAIL#
BT1#
WWW#
BT2#
P1_BTN#
BT3#
P2_BTN#
BT4#

IOPE4/SWIN
IOPE5/EXWINT40
IOPE6/LPCPD/EXWIN45
IOPE7/CLKRUN/EXWINT46

H OLD#
C535
20P

32
33
36
37
38
39
40
43

H OLD#
A CIN
R_LAN_PME#

PORTI

WIRELESS_SW# <23>
BLUETOOTH_SW# <23>
SUSC# <9>

CC-SET <41>
CV-SET <41>
VADJ <18>
VFAN <33>

26
29
30

Y5

CC-SET
CV-SET
VA DJ
V FAN

IOPD0/RI1/EXWINT20
IOPD1/RI2/EXWINT21
IOPD2/EXWINT24

PORTH

591_32KX1

99
100
101
102

WIRELESS_SW#
BLUETOOTH_SW#
SUSC#
HWPG_POWER-G
DP/AD8
DN/A D9

168
169
170
171
172
175
176
1

PORTD-1

PORTE

TEMP_MBAT <42>
TEMP_ABAT <42>

IOPC0
IOPC1/SCL2
IOPC2/SDA2
IOPC3/TA1
IOPC4/TB1/EXWINT22
IOPC5/TA2
IOPC6/TB2/EXWINT23
IOPC7/CLKOUT

PORTC

TINT
TCK
TDO
TDI
TMS

TEMP_MBAT
TEMP_ABAT

153
154
162
163
164
165

PORTB

105
106
107
108
109

81
82
83
84
87
88
89
90
93
94

IOPB0/URXD
IOPB1/UTXD
IOPB2/USCLK
IOPB3/SCL1
IOPB4/SDA1
IOPB7/RING/PFAIL

Key matrix scan

Q23

MMBT3904

IOPA0/PWM0
IOPA1/PWM1
IOPA2/PWM2
IOPA3/PWM3
IOPA4/PWM4
IOPA5/PWM5
IOPA6/PWM6
IOPA7/PWM7

PWM
or PORTA

10K

3V_591
HWPG

<39> HWPG

DA0
DA1
DA2
DA3

DA output

KBSOUT0
KBSOUT1
KBSOUT2
KBSOUT3
KBSOUT4
KBSOUT5
KBSOUT6
KBSOUT7
KBSOUT8
KBSOUT9
KBSOUT10
KBSOUT11
KBSOUT12
KBSOUT13
KBSOUT14
KBSOUT15

C162
0.1U/50V

Should have a 0.1uF capacitor close to every


GND-VCC pair + one larger cap on the supply.

C221
0.1U/50V

AD0
AD1
AD2
AD3
IOPE0AD4
IOPE1/AD5
IOPE2/AD6
IOPE3/AD7
DP/AD8
DN/AD9

1 1SS355

<33> MY0
<33> MY1
<33> MY2
<33> MY3
<33> MY4
<33> MY5
<33> MY6
<33> MY7
<33> MY8
<33> MY9
<33> MY10
<33> MY11
<33> MY12
<33> MY13
<33> MY14
<33> MY15

BT1#
BT2#
BT3#
BT4#

R256
10K

KBSMI_591

1
D9
1SS355
S CI#

<9> SCI#

FOR RESET

AT24C08AN-10SI-2.7

A0
A1
A2

SCL
SDA

6
5

SERIRQ
LDRQ
LFRAME
LAD0
LAD1
LAD2
LAD3
LCLK
LREST
SMI
PWUREQ

C163
0.1U/50V

R234
470K

7
8
9
15
14
13
10
18
19
22
23

C160
0.1U/50V

<9,30> LFRAME#/FWH4
<9,30> LAD0/FWH0
<9,30> LAD1/FWH1
<9,30> LAD2/FWH2
<9,30> LAD3/FWH3
<12> PCLK_591

3V_591

MBCLK
MBDATA

SERIRQ
D RQ0#
LFRAME#/FWH4
LA D0/FWH0
LA D1/FWH1
LA D2/FWH2
LA D3/FWH3
PCLK_591

<8,21> SERIRQ

C242
0.1U/50V

3V_591

C261
0.1U/50V

AVCC

VDD

U42

34
45
123
136
157
166

D RQ0#

*0

VCC1
VCC2
VCC3
VCC4
VCC5
VCC6

LPC_DRQ0# R171

+3V

R201
C224
0.1U/50V

<9,30> LPC_DRQ0#

C274
0.1U/50V

Z1017

C552
10U/16V

no use

VCCRTC

L13
*FBM2125HM330

161

10K_0402

VBAT

10K_0402

R867

16

LDRQ#(pin 8) internal is

R866

RC IN#

3V_591
GATEA20

+3V

H OLD#

591_AGND

R138
10K
<26> LAN_PME#

LAN_PME#

.1U/10V_0402

R255
10K
1

R_LAN_PME#

QUANTA
COMPUTER

L12
TB160808B601
Title

D12

PC87591 & FLASH

DTC143TKA

Size

Document Number

Rev
D

ZI6
Date:
5

Friday, April 02, 2004

Sheet
1

31

of

43

60 MILS

R385
FBMJ2125HM330_T
USBPWR_S1

CN4
3V_S5

C1052

C1053
.1U/10V_0402

.1U/10V_0402

.1U/10V_0402

C1055 C1056
C1057
C1058
.01U/25V_0402
1000P/50V_04021000P/50V_040210U/10V
.01U/25V_0402
C1054

C1051
0.1U/50V

C482

C17
100U/6.3V-3528
*10P_0402

C479
C16
*10P_0402

USB

RFCMF1632100M3T
A

5
6

1
2
3
4

SYSUSBP0-_1
SYSUSBP0+_1

1
4

USB0PWR_1
1
4

2
3

2
3

SYSUSBP0SYSUSBP0+

L49
<9> SYSUSBP0<9> SYSUSBP0+

60 MILS

R382
FBMJ2125HM330_T
USBPWR_S1

1
3V_S5
USBHUB_XOUT

C28
*10P_0402

C480

100U/6.3V-3528

C484
0.1U/50V
5VSUS

R566
C865 1
R579

3V_S5

R384
FBMJ2125HM330_T
USBPWR_S2

60 MILS

C859 1

15K_0402
2 .1U/10V_0402

26

BUSPOWER

46

RESET#

17
18

DD+

100K_0402
2 .1U/10V_0402

CN2

L46
<9> SYSUSBP2<9> SYSUSBP2+

SYSUSBP2SYSUSBP2+

2
3

2
3

USB2PWR_1
1
4

1
2
3
4

SYSUSBP2-_1
SYSUSBP2+_1

1
4

RFCMF1632100M3T

<9> HUB_USBP55
6

<9> HUB_USBP5+

USB
C478
C24
*10P_0402

*10P_0402
C25
100U/6.3V-3528

C481
0.1U/50V

24MHz

5
6

USB
C27
*10P_0402

15P_0402

Y8

C457

15P_0402

22

RFCMF1632100M3T

C456

XOUT

1
2
3
4

SYSUSBP1-_1
SYSUSBP1+_1

1
4

21

USB1PWR_1
1
4

XIN

2
3

3
7
11
15
19
23
27
33
39
45
55

2
3

VCC1
VCC2
VCC3
VCC4
VCC5
VCC6
VCC7
VCC8
VCC9
VCC10
VCC11

SYSUSBP1SYSUSBP1+

USB 2.0 HUB

CN3

L47
<9> SYSUSBP1<9> SYSUSBP1+

USBHUB_XIN

GREEN#[1]
AMBER#[1]
GREEN#[2]
AMBER#[2]
GREEN#[3]
AMBER#[3]
GREEN#[4]
AMBER#[4]

35
36
37
38
41
42
43
44

PWR#[1]
OVR#[1]
PWR#[2]
OVR#[2]
PWR#[3]
OVR#[3]
PWR#[4]
OVR#[4]

29
30
31
32
54
53
52
51

PR_USBOC# <34>

<34> PR_USBP0<34> PR_USBP0+

13
14

DD-[1]
DD+[1]

<34> PR_USBP1<34> PR_USBP1+

9
10

DD-[2]
DD+[2]

5
6

DD-[3]
DD+[3]

SPI _CS

25

SPISS

1
2

DD-[4]
DD+[4]

SPI_SCK
SPI_SD

48
49

SPISCK R369
SPISD R373

3V_S5
R570 1

2 15K_0402

R581

15K_0402

R585

15K_0402
15K_0402
15K_0402

SYSUSBP3SYSUSBP3+

2
3

2
3

1
4

SYSUSBP3-_1
SYSUSBP3+_1

1
4

RFCMF1632100M3T

1
2
3
4

GND1
GND2
GND3
GND4
GND5
GND6
GND7
GND8
GND9
GND10
GND11
5
6

U48
CS6254AS

47

<9> SYSUSBP3<9> SYSUSBP3+

USB3PWR_1

4
8
12
16
20
24
28
34
40
50
56

CN1

L45

TEST

60 MILS

L43
FBMJ2125HM330_T
USBPWR_S2

USB
C22
*10P_0402

C23
*10P_0402

C489
100U/6.3V-3528

C483
C

3V_S5 3V_S5

0.1U/50V
2
C856

3V_S5

1
.1U/10V_0402R368
*15K
U52
SPISS
SPISD

1
2
3
4

HOLD SCK
VCC
SI
CS
VSS
SO
WP
*25LC040

8
7
6

OUTNC

EN#

USBPWR_S2
R388
470K
2

C33
0.1U/50V
USBOC2#

MAX1930

USBOC2# <9>

1
2
3

GND
IN
IN

OUT
OUT
OUT

8
7
6

EN#

OUTNC

USBPWR_S1
R386
470K
2

USBOC0#

MAX1930
R387
560K

USBOC0# <9>

C490
1000P/50V

C485
1000P/50V
2

R392
560K

SOIC-8

OUT
OUT
OUT

GND
IN
IN

C32
0.1U/50V

1
2
3

*15K

WP#

U3

60 MILS

U2

SPISCK
SPISD

60 MILS

5VSUS
5VSUS

R371
8
7
6
5

QUANTA
COMPUTER

Title

USB CONN.
Size

Document Number

R ev
D

ZI6
Date:
1

Friday, April 02, 2004


7

Sheet

32
8

of

43

6648_OVT

D26
2

*RB500
1

ICH_THRM

D25
2

*RB500
1

+12V

6648_OVT

D18
2

*RB500
1

ICH_THRM

D24
2

*RB500
1

D19

*RB500

+5V

+3V
+5V

*RB500

R473
*10K

Q73
SI3456DV

1
U37A
LM358AM

R345
*750

C526
10U/10V

R418
100K

<31> VFAN2

Q74
*DTC144EUA

R344
*100K

Q49
*SI3456DV

U37B
LM358AM

FANS2G

2
R667

Q50
*DTC144EUA

VFAN
R415
750/F

R342
*10K

1
2
5
6

D27
<31> VFAN

1
2
5
6

+3V

C514
*10U/10V

2
CN7

R469
3K

C562
10U/16V

+5VFAN1

1
2
3

C570
1000P/50V

C307
*10P

C306

R347

R346
*3K

MLX_53261_0390
53398-0390-3P-L

CN16

2 0 mil

*2K

C438
*10U/10V

+5VFAN2

C444
*1000P

180P

ICH_THRM

3
2

*MLX_53261_0390
53398-0390-3P-L
*180P

R128
*1K
6648_OVT

<3,9> ICH_THRM#

Q18
*DTC144EUA
1

Q51
*DTC144EUA
1

<3> 6648_OVT#

C439
C445
*10P

+3V

R356
*1K

1
2
3

2nd FAN OUT CONNECTOR

1st FAN OUT CONNECTOR


+3V

2 0 mil

2K/F

R470

CN11

MY15
MY10
MY11
MY14
MY13
MY12
MY3
MY6
MY8
MY7
MY4
MY2
MX0
MY1
MY5
MX3
MX2
MY0
MX5
MX4
MY9
MX6
MX7
MX1

50
49
48
47
46
45
44
43
42
41
40
39
38
37
36
35
34
33
32
31
30
29
28
27
26

25
24
23
22
21
20
19
18
17
16
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1

MY15
MY10
MY11
MY14
MY13
MY12
MY3
MY6
MY8
MY7
MY4
MY2
MX0
MY1
MY5
MX3
MX2
MY0
MX5
MX4
MY9
MX6
MX7
MX1

MY15 <31>
MY10 <31>
MY11 <31>
MY14 <31>
MY13 <31>
MY12 <31>
MY3 <31>
MY6 <31>
MY8 <31>
MY7 <31>
MY4 <31>
MY2 <31>
MX0 <31>
MY1 <31>
MY5 <31>
MX3 <31>
MX2 <31>
MY0 <31>
MX5 <31>
MX4 <31>
MY9 <31>
MX6 <31>
MX7 <31>
MX1 <31>

5VPCU
RP12
10
9
8
7
6

MY3
MY2
MY1
MY0

1
2
3
4
5

MY4
MY5
MY6
MY7

5VPCU

10P8R_10K
5VPCU
RP13
10
9
8
7
6

MY11
MY10
MY9
MY8

1
2
3
4
5

MY12
MY13
MY14
MY15
5VPCU

10P8R_10K

KEYBOARD

KEYBOARD

MY3
MY4
MX0
MY0
MY1
MY7
MX2
MY5

MX3
MY14
MX7
MX6
MX5
MX4
MY9
MX1

MY2
MY15
MY6
MY8
MY13
MY12
MY11
MY10

QUANTA
COMPUTER

Title

K/B , T/P , FAN CON


C711
C704
C683
C712
220P
220P
220P
220P
C689
C719
C690
C738
220P
220P
220P
220P

C632
C663
C646
C731
220P
220P
220P
220P
C655
C673
C643
C684
220P
220P
220P
220P

C740
C732
C720
C741
220P
220P
220P
220P
C737
C726
C727
C703
220P
220P
220P
220P

Size

Document Number

R ev
D

ZI6
Date:
C

Sheet

Friday, April 02, 2004


E

33

of

43

CN22
52456
52456-001-100P

U29

102

VA

MSDATA
Z1016

<31> MSDATA

PR_VCC

MDSR1#
MRTS1#
MCTS1#

C468
0.1U/50V

MRI1
STRB#
PD0

<30> STRB#

PD1
PD2
PD3
PD4

PD[0..7]

<30> PD[0..7]

PD5
PD6
PD7
HPSENCE_PR

<29> HPSENCE_PR
R587 1
R588 1

<28> LINEINL_PR
<28> LINEINR_PR

2 0
2 0
PR_USBP1PR_USBP1+
PR_USBPR
VSYNC_R
CLK_DDC2_PR
DAT_DDC2_PR

<32> PR_USBP1<32> PR_USBP1+


<16> VSYNC

<9,16> CRT_SENSE#

VSYNC R625 1
2 0
<16> CLK_DDC2_PR
<16> DAT_DDC2_PR
D43
CRT_SENSE#

RB500
2
1
<13,16,17> TV_Y/G
LANVCC

CRT_SENSE#_D
TV_Y/G
R376
470
LAN_100LED#

<19,26> LAN_100LED#

LAN_ACTLED#

<19,26> LAN_ACTLED#

R377

470

PR_IN#
MDCD1#
MRXD1
MTXD1
MDTR1#
AFD#
ERROR#
INIT#
SLIN#
ACK#
B USY
SLCT
PE
SPK_L_PR
SPK_R_PR

R590 1

2 0

R589 1

2 *0

Q85

T1O
T2O
T3O

MDSR1#
MRXD1
MCTS1#
MDCD1#

4
5
6
7
8

R1I
R2I
R3I
R4I
R5I

MRI1

<27> MRI1

C930
3

PR_INSERT#

PR_INSERT# <16,28,31>

47P/50V

R572
1K

1
D21
1SS355
+5V

2
AFD# <30>
ERROR# <30>
INIT# <30>
SLIN# <30>

26
27
3
25

DAP202U

C458
0.47U/10V

ACK# <30>
BUSY <30>
SLCT <30>
PE <30>

C858
0.47U/10V

C459
0.47U/10V

RTS1#
DTR1#
TXD1

RIO
R2O
R3O
R4O
R5O

19
18
17
16
15

DSR1#
RXD1
CTS1#
DCD1#
RI1

FORCEON
FORCEOFF#
INVAILD#
R2OUTB

23
22
21
20

VCC
V+
VGND

0.1U/25V_0805
C460
0.47U/10V

SPK_L_PR <29>
SPK_R_PR <29>

PR_USBP0PR_USBP0+
PR_USBPR

1
2 0
R586
PR_USBP0- <32>
PR_USBP0+ <32>

PR_RED

PR_MIC <28>

PR_GRN
PR_BLU

HSYNC_R
PR_BLU
PR_GRN
PR_RED
TV_C/R
X-TX1P-PR
X-TX1N-PR
X-TX0P-PR
X-TX0N-PR

R626 1

2 0

HSYNC

HSYNC

<16>

PR_BLU <16>
PR_GRN <16>
PR_RED <16>

R627
75/F

R628
75/F

R629
75/F

TV_C/R <13,16,17>
X-TX1P-PR <19>
X-TX1N-PR <19>
X-TX0P-PR <19>
X-TX0N-PR <19>

+5V
R379
0_0805

+12V

PR_VCC

R378
*100K
2

3
PR_IN#

C931

100PEMI

PR_USBPR

PR_USBPR

5VSUS
GND
IN
IN

OUT
OUT
OUT

8
7
6

EN#

OUTNC

*RHU002N06

C466
*0.1U

PR_VCC

Q58

1
2
3

C471
*0.1U

Q59

AUDGND
R618
100K

U30

+5V

C860

PR_MIC_IN_R

PR_MIC_IN_R

Modified 1209

DSR1# <30>
RXD1 <30>
CTS1# <30>
DCD1# <30>
RI1 <30>

R567
10K

28
24
1
2

C1+
C1C2+
C2-

RTS1# <30>
DTR1# <30>
TXD1 <30>

PR_VCC

Q84
DTC144EUA
2

C868
0.1U/50V

14
13
12

R374
PR_USBPR
2
R375
2

470K
1
560K
1

PR_USBOC#

Add new,close CN22

SPK_L_PR
SPK_R_PR
LINEINL_PR
LINEINR_PR

C463

PR_USBP0PR_USBP0+
PR_USBP1PR_USBP1+

C878

PR_BLU
PR_GRN
PR_RED
HSYNC_R
VSYNC_R
CRT_SENSE#

C872

X-TX1P-PR
X-TX1N-PR
X-TX0P-PR
X-TX0N-PR

C871

C885

C883

C873
C877

C869

220P
C462
220P
C886

*DTC144EUA

C470
*0.1U

PR_MIC_IN#
3

<28> PR_MIC_IN#

T1I
T2I
T3I

MAX3243

101

LANVCC

50
49
48
47
46
45
44
43
42
41
40
39
38
37
36
35
34
33
32
31
30
29
28
27
26
25
24
23
22
21
20
19
18
17
16
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1

C469
0.1U/50V

9
10
11

L42
BK2125HS241
D

100
99
98
97
96
95
94
93
92
91
90
89
88
87
86
85
84
83
82
81
80
79
78
77
76
75
74
73
72
71
70
69
68
67
66
65
64
63
62
61
60
59
58
57
56
55
54
53
52
51

KPCLK
KPDATA
MSCLK

<31> KPCLK
<31> KPDATA
<31> MSCLK

C467
0.1U/50V

MRTS1#
MDTR1#
MTXD1

220P
220P
B

10P/50V
C881
10P/50V
C882

10P/50V
10P/50V

*10P
C874
*10P
C875
*10P
C876

*10P
*10P
10P/50V

10P/50V
C867
10P/50V
C870

10P/50V
10P/50V

PR_USBOC# <32>
C913

C461 1000P/50V

C914
10U/16V

C915

10P/50V_0402
10P/50V_0402

MAX1930

PR_MIC_IN#
PR_MIC

C900

*100P_0402
C901

LAN_100LED#
LAN_ACTLED#

C902

1000P/50V_0402
C903
*1000P_0402

TV_C/R
TV_Y/G
CLK_DDC2_PR
DAT_DDC2_PR

C904

*22P
C905
10P/50V_0402
C907

KPCLK
KPDATA
MSCLK
MSDATA

C908

C906

C910

47P/50V_0402

10P/50V_0402
10P/50V_0402

47P/50V_0402
C909
47P/50V_0402
C911

QUANTA
COMPUTER

47P/50V_0402
47P/50V_0402
Title

EZ PORT REPLICARTOR
Size

Document Number

R ev
D

ZI6
Date:
5

Sheet

Friday, April 02, 2004


1

34

of

43

1470_VIN
VIN

PL21

N20122PS800

5VSUS

5
6
7
8
PC167
1U/16V_0805

PC166
.1U/50V-8

PD30
PC168
1SS355 4.7U/6.3V-8
1

SUSON <31,40>

PQ66
SI4392DY

EN/PSV

BST

14

VIN

DH

13

PC169
.1U/50V-8
1470DH

VOUT

LX

12

1470LX

VCCA

ILIM

11

FBK

PGOOD

3
2
1

PU14

PL22
2.5VSUS
3R6UH

19.1K/F

PD31
*SKS30-04A

PR168

PQ67
SI4810
0

SC1470

+
PC171
330U/4V

PC170
*100P

+
PC172
330U/4V

PC173
10U/10V

PGND

1470DL

GND

PC174
.1U/50V
PR167
10K/F

3
2
1

PC177
.1U/50V

PR166
40.2K/F

10

DL

1
PC176
1000P/50V

1
2

7
PC175
.1U/50V

VDDP

HWPG_POWER

PR165

5
6
7
8

1470-VCCA

PC165
10U/25V-X6S

PC164
10U/25V-X6S

PR164
1M

10
1

PR163

3V_591

PR169
100K

<27,36> HWPG_POWER

AVIN

PVIN

*G2996

VREF

VSENSE

TGND

GND

+2.5V

VDDQ

VTT

VREF

VSENSE

3
8

PR170

*0

SMDDR_VREF

PC178
*.1U/50V

SD

2.5VSUS

TGND

PU15
2

PR171
0
PU16

2.5VSUS

SD

VDDQ

AVIN

+2.5V

PVIN

G2996
+

GND

*0

VTT

PR173

SMDDR_VTERM

PR172

<31,37,39,40> MAINON

PC180
.1U/50V

PC183
1000P/50V

+
PC181
10U/10V

PC182
100U/2V-7343

PC179
10U/10V

QUANTA
COMPUTER

Title

2.5VSUS / SMDDR_VREF
Size

Document Number

R ev
D

ZI6
Date:
1

Sheet

Friday, April 02, 2004


5

35

of

43

VIN2

VIN
PL14

PC23
0.1U/50V

1
PC100
*10U/25V-X6S

PC102
.1U/50V-8
D

5
6
7
8

5VPCU
D

N20122PS800

PD1
1SS355

PU3
1

3V_591

PR162

1844VCC 14

4.7U/6.3V-8

VDD

V+

VCC

BST

18 PR13

PC18 0.1U/50V

DH

20

1844DH

LX

19

1844LX

SHDN

CS

ILIM

DL

12

17

SKIP

10

PGOOD

3
7

PQ7
SI4392DY

16

1.5V_S5
PL2
3R6UH

1
2
3
4

5
6
7
8

<27,35> HWPG_POWER

13

PC29
1

3
2
1

PR15
100K

34K/F
1844VCC PR98

PR99
100K/F

*0

PC103
1U/10V

LATCH

OVP

REF

UVP

15

TON

OUT

11

GND

FB

1844VCC

PC17
470U/2.5V

PQ6
SI4810

<39,40> MAIND
PC16
0.1U/50V

PQ5
SI5402

PR100
C

1844DL

PC15
10U/10V

PC14
10U/10V

3
2
1

<9,31,40> S5_ON

+1.5V

8
7
6
5

PR18
22

PC22
0.1U/50V

PC31
4.7U/6.3V-8

PC101
10U/25V-X6S

PR16
5.1K/F

MAX1844

PC30
0.1U/50V

PR17
10K/F

PL1
1.5V_S5

PC96
10U/10V

N20122PS800

PC98
0.1U/50V

PC97
*10U/10V

+3V

PQ1
SI9936
1

PC99
0.1U/50V

PR2

VTT

10K

1.2VCCT
5VSUS
PU2

SC338
10

DRV1

IN

ADJ1

DRV2

EN1

ADJ2

EN2

PGD2

PR7
14K/F +

PC8
0.1U/50V
PC9
100U/2V-7343

PR1
10K/F

SYSPOK 4
5
PR8
0

PGD1
GND

PC95
100U/2V-7343
SYSPOK
PR9
0

PC6
0.1U/50V PR6
8.25K/F

SYSPOK

PR3
11K/F

PC2
0.1U/50V

SYSPOK <38>

6/24

QUANTA
COMPUTER

PC3
10U/10V
Title

+1.5V / CPUIO
Size
B

<31,38> VRON

Date:
5

Document Number

Rev
D

ZI6
Friday, April 02, 2004

Sheet
1

36

of

43

VIN

VIN1844
PL23

#N20122PS800
PC186
#10U/25V-X6S

PC187
#.1U/50V

5
6
7
8

PC185
#10U/25V-X6S

PC184
#.1U/50V

+5V

PD32

13

VDD

V+

1844VCC1 14

VCC

BST

17

SKIP

DH

20

1844-DH1

10

PGOOD

LX

19

1844-LX1

SHDN

CS

ILIM

DL

12

MAINON

<31,35,39,40> MAINON

PR177

#0

PR178
1844REF-VGA
#12K/F
PR180
#100K/F

1844VCC1 PR179

*0

PC195
#1U/10V

18 PR176

LATCH

OVP

REF

UVP

15

TON

OUT

11

GND

FB

PQ68
#SI4392DY

16
#0

PC191

#.1U/50V-8

PL24
VGA_CORE

VGAPWRGD

#1SS355

#3R6UH

1844-DL1

OVP

PC192
#470U/2.5V

1844VCC1

PC194
#.1U/50V

1
#4.7U/6.3V-8

PU17
#MAX1844

3
2
1

2
PC190

PR174
#22

5
6
7
8

PR175
#100K

PC189
#.1U/50V

PC193
#10U/10V

4
C

PQ69
#SI4810
PC196
#.1U/50V

3
2
1

PC188
#4.7U/6.3V-8

+3V

PR181
#2.2K/F

1844REF-VGA

PR189
PR193
#42.2K/F

5VSUS

+5V

#12.7K/F
PR190
#3.24K/F

OVP
Default the OVP to 140%

PR182
#47K/F

PR191
*3.24K/F

PR194
#100K/F

PR192
#100

HI=1.05V
LO=1.2V

PQ71
#2N7002E

PC208
#2.2U

VGA_PWR <13>

PQ72
#DTC144EUA

PR183

<31,35,39,40> MAINON

2
0

PU18

VIN

ADJ

SC1565-2.5

+1.8V

PC198
0.1U/50V

PC197
10U/10V

PC205
10U/10V

+2.5V

3
7
6
8
5

VO
GND
GND
GND
GND

EN

2
*0

PR184

PC200
0.1U/50V

PR185

QUANTA
COMPUTER

10K/F
PC199
4.7U/6.3V-8

PR186
20K/F

Title

+1.5V / CPUIO
Size
A3
Date:

Document Number

Rev
D

ZI6
Friday, April 02, 2004

Sheet
1

37

of

43

+5V

+3V

1907VCC

PC78

1U/10V
2

26
25
24
23
22
21

D0
D1
D2
D3
D4
D5

6
5
4

S2
S1
S0

1
2
3

B0
B1
B2

MAX1907DH

PC132
10U/25V-X6S

30

33

1907B0
1907B1
1907B2

PL6
LX

32

DL

29

GND
PGND

11
28

MAX1907LX
PQ15
FDP7045BL

PQ23
*FDP7045BL

PR65

35

SUS

20

DPSLP

SHDN

0
PR63
1
0

+
1

TON

40

PC38
PC36
*470U/2.5V PC35
470U/2.5V PC37
470U/2.5V
*470U/2.5V
C

OPEN:300KHz

PR27

CC
CSN

1907REF

1
200

DD

CM+

NEG

1907FB

14
1

POS

200

PR71
2.74K/F

1907REF

1907VCC

1907REF

1907VCC

1907REF

1907B0

PR143
0

PR140
*NC

PR146
*NC

PR145
*NC
2

PR141
*NC

1907B1

PR142
0

PR139
*NC

PR144
0
2

1907B2

PR147
*NC
PR70
100K/F

PC76
100P

PR67
49.9K/F

1907VCC

MAX1907A

18

PC77
1000P/50VPR68
2

1K/F

PC80
100P

27

CSP

PR69
1.5K/F

ILIM

1 CM-

PR26

PR66

REF

13

PR64
301K/F

PC46
220P

1
0.22U

2
PC75

1907FB

19

1
12

15

750/F

2
270P

FB

1
PC79

TIME

39

OA+
OA-

17
16
1

1
62K/F

PC207
0.1U/50V

*NC

PR62

REV:C change
source

PD6
*EC10QS04

1907VCC

PR61

PC206
0.1U/50V

<31,36> VRON

0.6UH

0
2

<9,12> STP_CPU#

VCC_CORE

CMPR24
0.001-2512

PR136

25A
CM+

<9> DPRSLPVR

PC123
10U/25V-X6S

PC135
0.1U/50V

1907VCC

DH

PQ52
*FDD6030BL

CPU_VID0
CPU_VID1
CPU_VID2
CPU_VID3
CPU_VID4
CPU_VID5

PQ42
FDD6030BL

<4>
<4>
<4>
<4>
<4>
<4>

1
0.1U/50V

CLK_EN

PC72

1907BST2

38

31

N20122PS800

<12> CLK_EN#

V+
BST

VIN
PL16

IMVP_OK

34

SYSPOK

37

<9,27> IMVP_OK

VIN_1907A
PC114
10U/25V-X6S

PD18
1SS355
1

36

VIN_1907A

VDD

10

PU6

SYSPOK

5VPCU

PR60
10K
2

10

1U/10V

PR138

VCC

PR59
10K

*0
PC74

1
PR58
10K

PR187

0
1

<36> SYSPOK

PR195

QUANTA
COMPUTER

Title

Cpu Power MAX1709


Size
B
Date:
5

Document Number

Rev
D

ZI6
Friday, April 02, 2004

Sheet
1

38

of

43

PR108
4.02K/F

PC110
*0.022U

CS H3

VIN
VIN1632-3
PD25

PL15
V+

PC131
PC130
1000P/50V 0.1U/50V

PC117
PC116
0.1U/50V
10U/25V-X6S

D1

G2

D1

3V_591

G1

S1/D2

PR107
100K
PQ9
IRLML5103

N20122PS800

PC115
*10U/25V-X6S

PQ41
FDS6900S

+12V

PL5

PR23

10UH-SIL104

0.015-3720

LX3
1

2
3

PC42
10U/10V

D L3

PR22
220K

DH3

<31,35,37,40> MAINON

PC118
0.01U/50V

PC106
0.1U/50V

S2

PR105
22K

10

PR104

ZD5.6V

PR106
10K/F

19

BST5

18

BST5
LX5
DH5

FB5

LX5

17

13

CSL5

DH5

16

SEQ

15

14

CSH5

1
2

EP05FA20

PR14

5VPCU

PL4
STQ125A-7322A

PC34
10U/25V-X6S

PC104
0.1U/50V

0.012-3720

12

15V
PD3
2

RESET-

20

DL5

PC21
1000P/50V

PR19
0

PGND

SKIP-

PQ8
FDS6900S

PC33
4.7U/6.3V-8

PC24
PC25
0.1U/50V
10U/25V-X6S

REF

1
1

1
21

N20122PS800

PC27
*10U/25V-X6S
G1

22

VL

PD2
DAP202U
S1/D2

V+

GND

*0

PR102
*10K

TIME/ON5

10

PR101

VL

11

<31> HWPG

24
23

9
VL
PR20

DL3
SHDN-

SYNC

VDD

VIN
PL3

BST3

25

BST3

12OUT

VIN1632-5
PC28
0.1U/50V

26
4

27

LX3

1
2

DH3

FB3

G2

CSL3

D1

PR103

D1

VL

28

S2

15V

PC107
4.7U/16V-1206

RUN/ON3

12VOUT

CSH3

PC41
330U/6.3V-7343

PC32
0.1U/50V

PU8
1

PQ10
DTC144EUA

+
D L5

PC26
10U/10V

MAX1632A

CS H5
2

PC20
330U/6.3V-7343

PC105
4.7U/10V-1206

3V_591
3V_591

2.5VSUS

PC203
0.1U/50V

PC202
0.1U/50V

5VPCU

PC201
0.1U/50V

PC204
0.1U/50V

12VOUT
PQ4
SI9936

PQ3
SI9936

<31> S3_MODEM

5VSUS

+3V
3V_MODEM

PC11
0.1U/50V

3V_591

<31> S5_LAN

PR21
1M
3

+2.5V
12VOUT

PQ11

LANVCC

DTC144EUA

PQ38
SI9936
1

PR25
1M

DTC144EUA
1

PQ12

3V_591

+5V
PC13
0.1U/50V

<36,40> MAIND
PC12
0.1U/50V

<36,40> MAIND

PC108
0.1U/50V

<40> SUSD

PC112
0.1U/50V

PC10
0.1U/50V

QUANTA
COMPUTER

Title

System Power 1632

Size
C

Document Number

Date:

Friday, April 02, 2004

Rev
D

ZI6
Sheet
E

39

of

43

VIN

2.5VSUS

5VSUS

15V

5VPCU 3V_591
PR124
1M

PR128
22-0805

PR127
22-0805

PR129
1M
SUSD
3

SUSD <39>

PR123
1M

PQ53
DTC143TKA

2
PQ50
2N7002E

PC142
2200P

PQ51
2N7002E

SUSON

<31,35> SUSON

SUSON_G

PQ54
2N7002E
D

3V_S5

VIN

1.5V_S5

3V_S5

5V_S5

PR114
1M

+ PC124
10U/10V
2

PR116
22-0805

PR117
22-0805

PR118
22-0805

S5_ON 2

<9,31,36> S5_ON

PR115
1M
1

2
C

PQ46
DTC143TKA

PQ45
2N7002E

PQ47
2N7002E

PQ48
2N7002E

+ PC113
10U/10V

5V_S5
C

S5_ON_G
3

PR111
1M

<9,31,36> S5_ON

PQ39
DTC144EUA

15V

PQ40
SI9936
3V_591

VIN

VGA_CORE

PR188
22-0805

PR43
1M
B

+2.5V

1.2VCCT

PR44
22-0805

+1.5V

PR57
22-0805

+1.8V

PR46
22-0805

+5V

PR45
22-0805

+3V

VCC_CORE

PR137
22-0805

PR135
22-0805

VTT

PR134
22-0805

15V

PR56
22-0805

PR133
1M
B

MAIND
3

MAIND <36,39>

MAINON_G

PQ59
2N7002E

PQ58
2N7002E

2
PQ30
2N7002E

PC148
2200P
1

PQ57
2N7002E

PQ33
2N7002E

2
PQ28
2N7002E

2
PQ31
2N7002E

2
PQ29
2N7002E

PQ70
2N7002E

PQ32
DTC143TKA

PR48
1M

MAINON 2

<31,35,37,39> MAINON

PQ56
2N7002E

QUANTA
COMPUTER

Title

Discharge Circuit
Size
B
Date:
E

Document Number

Rev
D

ZI6
Friday, April 02, 2004

Sheet
A

40

of

43

VH

AC

2
PQ63
DTC144EUA

PU13A
LM358AM
1

PR154
182K/F

PC155
0.1U/50V

PR161
649/F

PL19
1

PC158

6
5

0.01U/50V

10K

PL20

PD27

SBM1040
PR155
0.02-3720

N20122PS800
PC160
0.1U/50V

PR160

VA1

N20122PS800

PL25
*FBJ3216HS800

PC159
0.1U/50V

PD26
1SS355

VA

PF1
TR/3216FF-6.5A
1
2

1
2
3
4

CN25
A

PC163
0.1U/50V

PC157
1000P/50V

VA2

VA2 <42>

2DC-S726I201-H
POWER_JACK

PC162
0.1U/50V

VA3

PR73
100K

PC152
0.1U/50V

PC153
10U/25V-X6S

VH

PD21
1SS355

PD24
1SS355
VAD

PC151
4.7U/25V-1210

PL18
N20122PS800

PC161
0.01U/50V

4
3
2
1

PC84 220P

PQ34
SI4431DY

PR156
1.82K/F

PR76
1K

PR157
10K

PR158
1.82K/F

PC92

PD23
DA204U

PR94
10K

PR72

PC82 change to bot


PC82
10U/25V-X6S
BAT-V

VH

BAT-V <42>

0.02-3720

22UH-SIL104

PD29
1SS355
PR159
22K

PR75
118/F

PR74
118/F

PD19
EC20QS03L

PD20
EC20QS0L3

PC93
0.1U/50V

PQ65
2SA1576A

PL13

5
6
7
8

PQ64
2SA1576A

PQ35
IMZ2
1

PQ37
IMZ2

0.01U/50V

PC81
0.01U/50V

PU13B
LM358AM
PR80
1K
For EMI issue

PC83
10U/25V-X6S

7
PC86
0.1U/50V

PR153

PC156

10K

0.01U/50V

PR85
169K/F

PC86 change to top

PR83
4.99K/F
PC91
0.1U/50V

PR93
47K

47K

12

PU7A
LM339
5

PR95

PR81
182K/F

PR92
47K

PR84
47K
PR79

PR88

PR86

PC90
4700P

PR77
PC89 0.022U

PC88 1000P/50V

10K

LM339
PU7B

PR96
22K

REF3V <31,42>

100K
7.5K/F
PR87
4.7K
9

<31> CC-SET

8
PC87
0.1U/50V

PR97
10K

PC94
220P

CELL-SET <31>
20K/F

14

PR78
13

PU7C
LM339

11

10

CV-SET <31>
60.4K/D

PU7D
LM339
PC85
0.1U/50V

OSC 200KHz
PR82
36K/F
PR91
3

<31> ACIN
10K
PD22
PR90
22K

VAD

AC

2
PQ36
2N7002E

ZD15V
1

PR89
10K

QUANTA
COMPUTER

Title

Battery Charge

Size
C

Document Number

Date:

Friday, April 02, 2004

Rev
D

ZI6
41

Sheet
8

of

43

1ST_BATT_CONN
TEMP_MBAT <31>

N20122PS800

1
2
3
4

8
7
6
5

1
2
3
4
PR28
10K

SI4431DY

PQ16
DTC144EUA
MDISCHG

PR36
*102K/F

VH
6

PC63
0.1U/50V
AC HG

PR33
10K

PR49

CLOSE TO BATTERY CON

MCHG

PR37
*14K/F

PC62
*0.01U

+
1

470K

PD11
ZD15V

PR47
PC71
0.01U/50V

7
470K

+
-

AC HG
PC73
10U/25V-X6S

PR54
100K

PC150

4
1

5
6
7
8
1

1
8

G1
G2
GND

GL

6
5
8

1
2
3

PR152
120K/F

PQ62
2N7002E
1

MCHG
PR35
10K

VIN
1

PU12B
1

PR132
*14K/F

LM393

REFP

PQ55
IMD2
5

PC141
*0.01U
4

PC61
0.01U/50V

REF3V

1
2

CLOSE TO BATTERY CON

PR151
100K

<31> BL/C#

PR42
10K/F
TEMP_ABAT

16
15
14
13
12
11
10
9
7
A
B
C
1

PC147
0.1U/50V

<31> M/A#

PQ27
DTC144EUA

R EFP

PQ24
*BS870

PD13
ZD5.6V

PD12
ZD5.6V

REF3V

74HCT237
PQ17
IMD2

MBDATA_ABAT <23>

<31> D/C#
1

PQ18
DTC144EUA

SI4431DY

MDISCHG 2
MBCLK <3,31>

1
2
3
4

8
7
6
5

N20122PS800

8
7
6
5

PR149
160K/F

1
2

PC64
10U/25V-X6S
PR41
*102K/F

PR50
330

1
2
3
4

PD14
PC67
PC66
PC69
ZD5.6V 47P/50V
47P/50V 0.1U/50V

PC65
47P/50V PR51
330

PL11

ABAT+

N20122PS800

SI4431DY

SUYIN_20175A-05G1

PL12

VA2 <41>

R EFP

10K

PQ26

PQ22
ABAT
TEMP_ABAT

5
4
3
2
1

VDD
Y0
Y1
Y2
Y3
Y4
Y5
Y6
Y7

AD ISCHG

CN18

PC154
0.1U/50V
VL

PR55
10K

TEMP_ABAT <31>

VA2

PQ60
DTC144EUA

VL
200mil

6
7

2
0.01U/50V

2
PU9

2ND_BATT_CONN

PC149
0.01U/50V

PD16
ZD15V

PQ61
SI4800DY

PR148
10K

PQ25 SI4936

VIN

VH
PU5B
LM358AM
5

<41> BAT-V

PC52
0.01U/50V

TEMP_MBAT

PR40
1M
PU5A
LM358AM
3

PQ21
*BS870
PR34
10K/F

VH

PQ19
DTC144EUA
2 R EFP

PD9
ZD5.6V

AD ISCHG 2

REF3V

PQ20
IMD2

8
7
6
5

2
PC55
10U/25V-X6S

PL7

MBAT+

SI4431DY

MBDATA_MBAT <23>

N20122PS800

PC53
47P/50V

MBCLK <3,31>

PD8
ZD5.6V

PL8

ZD5.6V PD10

PC60
0.1U/50V

PC59
1
2

1
2

PR38
330

47P/50V

1
2

SUYIN_20175A-05G1

PC58
47P/50V
PR39
330

PQ13

PQ14

MBAT
TEMP_MBAT

5
4
3
2
1

3
2
1

CN17
6
7

REFP <31>
VL

REF3V
PU11

GND

Vout

BP

SD

REF3V <31,41>

REF ON

Vin

SI9183-33
PC146
10U/10V

PC139
0.1U/50V

PC145
1U/10V

<31> REFON

QUANTA
COMPUTER

Title

Battery Select

Size
C

Document Number

Date:

Friday, April 02, 2004

Rev
D

ZI6
42

Sheet
8

of

43

Change list
Item

Fixed Issue

Reason for change

Rev.

PG#

ZI68 can't detect AGP device

855GME GPAR pin has internal 8.2k pull up, but still need
1k external pull up to +1.5V

Add R865 pull up res. to +1.5V

Always power fail

Power net VL short to GND via PR19

39

Delete PR19

Modify List

B.Ver#
Rev B
D

Rev B
3

2.5VSUS unstable

2.5VSUS may be unstable

35

Delete PC170

Rev B

None

Intel recommend

Change R476 from 27.4 ohm 1% to 37.4 ohm 1%

Rev B

ZI66 DVI fail

RHU002N06 slew rate is too bad, cause system can't


detect DVI device.

18

Change Q98~Q101 from RHU002N06 to FDV301N

Rev B

Smart card power

Smart card power will always turn on

22

Change PMOS switch to NMOS avoid SC power always


turn on.

Rev B

None

Improve CRT signal quality

16

Delete R531, R532, R534, R535, R537, R538

Rev B

None

Reserve Res for remove IDE device LED always light.

23

Add R874, R875 (10K ohm_0603) pull up to +5V

Rev C

None

Codec vendor recommend

28

Add R876(4.7K_0603) pull up to MICREF

Rev C

10

None

Save cost

22

Del. U53

Rev C

11

4 in1 1 LED power on light

4in1 LED incorrect behavior

21

Change net: 4in1_busy connection from U9.J19 to U9.E8

Rev C

12

EMI Request

EMI Request

18

Add C1067 ~ C1070 for EMI request

Rev C

13

None

Acer Request

16

Add U56~58 for Acer request

Rev D

14

None

Save cost

24

Add R879,R880 to remove 1394 EEPROM

Rev D

14

None

Fixed layout footprint DSMA error

Change 1SS355, RB500 footprint from DSMA to DSM.

Rev E

QUANTA
COMPUTER

Title

Battery Select
Size
Document Number
Custom

Rev
D

ZI6

Date:
5

Friday, April 02, 2004

Sheet
1

43

of

43

Vous aimerez peut-être aussi