Vous êtes sur la page 1sur 35

5

D400 System Block Diagram


D

NORTHWOOD

CLOCK
GENERATOR

SOCKET - 478

PAGE 4

PAGE 2,3

TV-OUT

Host Bus
GTL+ & AGTL

PAGE 10

SiS 302LV

VB-LINK

DDR INTERFACE

PAGE 10

SiS M650

CRT PORT

702 mBGA

RGB

PAGE 5,6,9,12

PAGE 9

MuTIOL

HDD/CD-ROM CON
PAGE 14

371 mBGA
PAGE 13,15,16,18

PAGE 17

M I II/F

SiS 962

AC ' 97 I/F

BLUE BOOTH

Rtt

PAGE 7 PAGE 7 PAGE 8

Ultra 66/100/133
USB 2.0 I/F

DIMM2

PAGE 11

DIMM1

PANEL

PAGE01 - System Block Diagram


PAGE02 - SOCKET 478 - 1
PAGE03 - SOCKET 478 - 2 & ITP
PAGE04 - CLOCK GENERATOR
PAGE05 - M650-1 (HOST/AGP)
PAGE06 - M650-2 (MEMORY for DDR)
PAGE07 - DDR MEMORY DIMM
PAGE08 - DDR SSTL-2 TERMINATION
PAGE09 - M650-3 & CRT OUT
PAGE10 - LVDS INTERFA(SiS302LV)
PAGE11 - PANEL CON& LED INDICTOR
PAGE12 - M650-4 (Powers)
PAGE13 - 962 PCI/IDE/HyperZip
PAGE14 - HDD/COMBO CON
PAGE15 - 962-2 Misc Signals
PAGE16 - 962-3 USB I/F
PAGE17 - USB PORT
PAGE18 - 962-4 POWER & RTC
PAGE19 - PCMCIA TI1410
PAGE20 - PCMCIA POWER
PAGE21 - 1394 PHY TSB41LV01
PAGE22 - LPC SI/O
PAGE23 - LPT / COM PORT
PAGE24 - LPC H8
PAGE25 - LAN RTL8100BL
PAGE26 - AUDIO CODEC ALC201A
PAGE27 - AUDIO OUT&OFF BOARD CON
PAGE28 - SYSTEM POWER CONTROL
PAGE29 - FAN CONTROL & SPEEDSTEP
PAGE30 - VCORE
PAGE31 - DDR POWER
PAGE32 - SYSTEM
PAGE33 - CHARGER

PCI I/F

USB PORT
PAGE 17

MDC
MODEM

Video Camera
PAGE 17

PAGE 20

AUDIOCODEC
ALC201A

Realtek
RTL8100BL

PAGE 26

CardBus
ENE 1410

PAGE 25

1394 PHY

TSB41LV01

PAGE 19

PAGE 21

LPC I/F

Wireless LAN
PAGE 17

INT.KB
EXT.KB

KBC H8
H8S-2149 HM
PAGE 24

PAGE 22

TOUCH PAD

FLASH ROM
PAGE 22

XBUS

EXT.PS2
A

LPC Super I/O


NS PC87393

COM/PRT PORT
PAGE 23

IR

FDD CON

PAGE 22

PAGE 14

2003-02-13
Title

CLEVO
CO.
Title Page

Size

Document Number

Date:

, 21, 2004

Rev
0.0

71-D4000-D06
5

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

Sheet
1

of

35

HD#[0..63]

31

HD#[0..63] [5]

VID5

VCC_CORE
T
T
T
T
T
T
T

5/20

A10
A12
A14
A16
A18
A20
A8
AA10
AA12
AA14
AA16
AA18
AA8
AB11
AB13
AB15
AB17
AB19
AB7
AB9
AC10
AC12
AC14
AC16
AC18
AC8
AD11
AD13
AD15
AD17
AD19
AD7
AD9
AE10
AE12
AE14
AE16
AE18
AE20
AE6
AE8
AF11
AF13
AF15
AF17
AF19
AF2
AF21
AF5
AF7
AF9
B11
B13
B15
B17
B19
B7
B9
C10
C12
C14
C16
C18
C20
C8
D11
D13
D15
D17
D19
D7
D9
E10
E12
E14
E16
E18
E20
E8
F11
F13
F15
F17
F19
F9
A7
A22
AD2
AD3
AE21
L25
K26
K25
J26
AF24
AF25

T
T

VID_PWRGD

[5] RS#0
[5] RS#1
[5] RS#2

RS#0 F1
RS#1 G5
RS#2 F4

RS0
RS1
RS2

INTEL P4 CPU SOCKET 478 PART 1

MOBIL CPU
AD25=DPSLP(H_DPSLP#)
A6=GHI#(PM_CPUPERF#)

A35
A34
A33
A32
A31
A30
A29
A28
A27
A26
A25
A24
A23
A22
A21
A20
A19
A18
A17
A16
A15
A14
A13
A12
A11
A10
A9
A8
A7
A6
A5
A4
A3

AB1
Y1
W2
V3
U4
T5
W1
R6
V2
T4
U3
P6
U1
T2
R3
P4
P3
R2
T1
N5
N4
N2
M1
N1
M4
M3
L2
M6
L3
K1
L6
K4
K2

T
T
T
HA#31 T
HA#30
HA#29
HA#28
HA#27
HA#26
HA#25
HA#24
HA#23
HA#22
HA#21
HA#20
HA#19
HA#18
HA#17
HA#16
HA#15
HA#14
HA#13
HA#12
HA#11
HA#10
HA#9
HA#8
HA#7
HA#6
HA#5
HA#4
HA#3

VID0
VID1
VID2
VID3
VID4

AE5
AE4
AE3
AE2
AE1

VID0
VID1
VID2
VID3
VID4

H3
J3
J4
K5
J1

BPM5
BPM4
BPM3
BPM2
BPM1
BPM0

AB4
AA5
Y6
AC4
AB5
AC6

L<0.1inch
R535
1K(R)

R546

HA#31
Q29(R)

G
R536

C
Q30(R)
E
2N3904

CPURST#
4.7K(R)

2N7002

Disable HT
function

+3VS

R281R282R294R295R304
B

1K 1K 1K 1K 1K

HREQ#[0..4] [5]

HREQ#4
HREQ#3
HREQ#2
HREQ#1
HREQ#0
HBPRM5#
HBPRM4#

HBPRM5# [3]
HBPRM4# [3]

HBPM1#
HBPM0#
H_DPSLP#
PM_CPUPERF#

AD25
A6
Y3
W4
U6
AB22
AA20
AC23
AC24
AC20
AC21
AA2
AD24

[5]
+3V

R245
R279
R320
R326
R325
R259
R260
R246
R248
R261
R262
R321
R247

HBPM1# [3]
HBPM0# [3]
H_DPSLP# [29]
PM_CPUPERF#
[15]
51
51
51
51
51
51
51
51
51
51
51
51
51

Design Guide
updates for
P4P/845

VCC_CORE

NORTHWOOD478

VID[0..4]

VID[0..4] [15,30]

1K
VCC_CORE

PRE/NOR#
T

VCC_CORE

HA#[3..31]

HREQ#[0..4]

REQ4
REQ3
REQ2
REQ1
REQ0

DPSLP#
GHI#
TESTHI10
TESTHI9
TESTHI8
TESTHI7
TESTHI6
TESTHI5
TESTHI4
TESTHI3
TESTHI2
TESTHI1
TESTHI0

HA#[3..31]

D0
D1
D2
D3
D4
D5
D6
D7
D8
D9
D10
D11
D12
D13
D14
D15
D16
D17
D18
D19
D20
D21
D22
D23
D24
D25
D26
D27
D28
D29
D30
D31
D32
D33
D34
D35
D36
D37
D38
D39
D40
D41
D42
D43
D44
D45
D46
D47
D48
D49
D50
D51
D52
D53
D54
D55
D56
D57
D58
D59
D60
D61
D62
D63

B21
B22
A23
A25
C21
D22
B24
C23
C24
B25
G22
H21
C26
D23
J21
D25
H22
E24
G23
F23
F24
E25
F26
D26
L21
G26
H24
M21
L22
J24
K23
H25
M23
N22
P21
M24
N23
M26
N26
N25
R21
P24
R25
R24
T26
T25
T22
T23
U26
U24
U23
V25
U21
V22
V24
W26
Y26
W25
Y23
Y24
Y21
AA25
AA22
AA24

H1
VSS
H4
VSS
H23
VSS
H26
VSS
A11
VSS
A13
VSS
A15
VSS
A17
VSS
A19
VSS
A21
VSS
A24
VSS
A26
VSS
A3
VSS
A9
VSS
AA1
VSS
AA11
VSS
AA13
VSS
AA15
VSS
AA17
VSS
AA19
VSS
AA23
VSS
AA26
VSS
AA4
VSS
AA7
VSS
AA9
VSS
AB10
VSS
AB12
VSS
AB14
VSS
AB16
VSS
AB18
VSS
AB20
VSS
AB21
VSS
AB24
VSS
AB3
VSS
AB6
VSS
AB8
VSS
AC11
VSS
AC13
VSS
AC15
VSS
AC17
VSS
AC19
VSS
AC2
VSS
AC22
VSS
AC25
VSS
AC5
VSS
AC7
VSS
AC9
VSS
AD1
VSS
AD10
VSS
AD12
VSS
AD14
VSS
AD16
VSS
AD18
VSS
AD21
VSS
AD23
VSS
AD4
VSS
AD8
VSS
AE11
VSS
AE13
VSS
AE15
VSS
AE17
VSS
AE19
VSS
AE22
VSS
AE24
VSS
AE26
VSS
AE7
VSS
AE9
VSS
AF1
VSS
AF10
VSS
AF12
VSS
AF14
VSS
AF16
VSS
AF18
VSS
AF20
VSS
AF26
SKTOCC#
AF6
VSS
AF8
VSS
B10
VSS
B12
VSS
B14
VSS
B16
VSS
B18
VSS
B20
VSS
B23
VSS
B26
VSS
B4
VSS
B8
VSS
C11
VSS
C13
VSS
C15
VSS
C17
VSS
C19
VSS
C2
VSS

VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
NC
NC
NC
NC
NC
DEP3
DEP2
DEP1
DEP0
NC
NC

JCPU1A
HD#0
HD#1
HD#2
HD#3
HD#4
HD#5
HD#6
HD#7
HD#8
HD#9
HD#10
HD#11
HD#12
HD#13
HD#14
HD#15
HD#16
HD#17
HD#18
HD#19
HD#20
HD#21
HD#22
HD#23
HD#24
HD#25
HD#26
HD#27
HD#28
HD#29
HD#30
HD#31
HD#32
HD#33
HD#34
HD#35
HD#36
HD#37
HD#38
HD#39
HD#40
HD#41
HD#42
HD#43
HD#44
HD#45
HD#46
HD#47
HD#48
HD#49
HD#50
HD#51
HD#52
HD#53
HD#54
HD#55
HD#56
HD#57
HD#58
HD#59
HD#60
HD#61
HD#62
HD#63

3/18

C683

VCC_CORE

+2.5V
0.1UF

C312

C416

C389

C328

C315

C314

C325

C340

C347

C348

C345

C324

C391

C126

C111

C98

C99

C31

C45

10U(0805) 10U(0805) 10U(0805) 10U(0805) 10U(0805) 10U(0805) 10U(0805) 10U(0805) 10U(0805) 10U(0805) 10U(0805) 10U(0805) 10U(0805) 10U(0805) 10U(0805) 10U(0805) 10U(0805) 10U(0805) 10U(0805)

VCC_CORE

Title

C341

C417

C418

C343

C388

C300

C342

C327

C329

C344

C311

C310

C326

C339

C350

C109

C386

C390

C110

0.1UF

0.1UF

0.1UF

0.1UF

0.1UF

0.1UF

0.1UF

0.1UF

0.1UF

0.1UF

0.1UF

0.1UF

0.1UF

0.1UF

0.1UF

0.1UF

0.1UF

0.1UF

0.1UF

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

CLEVO
CO.
SOCKET 478-1

Size

Document Number

Date:

, 21, 2004

Rev
1.0

71-D4000-D06
4

Sheet
5

of

35

VCC_CORE

JCPU1B
2

AE23

AD20

VCCA

AD22

VSSA

L71

C318

C317

4.7uH_SMD_30%
47U/16V

HCLK-CPU
HCLK-CPU#

AC26
AD26

DBI#3
DBI#2
DBI#1
DBI#0
[5] DBI#[0..3]

DBI#[0..3]
[5] HASTB#1
[5] HASTB#0

V21
P26
G25
E21

HASTB#1
HASTB#0
DBRESET

R5
L5
AE25

NMI
INTR

[15] NMI
[15] INTR

E5
D1

HCLK-CPU
HCLK-CPU#

[4] HCLK-CPU
[4] HCLK-CPU#

AF22
AF23

ITP_CLK0
ITP_CLK1
DB#3
DB#2
DB#1
DB#0
ADSTB1
ADSTB0
DBRESET
LINT1
LINT0
BCLK0
BCLK1

[5]
[5]
[5]
[5]
[5]
[5]
[5]
[5]

HDSTBP#3
HDSTBP#2
HDSTBP#1
HDSTBP#0
HDSTBN#3
HDSTBN#2
HDSTBN#1
HDSTBN#0

51.1_1%
51.1_1%

P1
L24

COMP1
COMP0

HDSTBP#3
HDSTBP#2
HDSTBP#1
HDSTBP#0
HDSTBN#3
HDSTBN#2
HDSTBN#1
HDSTBN#0

W23
P23
J23
F21
W22
R22
K22
E22

STBP3
STBP2
STBP1
STBP0
STBN3
STBN2
STBN1
STBN0

T
T

A5
A4
E11
E13
E15
E17
E19
E23
E26
E4
E7
E9
F10
F12
F14
F16
F18
F2
F22
F25
F5
F8
G21
G24
G3

C349

C112

R64

220P

220P

1UF

100_1%

CPU SIGNAL TERMINALION

THERMDA
THERMDC
THERMTRIP

VCC_SENSE
VSS_SENSE

AC3
V6
B6
Y4
AA3
W5
AB2
H5
H2
J6
G1
G4
H6
G2
F3
E3
D2
E2
D4
C1
F7
E6
D5
C3
B2
B5
C6
AB26
AB23
AB25

IERR

B3
C4
A2

THERMDA
THERMDC
THERMTRIP#

BSEL0
BSEL1

AD6
AD5

AP0
AP1

AC1
V5

VCCVID
VCCVIDPRG

AF4
AF3

FERR#
STPCLK#
INIT#

FERR# [15]
STPCLK# [15]

VCC_CORE

INIT# [15]

T
DBSY#
DRDY#
HTRDY#
ADS#
HLOCK#
BREQ0#
BNR#
HIT#
HITM#
BPRI#
DEFER#
HTCK
HTDI
HTMS
HTRST#
HTDO
PROCHOT#
IGNNE#
SMI#
A20M#
CPUSLP#
CPUPWRGD
CPURST#

DBSY# [5]
DRDY# [5]
HTRDY# [5]
ADS# [5]
HLOCK# [5]
BREQ0# [5]
BNR# [5]
HIT# [5]
HITM# [5]
BPRI# [5]
DEFER# [5]

IGNNE# [15]
SMI# [15]
A20M# [15]
CPUSLP# [15]
CPUPWRGD [5]
CPURST# [5]

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

HBPM0#-T
HBPM1#-T

4P2R-0(R)

C113

C302

C387

C301

0.1UF

0.1UF

0.1UF

0.1UF

Y5
Y25
Y22
Y2
W6
W3
W24
W21
V4
V26
V23
V1
U5
U25
U22
U2
T6
T3
T24
T21
R4

PRDY#
PREQ#
0

1
3
5
7
9
11
13
15
17
19
21
23
25

1
3
5
7
9
11
13
15
17
19
21
23
25

2
4
6
8
10
12
14
16
18
20
22
24
26

2
4
6
8
10
12
14
16
18
20
22
24
26

3/23

[2] HBPM1#
[2] HBPRM4#

0.1UF

[2] HBPRM5#

DBRESET-T
HTDI-T
HTMS-T
HTRST#-T
HTCK-T

BREQ0#

R56

51_1%

PROCHOT#

R293

62_1%

CPUPWRGD

R270

THERMTRIP#

R302

A20M#

R273

56_1%

STPCLK#

R327

56_1%

CPUSLP#

R256

56_1%

SMI#

R292

56_1%

INIT#

R328

56_1%

IGNNE#

R303

56_1%

INTR

R57

56_1%

NMI

R58

56_1%

CPURST#

R258

51_1%

HTDO

R280

75

IERR

R297

10K

DBRESET

R257

150

HTMS

R52

40.2_1%

HCLK-ITP0

R219

51_1%

HCLK-ITP0#

R218

51_1%

ITP_STPWR

R244

1.5K

HBPM0#

R284

51

HBPM1#

R285

51

HBPRM4#

R298

51

HBPRM5#

R299

51

HTCK

R50

27.4_1%

51_1%
62_1%

CLOSE TO ITP PORT


C

ITP/TAP TERMINATION
CLOSE TO CPU
+3V
1
C385

2
0.1UF

C80

SENSE_VCC

VCC

DXP

THERMDC

DXN

R317

10K

11

ALERT#

7
8

T
T
T
T

R319

GND
GND

STBY#

15

SMBDATA

12

SMBCLK

14

ADD1
ADD0

6
10

NC/CRIT1
NC/CRIT0
NC/OS#
NC
NC

1
5
9
13
16

VCC_CORE

VDD3

200

U27

THERMDA

R316

R318 R156 R157

4.7K

4.7K

HTDI

R306

150

HTRST#

R51

680

NORTHWOOD478
2.2K

2.2K

H8_SMDATA [24,33]
NEAR U18

H8_SMCLK [24,33]

T
T
T
T
T

EN1617

ITP_STPWR-T
HTDO-T

62_1%

ITP/TAP TERMINATION

+3VS
DBA#

R274

[2] HBPM0#

G6
J2
J22
J25
J5
K21
K24
K3
K6
L1
L23
L26
L4
M2
M22
M25
M5
N21
N24
N3
N6
P2
P22
P25
P5
R1
R23
R26
RP45

0.1UF

FERR#

VCCVID

20
mils

1
2

T
T

T
T
T
T

0.1UF

C703

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

HBPRM4#-T
HBPRM5#-T
CPURST#-T R350
HTCK-T
HCLK_ITP1
4
HCLK_ITP1#
3

C376

BSEL0 [4]
BSEL1 [4]

JITP1

C323

VCC_CORE

2200P

T
T

VCC_CORE

CLOSE TO CPU

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

C346

100_1%

R42
R243

R276

1UF

IERR
MCERR
FERR
STPCLK
BINIT
INIT
RSP
DBSY
DRDY
TRDY
ADS
LOCK
BR0
BNR
HIT
HITM
BPRI
DEFER
TCK
TDI
TMS
TRST
TDO
PROCHOT
IGNNE
SMI
A20M
SLP
PWRGOOD
RESET

47U/16V

1 HCLK-ITP0
2 HCLK-ITP0#
4P2R-0(R)

4
3
RP40

VCCIOPLL

C330

220P

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

L69
4.7uH_SMD_30%
1

C313

220P
AA21
AA6
F20
F6

VCC_CORE

49.9_1%
49.9_1%

R275
R63

C316

GTLREF0
GTLREF1
GTLREF2
GTLREF3

C25
C5
C7
C9
D10
D12
D14
D16
D18
D20
D21
D24
D3
D6
D8
E1
C22

CPUGTLVREFA
CPUGTLVREFB

T
T

Title

CLEVO
CO.
SOCKET 478-2

ITP(R)
Size

Document Number

Date:

, 21, 2004

Rev
1.0

71-D4000-D06
1

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

Sheet
5

of

35

Damping Resistors
Place near to the
Clock Outputs

Main Clock Generator

+3VS
L84

U28
CLOCK GEN (650)

FCM2012V121

CLK_VCC3

2
C401

C76
0.1UF

10UF/10V

C85

C84

C81

C102

C103

C105

0.1UF

0.1UF

0.1UF

0.1UF

0.1UF

0.1UF

C104
0.1UF

1
11
13
19
28
29
42
48

VDDREF
VDDZ
VDDPCI
VDDPCI
VDD48
VDDAGP
VDDCPU
VDDSD

12

PCI_STOP#

5
8
18
24
25
32
41
46

+3VS
+3VS

+3VS
R37
10K

R43

R59
10K

VSSREF
VSSZ
VSSPCI
VSSPCI
VSS48
VSSAGP
VSSCPU
VSSSD

[15,29] CPUSTP#

45

CPU_STOP#

1SS355

VTT

33
C
Q19
E

R45

2N3904
2FCM1608K121

+3VS

38

IREF

36

VDDA

475_1%

L21

C90

C83

0.1UF

0.1UF

37

C207

0.01UF

0.1UF

C86

14.318MHz

10UF/10V

0.01UF

0.1UF

C92
10PF

+2.5VS L94
1

FCM1608K121

C560
10U(0805)

Frequency
Selection

R67
R66
R65
R61
R60

4.7K
4.7K
4.7K(R)
4.7K(R)
4.7K(R)

FS0
FS1
FS2
FS3
FS4

C550
0.1UF

C557

[6] FWDSDCLKO
+2.5V

3
12
23

VDD
VDD
VDD

0.1UF

BUF_2.5VS

31
30

AGP-1
AGP-2

R310
R311

ZCLK0
ZCLK1

9
10

10

C549

C556

0.01UF

0.1UF

SMBDAT

22

SDATA

CLK_IN

FWDSDCLKO

SCLK

FB_IN

20

FB_IN

T
T
T

9
18
21

NC
NC
NC

C684
0.1UF

14
15
16
17
20
21
22
23

FS3
FS4
PCI-1
PCI-2
PCI-3
PCI-4
PCI-5
PCI-6

2
3
4

FS0
FS1
FS2

27
26

35
34

USB-1
MULTISEL

22
22

ZCLK0
ZCLK1

R336
R337
R338
R339
R340
R341
R342

33
33
33
33
33
33
33

PCICLK961

T
R331
R332
R333

33
33
33

REFCLK0
REFCLK1
CLKAPIC

SMBCLK
SMBDAT

R296
4.7K

49.9_1%
49.9_1%

HCLK-650
HCLK-650#

R36
R35

49.9_1%
49.9_1%

SDCLK

C75

10PF(R)

AGPCLK

C74

10PF(R)

ZCLK0

C121

10PF(R)

ZCLK1

C120

10PF(R)

AGPCLK [5]
T
ZCLK0 [9]
ZCLK1 [13]
PCICLK961 [13]

PCICLK1394

PCICLKPCM T
PCICLKLAN

PCICLKIO
PCICLKH8
PCLK_80P

22
22

PCICLKPCM [19]
PCICLKLAN [25]
PCICLKIO [22]
PCICLKH8 [24]
PCLK_80P [20]
REFCLK0 [9]
REFCLK1 [15]
CLKAPIC [15]

48 MHZ

UCLK48M
SIO48M

C119

10PF(R)

PCICLK1394

C118

10PF(R)

PCICLKPCM

C117

10PF(R)

PCICLKLAN

C116

10PF(R)

PCICLKIO

C115

10PF(R)

PCICLKH8

C114

10PF(R)

UCLK48M

C73

10PF(R)

PCICLK961

14.381MHZ

UCLK48M [16]
SIO48M [22]

SMBCLK [7,15,24]
SMBDAT [7,15,24]

0(R)

R44

MULTISEL
C91
10PF

CLK0
CLK#0

2
1

RP75

3
4

2 4P2R-0
1

DDRCLK3
DDRCLK#3

CLK1
CLK#1

4
5

RP76

4
3

1 4P2R-0
2

DDRCLK0
DDRCLK#0

CLK2
CLK#2

13
14

RP77

2
1

3 4P2R-0
4

DDRCLK2
DDRCLK#2

CLK3
CLK#3

17
16

RP62

1
2

4 4P2R-0
3

DDRCLK4
DDRCLK#4

CLK4
CLK#4

24
25

RP61

2
1

3 4P2R-0
4

DDRCLK1
DDRCLK#1

CLK5
CLK#5

26
27

RP60

2
1

3 4P2R-0
4

DDRCLK5
DDRCLK#5

FB_OUT

19

GND
GND
GND
GND

28
15
11
6

R384

FB_OUT

22

DDRCLK3 [7]
DDRCLK#3 [7]
DDRCLK0 [7]
DDRCLK#0 [7]
DDRCLK2 [7]
DDRCLK#2 [7]
C

DDRCLK4 [7]
DDRCLK#4 [7]
DDRCLK1 [7]
DDRCLK#1 [7]
DDRCLK5 [7]
DDRCLK#5 [7]

FB_IN

NEAR DDR SODIMM


By-Pass Capacitors
Place near to the Clock Buffer
FB_IN

R283

SDCLK [6]

AGPCLK
GCLK_AGP

R334
R335

R312
R313

HCLK-650 [5]
HCLK-650# [5]

SDCLK

R34
R33

AVDD

SMBCLK

+3VS

ZIP-1
ZIP-2

22
22

HCLK-650
HCLK-650#

HCLK-CPU
HCLK-CPU#

U29
CLOCK BUFFER (DDR48)

BUFFERVCC

0.1UF

AGPCLK0
AGPCLK1

HCLK-CPU [3]
HCLK-CPU# [3]

C78

C532

SDCLK

R309

HCLK-CPU
HCLK-CPU#

Y5
1

C77

10U(0805)
10U(0805)

+3VS

VSSA

ICS 952001
CY 28342

C523
C565

1
2

SD-1

48M
24_48M/MULTISEL

Clock Buffer (DDR)

C208

CPU-3 RP43
CPU-4

47

SCLK
SDATA

0.01UF

+3VS

L33
1
2
FCM2012V121

44
43

C82

+2.5VS

CPUCLK1
CPUCLK#1

4
3
4P2R-33
4
3
4P2R-33
22

XOUT

E Q20
2N3904

10K

1
2

By-Pass Capacitors
Place near to the Clock
Outputs

XIN

C
B

CPU-1 RP44
CPU-2

REF0/FS0
REF1/FS1
REF2/FS2

PD#/VTT_PWRGD

R62

40
39

PCICLK_F0/FS3
PCICLK_F1/FS4
PCICLK0
PCICLK1
PCICLK2
PCICLK3
PCICLK4
PCICLK5

D10

10K

CPUCLK0
CPUCLK#0

C533

10PF(R)

ICS 93722
CY28352

+1.8VS

4.7K

BSEL0 [3]
BSEL1 [3]

PLEASE PLACE IN COMP SIDE


AND NEAR TOGETHER
BSEL1
L
L
H
H

BSEL0
L
H
L
H

Function

FS4 FS3 FS2 FS1 FS0


0
0
0
1
1
0
0
0
0
1

CPU SDRAM ZCLK AGP PCI


100M 133M
66M 66M 33M
100M 100M
66M 66M 33M

Title

CLEVO
CO.

CLOCK GENERATOR

Size

Document Number

Date:

, 21, 2004

Rev
1.0

71-D4000-D06
1

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

Sheet
5

of

35

AHSYNC
AVSYNC

VTT
R264

R26

22
22

R28

VAHSYNC [10]

BHSYNC R17

22

VAVSYNC [10]

BVSYNC R25

22

VBHSYNC [10]

BPRI#
BREQ0#

RS#2
RS#1
RS#0

ADS#
HITM#
HIT#
DRDY#
DBSY#
BNR#

V28
T28
U28
W26
V24
V27

ADS#
HITM#
HIT#
DRDY#
DBSY#
BNR#

HREQ#4
HREQ#3
HREQ#2
HREQ#1
HREQ#0

W28
W29
W24
W25
Y27

HREQ#4
HREQ#3
HREQ#2
HREQ#1
HREQ#0

HASTB#1
HASTB#0
HA#[3..31]

AD24
AA24

HASTB#1
HASTB#0

HNCVREF
R271
75_1%

C30
0.01UF

HPCOMP
HNCOMP

B20
B19
A19

VBD7
VBD6
VBD5
VBD4
VBD3
VBD2
VBD1
VBD0
VAD6
VAD5
VAD4
VAD7
VAD8
VAD9
VAD10
VAD11
VADE
AVSYNC
AHSYNC
VBD11
VBD10
VBD8
VBD9
VAD1
VAD0
VAD2
VAD3
VBDE
VBCTL0
VBCTL1
BHSYNC
BVSYNC

T
T
T

T
T
T
T
T
T
T

HVREF
U21
T21
P21
N21
J17

HNCVREF

C1XAVDD

D6
A3
D7
C5
A5
C6
D8
C7
SBA7
SBA6
SBA5
SBA4
SBA3
SBA2
SBA1
VBCLK/SBA0

A7
F9
B7
M6
M5
M4
L3
L6
L4
K6
L2
K3
J3
K4
J2
J6
J4
J1
H6
F4
F1
G6
E3
F5
E2
E4
E1
D3
D4
C2
F7
C3
E6
B2
D5
ST0
ST1
ST2
VBD7/AAD0
VBD6/AAD1
VBD5/AAD2
VBD4/AAD3
VBD3/AAD4
VBD2/AAD5
CBD1/AAD6
CBD0/AAD7
VAD6/AAD8
VAD5/AAD9
VAD4/AAD10
VAD7/AAD11
VAD8/AAD12
VAD9/AAD13
VAD10/AAD14
VAD11/AAD15
VADE/AAD16
VAVSYNC/AAD17
VAHSYNC/AAD18
VBD11/AAD19
VBD10/AAD20
VBD8/AAD21
VBD9/AAD22
VAD1/AAD23
VAD0/AAD24
VAD2/AAD25
VAD3/AAD26
VBDE/AAD27
VBCTL0AAD28
VBCTL1/AAD29
VBHSYNC/AAD30
VBVSYNCAAD31

HVREF0
HVREF1
HVREF2
HVREF3
HVREF4

F6
F3
H4
K5

T
T
T
T

VBCAD/AREQ#
AGNT#
AFRAME#
AIRDY#
ATRDY#
ADEVSEL#
ASERR#
ASTOP#

C9
A6
G2
G1
G3
G4
H5
H1

T
T
T
T
T
T
T

APAR

H3

VBHCLK/RBF#
VGPIO2/WBF#
VGPIO3/PIPE#

E8
F8
D9
D10
B3
C4

T
T
T

SB_STB
SB_STB#

B5
A4

T
T

VAGCLK/AD_STB0
VAGCLKN/AD_STB#0

K1
L1

NC
NC
NC

HA#31
HA#30
HA#29
HA#28
HA#27
HA#26
HA#25
HA#24
HA#23
HA#22
HA#21
HA#20
HA#19
HA#18
HA#17
HA#16
HA#15
HA#14
HA#13
HA#12
HA#11
HA#10
HA#9
HA#8
HA#7
HA#6
HA#5
HA#4
HA#3

M650-1

VBGCLK/AD_STB1
VBGCLKN/AD_STB#1

C1
D1

R253

VBCAD

R265
R266
R252

0
0(R)
0(R)

VBHCLK

R254

VBCAD [10]

4.7K(R)

+3VS

VBHCLK [10]
VBCAD [10]
4.7K

+3VS
B

C383 0603(R)

AGCLK R40
AGCLKN

22

BGCLK
BGCLKN

22

T
R21

VAGCLK
C24

VAGCLK [10]

0603(R)

VBGCLK

VBGCLK [10]

AGPRCOMP

M1

AGPRCOMP

A1XAVDD
A1XAVSS

B9
A9

A1XAVDD
A1XAVSS

A4XAVDD
A4XAVSS

B8
A8

A4XAVDD
A4XAVSS

AGPVREF
AGPVSSREF

M3
M2

AVREFGC

AGPCLK [4]
+3VS
AGPRCOMP R41

HDSTBN#3
HDSTBN#2
HDSTBN#1
HDSTBN#0

F20
F23
K24
P24

HDSTBN#3
HDSTBN#2
HDSTBN#1
HDSTBN#0

HDSTBP#3
HDSTBP#2
HDSTBP#1
HDSTBP#0

F21
F24
L24
N25

HDSTBP#3
HDSTBP#2
HDSTBP#1
HDSTBP#0

HDSTBN#[0..3]

HDSTBP#[0..3]

60_1%

HDSTBN#[0..3]

[3]

HDSTBP#[0..3]

[3]

R38
200_1%

DBI#[0..3]

DBI#[0..3]

[3]

HD#[0..63]

[2]

10mA

R39
300_1%

VTT
L73
FCM1608K121

R249
75_1%

C303
0.01UF

HVREF
R250
150_1%

A4XAVDD

place this
capacitor
under 650 solder
side

C304

C365

0.01UF

0.1UF

L89
FCM1608K121

C1XAVDD

9.06mA

+3VS

L88
FCM1608K121

C4XAVDD

C447

C448

0.1UF

0.01UF

C474
10UF/10V

N19

C1XAVSS

C4XAVSS

20MIL

10mA

+3VS

A1XAVDD

C445

C446

0.1UF

0.01UF

L72
FCM1608K121

C473
10UF/10V

N18

10mA

+3VS

1
C332

0.1UF

0.01UF

A1XAVSS

20MIL

10mA

+3VS

AVREFGC
C79
0.1UF

C335

C334

C306

0.1UF

0.01UF

10UF/10V

N14

A4XAVSS

C333

+3VS

SIS M650

HD#[0..63]

[10]

PIN D1 CH7017 CONNECT TO VBGCLKN


SIS301LV NC BUT SIS301LV PULL GND

AGPCLK

HOST

VBCTL[0..1]

VBCAD
R251

[10]

VBD[0..11] [10]

VBCTL[0..1]

B10

AGPCLK

E21
DBI#3
A27
DBI#2
H27
DBI#1
R25
DBI#0

0.01UF

AGP

VAD[0..11]

VBD[0..11]
AC/BE#3
AC/BE#2
AC/BE#1
AC/BE#0

DBI#3
DBI#2
DBI#1
DBI#0

C29

AF26
AE25
AH28
AD26
AG29
AE26
AF28
AC24
AG28
AE29
AD28
AC25
AD27
AE28
AF27
AB24
AB26
AC28
AC26
AC29
AA26
AB28
AB27
AA25
AA29
AA28
Y26
Y24
Y28

VAD[0..11]

HD#63
HD#62
HD#61
HD#60
HD#59
HD#58
HD#57
HD#56
HD#55
HD#54
HD#53
HD#52
HD#51
HD#50
HD#49
HD#48
HD#47
HD#46
HD#45
HD#44
HD#43
HD#42
HD#41
HD#40
HD#39
HD#38
HD#37
HD#36
HD#35
HD#34
HD#33
HD#32
HD#31
HD#30
HD#29
HD#28
HD#27
HD#26
HD#25
HD#24
HD#23
HD#22
HD#21
HD#20
HD#19
HD#18
HD#17
HD#16
HD#15
HD#14
HD#13
HD#12
HD#11
HD#10
HD#9
HD#8
HD#7
HD#6
HD#5
HD#4
HD#3
HD#2
HD#1
HD#0

VTT

VBCLK [10]

BGA1A

B21
F19
A21
E19
D22
D20
B22
C22
B23
A23
D21
F22
D24
D23
C24
B24
E25
E23
D25
A25
C26
B26
B27
D26
B28
E26
F28
G25
F27
F26
G24
H24
G29
J26
G26
J25
H26
G28
H28
J24
K28
J29
K27
J28
M24
L26
K26
L25
L28
M26
P26
L29
N24
N26
M27
N28
P27
N29
R24
R28
M28
P28
R26
R29

HA#31
HA#30
HA#29
HA#28
HA#27
HA#26
HA#25
HA#24
HA#23
HA#22
HA#21
HA#20
HA#19
HA#18
HA#17
HA#16
HA#15
HA#14
HA#13
HA#12
HA#11
HA#10
HA#9
HA#8
HA#7
HA#6
HA#5
HA#4
HA#3

22

C26
10PF(R) NEAR SISM650

HD#63
HD#62
HD#61
HD#60
HD#59
HD#58
HD#57
HD#56
HD#55
HD#54
HD#53
HD#52
HD#51
HD#50
HD#49
HD#48
HD#47
HD#46
HD#45
HD#44
HD#43
HD#42
HD#41
HD#40
HD#39
HD#38
HD#37
HD#36
HD#35
HD#34
HD#33
HD#32
HD#31
HD#30
HD#29
HD#28
HD#27
HD#26
HD#25
HD#24
HD#23
HD#22
HD#21
HD#20
HD#19
HD#18
HD#17
HD#16
HD#15
HD#14
HD#13
HD#12
HD#11
HD#10
HD#9
HD#8
HD#7
HD#6
HD#5
HD#4
HD#3
HD#2
HD#1
HD#0

HREQ#4
HREQ#3
HREQ#2
HREQ#1
HREQ#0

R272
150_1%

HLOCK#
DEFER#
HTRDY#
CPURST#
CPUPWRGD
BPRI#
BREQ0#

HPCOMP
HNCOMP
HNCOMPVREF

U24
U26
V26
C20
CPUPWRGD_NBD19
T27
U25

CPUCLK
CPUCLK#

T24
T26
U29

[3] ADS#
[3] HITM#
[3] HIT#
[3] DRDY#
[3] DBSY#
[3] BNR#

AJ26
AH26

RS#2
RS#1
RS#0

[2] RS#2
[2] RS#1
[2] RS#0

R18

C4XAVSS
C4XAVDD

HLOCK#
DEFER#
HTRDY#
CPURST#

[3] HLOCK#
[3] DEFER#
[3] HTRDY#
[3] CPURST#
5 CPUPWRGD_NB
[3] BPRI#
3/22 [3] BREQ0#

C1XAVSS

Rds-on(p) = 56 ohm
HPCVERF = 2/3 VCCP

HCLK-650
HCLK-650#

[4] HCLK-650
[4] HCLK-650#

C4XAVSS
C4XAVDD

AH27
AJ27

HPCOMP

113_1%

AH25
AJ25

Rds-on(n) = 10 ohm
HNCVERF = 1/3 VCCP

C1XAVSS
C1XAVDD

R263

[3] HASTB#1
[3] HASTB#0
[2] HA#[3..31]

VBDE [10]

HNCOMP

20_1%

[2]
[2]
[2]
[2]
[2]

VADE [10]

VBDE

VBVSYNC [10]
BCLK

VADE

C305

20MIL

10UF/10V

Title

N13

CLEVO
CO.

650-1(HOST/AGP)

20MIL

Size

Document Number

Date:

, 21, 2004

Rev
1.0

71-D4000-D06
1

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

Sheet
5

of

35

/RMD[0..63]

/RMD[0..63] [7,8]

/RDQM[0..7]

/RDQM[0..7] [7,8]

/RDQS[0..7]

/RDQS[0..7] [7,8]

/RMA[0..14]

/RMA[0..14] [7,8]

/RCS#[0..5]

/RCS#[0..5] [7,8]

CKE[0..5]

CKE[0..5] [7]

BGA1B

Rs place close to DIMM1

+2.5V

MD4
RP46
MD5
DQM0
MD6 8P4R-10
MD13
DQM1
MD14
MD15

RP47
8P4R-10
RP16

MD3
MD8
MD12
MD7 8P4R-10
MD17
MD16
MD20
MD21

RP14
8P4R-10
DQM2 RP48

MD22
MD23
MD28 8P4R-10
MD29
DQM3
MD30
MD31
B

RP49
8P4R-10
MD36 RP52

MD37
DQM4
MD38 8P4R-10
MD39
MD44
MD45
DQM5

RP53
8P4R-10
RP54

MD46
MD47
MD52
MD53 8P4R-10
DQM6
MD54
MD55
MD60

RP55
8P4R-10

MD61 RP56
DQM7
MD62
MD63 8P4R-10
MD0
MD1
DQS0
MD2

RP17
8P4R-10
RP15

MD10
MD11
DQS1
MD9 8P4R-10
MD24
MD19 RP13
MD18
DQS2 8P4R-10
MD27
MD26 RP12
DQS3
MD25 8P4R-10
MD34
DQS4 RP9
MD33
MD32 8P4R-10
DQS5
MD41 RP8
MD40
MD35 8P4R-10
MD48
MD49 RP7
MD43
MD42 8P4R-10
MD56
MD51 RP6
MD50
DQS6 8P4R-10
MD59
MD58 RP5
DQS7
MD57 8P4R-10

8
7
6
5
8
7
6
5
8
7
6
5
8
7
6
5
8
7
6
5
8
7
6
5
8
7
6
5
8
7
6
5
8
7
6
5
8
7
6
5
8
7
6
5
5
6
7
8
8
7
6
5
8
7
6
5
8
7
6
5
8
7
6
5
8
7
6
5
8
7
6
5
8
7
6
5
8
7
6
5

1
2
3
4
1
2
3
4
1
2
3
4
1
2
3
4
1
2
3
4
1
2
3
4
1
2
3
4
1
2
3
4
1
2
3
4
1
2
3
4
1
2
3
4
4
3
2
1
1
2
3
4
1
2
3
4
1
2
3
4
1
2
3
4
1
2
3
4
1
2
3
4
1
2
3
4
1
2
3
4

/RMD4
/RMD5
/RDQM0
/RMD6
/RMD13
/RDQM1
/RMD14
/RMD15
/RMD3
/RMD8
/RMD12
/RMD7
/RMD17
/RMD16
/RMD20
/RMD21
/RDQM2
/RMD22
/RMD23
/RMD28
/RMD29
/RDQM3
/RMD30
/RMD31
/RMD36
/RMD37
/RDQM4
/RMD38
/RMD39
/RMD44
/RMD45
/RDQM5
/RMD46
/RMD47
/RMD52
/RMD53
/RDQM6
/RMD54
/RMD55
/RMD60
/RMD61
/RDQM7
/RMD62
/RMD63
/RMD0
/RMD1
/RDQS0
/RMD2
/RMD10
/RMD11
/RDQS1
/RMD9
/RMD24
/RMD19
/RMD18
/RDQS2
/RMD27
/RMD26
/RDQS3
/RMD25
/RMD34
/RDQS4
/RMD33
/RMD32
/RDQS5
/RMD41
/RMD40
/RMD35
/RMD48
/RMD49
/RMD43
/RMD42
/RMD56
/RMD51
/RMD50
/RDQS6
/RMD59
/RMD58
/RDQS7
/RMD57

MD0
MD1
MD2
MD3
MD4
MD5
MD6
MD7
DQM0
DQS0
MD8
MD9
MD10
MD11
MD12
MD13
MD14
MD15
DQM1
DQS1
MD16
MD17
MD18
MD19
MD20
MD21
MD22
MD23
DQM2
DQS2
MD24
MD25
MD26
MD27
MD28
MD29
MD30
MD31
DQM3
DQS3
MD32
MD33
MD34
MD35
MD36
MD37
MD38
MD39
DQM4
DQS4
MD40
MD41
MD42
MD43
MD44
MD45
MD46
MD47
DQM5
DQS5
MD48
MD49
MD50
MD51
MD52
MD53
MD54
MD55
DQM6
DQS6
MD56
MD57
MD58
MD59
MD60
MD61
MD62
MD63
DQM7
DQS7

AJ23
AG22
AH21
AJ21
AD23
AE23
AF22
AF21
AD22
AH22
AD21
AG20
AE19
AF19
AE21
AD20
AD19
AH19
AF20
AH20
AF18
AG18
AH17
AD16
AD18
AD17
AF17
AJ17
AE17
AH18
AD14
AG14
AJ13
AE13
AJ15
AF14
AD13
AF13
AH13
AH14
AD10
AH10
AE9
AD8
AG10
AF10
AH9
AF9
AD9
AJ9
AH5
AG4
AE5
AH3
AG6
AF6
AF5
AF4
AH4
AJ3
AE4
AD6
AE2
AC5
AG2
AG1
AF3
AC6
AD4
AF2
AB6
AD3
AA6
AB3
AC4
AE1
AD2
AC1
AB4
AC2

MD0
MD1
MD2
MD3
MD4
MD5
MD6
MD7
DQM0
DQS0/CSB#0
MD8
MD9
MD10
MD11
MD12
MD13
MD14
MD15
DQM1
DQS1/CSB#1
MD16
MD17
MD18
MD19
MD20
MD21
MD22
MD23
DQM2
DQS2/CSB#2
MD24
MD25
MD26
MD27
MD28
MD29
MD30
MD31
DQM3
DQS3/CSB#3
MD32
MD33
MD34
MD35
MD36
MD37
MD38
MD39
DQM4
DQS4/CSB#4
MD40
MD41
MD42
MD43
MD44
MD45
MD46
MD47
DQM5
DQS5/CSB#5
MD48
MD49
MD50
MD51
MD52
MD53
MD54
MD55
DQM6
DQS6/CSB#6
MD56
MD57
MD58
MD59
MD60
MD61
MD62
MD63
DQM7
DQS7/CSB#7

Rs place close to DIMM1


RP50

M650-2

T
MA13
MA8
MA6
MA4 RP51
MA2
MA0
MA12
MA5
RP11
MA7
MA9
MA14
RP10
MA11
MA10
MA1
MA3

AH11
AF12
AH12
AG12
AD12
AH15
AF15
AH16
AE15
AD15
AF11
AG8
AJ11
AG16
AF16

MA0
MA1
MA2
MA3
MA4
MA5
MA6
MA7
MA8
MA9
MA10
MA11
MA12
MA13
MA14

SRAS#
SCAS#
SWE#

AH8
AJ7
AH7

SRAS#
SCAS#
SWE#

R355
R356
R106

CS#0
CS#1
CS#2
CS#3
CS#4
CS#5

AE7
AF7
AH6
AJ5
AF8
AD7

CS#0
CS#1
CS#2
CS#3
CS#4
CS#5

R105
R357
R140
R116
R119
R120

MA0
MA1
MA2
MA3
MA4
MA5
MA6
MA7
MA8
MA9
MA10
MA11
MA12
MA13
MA14

8
7
6
5
8
7
6
5
8
7
6
5
8
7
6
5

/RSRAS#
/RSCAS#
/RSWE#

0
0
0

1 8P4R-0
T
2
3
4
1 8P4R-0
2
3
4
1 8P4R-0
2
3
4
1 8P4R-0
2
3
4

R343
150_1%

C470

R345

0.01UF

150_1%

DDRVREFA
/RMA13
/RMA8
/RMA6
/RMA4
/RMA2
/RMA0
/RMA12
/RMA5
/RMA7
/RMA9
/RMA14
/RMA11
/RMA10
/RMA1
/RMA3

+2.5V

SRAS#

C454

SCAS#

C455

10PF(R)

SWE#

C471

10PF(R)

C457

R344

0.01UF

150_1%

10PF(R)

DDRVREFB
C472

R346
150_1%

0.01UF
CKE0
CKE1
CKE2
CKE3
CKE4
CKE5
S3AUXSW#

R111
R110

AB2
AA4
AB1
Y6
AA5
Y5
Y4

CKE0
CKE1
CKE2
CKE3
CKE4
CKE5
S3AUXSW#

0
0

SDCLK

AA3

SDCLK
R329

AD11

SDRCLKI

AE11

SDAVDD

Y1

SDAVDD

Y2

SDAVSS

DDRAVDD

AA1

DDRAVDD

DDRAVSS

AA2

DDRAVSS

DDRVREFA
DDRVREFB

AJ19
AH2

DDRVREFA
DDRVREFB

DRAM_SEL

W3

SDAVSS

C424

SDCLK [4]
FWDSDCLKO

22

FWDSDCLKO

S3AUXSW# [28]
10K

R330

/RSRAS# [7,8]
/RSCAS# [7,8]
/RSWE# [7,8]

/RCS#0
/RCS#1
/RCS#2
/RCS#3
/RCS#4
/RCS#5

0
0
0
0
0
0

C450
0.01UF

+3V

+3VS

L25

FWDSDCLKO [4]

FCM1608K121

5.69mA

SDAVDD

10PF(R)
C108

C107

C106

0.1UF

0.01UF

10UF/10V

N8

SDAVSS

20MIL

+3VS

L26
+3V
R55

FCM1608K121
8.76mA

DDRAVDD

4.7K

C124

C125

0.1UF

0.01UF

C123
10UF/10V

N9

DDRAVSS

20MIL
D

SIS M650

Title

CLEVO
CO.
DDR MEMORY

Size

Document Number

Date:

, 21, 2004

Rev
1.0

71-D4000-D06
1

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

Sheet

of
8

35

/RMA11
/RMA12

117
116

BA0
BA1

/RDQM0
/RDQM1
/RDQM2
/RDQM3
/RDQM4
/RDQM5
/RDQM6
/RDQM7

12
26
48
62
134
148
170
184
78

DM0
DM1
DM2
DM3
DM4
DM5
DM6
DM7
DM8

/RDQS0
/RDQS1
/RDQS2
/RDQS3
/RDQS4
/RDQS5
/RDQS6
/RDQS7

11
25
47
61
133
147
169
183
77

DQS0
DQS1
DQS2
DQS3
DQS4
DQS5
DQS6
DQS7
DQS8

118
119
120

RAS#
WE#
CAS#

121
122

S0#
S1#

8.2K

/RSRAS#
/RSWE#
/RSCAS#

[6,8] /RSRAS#
[6,8] /RSWE#
[6,8] /RSCAS#

/RCS#0
/RCS#1
CKE0
CKE1

96
95

CKE0
CKE1

DDRCLK0
DDRCLK#0
DDRCLK1
DDRCLK#1
DDRCLK2
DDRCLK#2

35
37
160
158
89
91

CK0
CK0#
CK1
CK1#
CK2
CK2#

SMBDAT
SMBCLK

193
195

SDA
SCL

71
73
79
83
72
74
80
84

CB0
CB1
CB2
CB3
CB4
CB5
CB6
CB7

+3VS

R115
0

DDRVREF

1
2
197
199

VDDSPD
VDDSPD

R114

0.1UF

10K

addr =1010000b

192
191
180
179
168
167
157
156
155
144
143
132
131
114
113
94
93
92
82
81
70
69
58
57
46
45
36
34
33
22
21
10
9
5
7
13
17
6
8
14
18
19
23
29
31
20
24
30
32
41
43
49
53
42
44
50
54
55
59
65
67
56
60
66
68
127
129
135
139
128
130
136
140
141
145
151
153
142
146
152
154
163
165
171
175
164
166
172
176
177
181
187
189
178
182
188
190

DU
DU
DU
DU
DU/RESET#
DU/A13
DU/BA2

85
123
124
200
86
97
98

SA0
SA1
SA2

194
196
198

VREF
VREF
VDDSPD
VDDID

3
4
15
16
27
28
38
39
40
51
52
63
64
75
76
87
88
90
103
104
125
126
137
138
149
150
159
161
162
173
174
185
186

C152

DQ0
DQ1
DQ2
DQ3
DQ4
DQ5
DQ6
DQ7
DQ8
DQ9
DQ10
DQ11
DQ12
DQ13
DQ14
DQ15
DQ16
DQ17
DQ18
DQ19
DQ20
DQ21
DQ22
DQ23
DQ24
DQ25
DQ26
DQ27
DQ28
DQ29
DQ30
DQ31
DQ32
DQ33
DQ34
DQ35
DQ36
DQ37
DQ38
DQ39
DQ40
DQ41
DQ42
DQ43
DQ44
DQ45
DQ46
DQ47
DQ48
DQ49
DQ50
DQ51
DQ52
DQ53
DQ54
DQ55
DQ56
DQ57
DQ58
DQ59
DQ60
DQ61
DQ62
DQ63

/RMD0
/RMD1
/RMD2
/RMD3
/RMD4
/RMD5
/RMD6
/RMD7
/RMD8
/RMD9
/RMD10
/RMD11
/RMD12
/RMD13
/RMD14
/RMD15
/RMD16
/RMD17
/RMD18
/RMD19
/RMD20
/RMD21
/RMD22
/RMD23
/RMD24
/RMD25
/RMD26
/RMD27
/RMD28
/RMD29
/RMD30
/RMD31
/RMD32
/RMD33
/RMD34
/RMD35
/RMD36
/RMD37
/RMD38
/RMD39
/RMD40
/RMD41
/RMD42
/RMD43
/RMD44
/RMD45
/RMD46
/RMD47
/RMD48
/RMD49
/RMD50
/RMD51
/RMD52
/RMD53
/RMD54
/RMD55
/RMD56
/RMD57
/RMD58

5
7
13
17
6
8
14
18
19
23
29
31
20
24
30
32
41
43
49
53
42
44
50
54
55
59
65
67
56
60
66
68
127
129
135
139
128
130
136
140
141
145
151
153
142
146
152
154
163
165
171
175
164
166
172
176
177
181
187
189
178
182
188
190

/RMD59

/RMD60
/RMD61
/RMD62
/RMD63
T
T
T
T
T
T
TDDRST
T

VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD

A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10/AP
A11
A12

DQ0
DQ1
DQ2
DQ3
DQ4
DQ5
DQ6
DQ7
DQ8
DQ9
DQ10
DQ11
DQ12
DQ13
DQ14
DQ15
DQ16
DQ17
DQ18
DQ19
DQ20
DQ21
DQ22
DQ23
DQ24
DQ25
DQ26
DQ27
DQ28
DQ29
DQ30
DQ31
DQ32
DQ33
DQ34
DQ35
DQ36
DQ37
DQ38
DQ39
DQ40
DQ41
DQ42
DQ43
DQ44
DQ45
DQ46
DQ47
DQ48
DQ49
DQ50
DQ51
DQ52
DQ53
DQ54
DQ55
DQ56
DQ57
DQ58
DQ59
DQ60
DQ61
DQ62
DQ63

VDDSPD

DDR SO-DIMM

112
111
110
109
108
107
106
105
102
101
115
100
99

/RMA0
/RMA1
/RMA2
/RMA3
/RMA4
/RMA5
/RMA6
/RMA7
/RMA8
/RMA9
/RMA10
/RMA13
/RMA14

BA0
BA1

117
116

/RMA11
/RMA12

DM0
DM1
DM2
DM3
DM4
DM5
DM6
DM7
DM8

12
26
48
62
134
148
170
184
78

/RDQM0
/RDQM1
/RDQM2
/RDQM3
/RDQM4
/RDQM5
/RDQM6
/RDQM7

DQS0
DQS1
DQS2
DQS3
DQS4
DQS5
DQS6
DQS7
DQS8

11
25
47
61
133
147
169
183
77

/RDQS0
/RDQS1
/RDQS2
/RDQS3
/RDQS4
/RDQS5
/RDQS6
/RDQS7

RAS#
WE#
CAS#

118
119
120

/RSRAS#
/RSWE#
/RSCAS#

S0#
S1#

121
122

/RCS#2
/RCS#3

CKE0
CKE1

96
95

CKE2
CKE3

CK0
CK0#
CK1
CK1#
CK2
CK2#

35
37
160
158
89
91

DDRCLK3
DDRCLK#3
DDRCLK4
DDRCLK#4
DDRCLK5
DDRCLK#5

SDA
SCL

193
195

SMBDAT
SMBCLK

CB0
CB1
CB2
CB3
CB4
CB5
CB6
CB7

71
73
79
83
72
74
80
84

RP20

CKE4
CKE2
CKE3
CKE5

1
2
3
4

8
7
6
5

DU
DU
DU
DU
DU/RESET#
DU/A13
DU/BA2

194
196
198

SA0
SA1
SA2

addr =1010001b

VREF
VREF
VDDSPD
VDDID

8P4R-470
R112
R107

CKE0
CKE1

470
470

+2.5V

R126

8.2K

DDRVREF GEN. & DECOUPLING


B

+2.5V

C138

C139

0.1UF

0.01UF

C149 C144
0.01UF

NEAR DIMM0

NEAR M650

[4,15,24] SMBDAT

[6,8] /RMA[0..14]
[6,8] /RDQM[0..7]

/RMA[0..14]
/RDQM[0..7]

[4] DDRCLK[0..5]
[4] DDRCLK#[0..5]

[6,8] /RDQS[0..7]
1

/RCS#[0..5]

[6,8] /RMD[0..63]

/RDQS[0..7]

[6] CKE[0..5]
2

+2.5V

C487

C174

C488

C158

C168

C136

0.1UF

0.1UF

0.1UF

0.1UF

0.1UF

0.1UF

+2.5V

VDDSPD
R136

DDR SO-DIMM_H10K

C173

C142

C184

C176

C172

C182

0.1UF

0.1UF

0.1UF

0.1UF

0.1UF

0.1UF

+2.5V

C169

C183

C175

C181

C143

C535

0.1UF

0.1UF

0.1UF

0.1UF

0.1UF

0.1UF

DDRCLK[0..5]
C691 +

C519 +

C141

220U(D)

220U(D)

10U(0805) 10U(0805) 10U(0805) 10U(0805)

C180

C137

C534

DDRCLK#[0..5]
Title

[6,8] /RCS#[0..5]

0.01UF
NEAR DIMM1

DIMM
DECOUPLING

+2.5V

SMBCLK

C170

R108
75_1%

DDRVREF

1
2
197
199

SMBDAT

0.01UF

DDRVREF

1K

[4,15,24] SMBCLK

C171

R92
75_1%

0.1UF

85
123
124
200
86
97
98

R121

+2.5V

A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10/AP
A11
A12

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

R354

112
111
110
109
108
107
106
105
102
101
115
100
99

CN4

186
185
174
173
162
161
159
150
149
138
137
126
125
104
103
90
88
87
76
75
64
63
52
51
40
39
38
28
27
16
15
4
3

+2.5V

/RMA0
/RMA1
/RMA2
/RMA3
/RMA4
/RMA5
/RMA6
/RMA7
/RMA8
/RMA9
/RMA10
/RMA13
/RMA14

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD

CN3

9
10
21
22
33
34
36
45
46
57
58
69
70
81
82
92
93
94
113
114
131
132
143
144
155
156
157
167
168
179
180
191
192

+2.5V

/RMD[0..63]

CLEVO
CO.

DDR MEMORY DIMM

Size

Document Number

Date:

, 21, 2004

CKE[0..5]

Rev
1.0

71-D4000-D06
3

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

Sheet
5

of

35

SSTL-2 Termination Resistors


DDR

MD/DQM(/DQS)
MA/Control
CS
CKE

SSTL-2
SSTL-2
SSTL-2
OD 2.5V

Rs
10
0
0

Rtt
33
33
47
A

/RMD[0..63]

/RMD[0..63] [6,7]

/RDQM[0..7]
+1.25VS

+1.25VS

/RDQM[0..7] [6,7]

/RDQS[0..7]

/RDQS[0..7] [6,7]

/RMA[0..14]
/RMD0
/RMD1
/RDQS0
/RMD2
/RMD3
/RMD8
/RMD9
/RDQS1
/RMD10
/RMD11
/RMD16
/RMD17
/RDQS2
/RMD18
/RMD19
/RMD24

/RMD25
/RDQS3
/RMD26
/RMD27
/RMA14
/RMA9
/RMA7
/RMA5
/RMA3
/RMA1
/RMA10
/RMA11
/RMD32
/RMD33
/RDQS4
/RMD34
/RMD35
/RMD40
/RMD41
/RDQS5
C

/RMD42
/RMD43
/RMD48
/RMD49
/RDQS6
/RMD50
/RMD51
/RMD56
/RMD57
/RDQS7
/RMD58
/RMD59

[6,7] /RSCAS#
[6,7] /RSWE#

/RSCAS#
/RSWE#

8
7
6
5
RP63
8
7
6
5
RP64
8
7
6
5
RP65
8
7
6
5
RP66
8
7
6
5
RP67
8
7
6
5
RP68
8
7
6
5
RP69
8
7
6
5
RP70
8
7
6
5
RP71
8
7
6
5
RP72
8
7
6
5
RP73
8
7
6
5
RP74
R137
R396

1
2
3
4
8P4R-33
1
2
3
4
8P4R-33
1
2
3
4
8P4R-33
1
2
3
4
8P4R-33
1
2
3
4
8P4R-33
1
2
3
4
8P4R-33
1
2
3
4
8P4R-33
1
2
3
4
8P4R-33
1
2
3
4
8P4R-33
1
2
3
4
8P4R-33
1
2
3
4
8P4R-33
1
2
3
4
8P4R-33

[6,7] /RSRAS#

/RMA2
/RMA0
/RMA12
/RSRAS#
/RMD6
/RDQM0
/RMD5
/RMD4
/RDQM1
/RMD13
/RMD12
/RMD7
/RMD20
/RMD21
/RMD15
/RMD14
/RDQM2
/RMD22
/RMD23
/RMD28
/RMD29
/RDQM3
/RMD30
/RMD31
/RMA8
/RMA13
/RMA4
/RMA6
/RMD37
/RMD36
/RDQM4
/RMD38
/RMD44
/RMD45
/RDQM5
/RMD39
/RMD47
/RMD46
/RMD52
/RMD53
/RDQM6
/RMD54
/RMD55
/RMD60
/RMD61
/RDQM7
/RMD62
/RMD63

4
3
2
1
8P4R-33
8
7
6
5
8P4R-33
8
7
6
5
8P4R-33
8
7
6
5
8P4R-33
5
6
7
8
8P4R-33
5
6
7
8
8P4R-33
5
6
7
8
8P4R-33
4
3
2
1
8P4R-33
8
7
6
5
8P4R-33
5
6
7
8
8P4R-33
5
6
7
8
8P4R-33
5
6
7
8
8P4R-33

1
2
3
4
RP26

8
7
6
5
8P4R-47

+1.25VS

33

/RCS#4
/RCS#5

R138
R139

/RCS#[0..5] [6,7]

DECOUPLING CAPACITOR FOR SSTL-2 END TERMIANTION VTT ISLAND


0603 Package placed within 200mils of VTT Termination R-packs
B

C154

0.1UF

C156

0.1UF

C185

0.1UF

C188

0.1UF

C189

0.1UF

C537

0.1UF

C193

0.1UF

C161

0.1UF

C162

0.1UF

C159

0.1UF

C166

0.1UF

C165

0.1UF

C536

0.1UF

C164

0.1UF

C157

0.1UF

C163

0.1UF

+1.25VS
C

C160

0.1UF

C192

0.1UF

C191

0.1UF

C190

0.1UF

C187

0.1UF

C186

0.1UF

C153

0.1UF

C155

0.1UF

C538

0.1UF

C546

0.1UF

C544

0.1UF

C543

0.1UF

C541

0.1UF

C542

0.1UF

C540

0.1UF

C545

0.1UF

+1.25VS

33

/RCS#1
/RCS#0
/RCS#3
/RCS#2

/RMA[0..14] [6,7]

/RCS#[0..5]
5
6
7
8
RP34
1
2
3
4
RP31
1
2
3
4
RP30
1
2
3
4
RP29
4
3
2
1
RP28
4
3
2
1
RP27
4
3
2
1
RP25
5
6
7
8
RP33
1
2
3
4
RP24
4
3
2
1
RP23
4
3
2
1
RP22
4
3
2
1
RP21

47
47

Title

CLEVO
CO.

SSTL-2 TERMINATION RESISTORS


Size

Document Number

Date:

, 21, 2004

Rev
1.0

71-D4000-D06
1

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

Sheet
5

of

35

BGA1C
SIS M650

ZCLK0

[4] ZCLK0
[13] ZUREQ
[13] ZDREQ
[13] ZSTB0
[13] ZSTB#0
[13] ZSTB1
[13] ZSTB#1
[13] ZAD[0..15]

NOTE: This page is for universal PCB design( suitable for both 645 or 650)

V3

ZCLK

ZUREQ
ZDREQ

U6
U1

ZUREQ
ZDREQ

ZSTB0
ZSTB#0

T3
T1

ZSTB0
ZSTB#0

ZSTB1
ZSTB#1

P1
P3

ZSTB1
ZSTB#1

T4
R3
T5
T6
R2
R6
R1
R4
P4
N3
P5
P6
N1
N6
N2
N4

ZAD0
ZAD1
ZAD2
ZAD3
ZAD4
ZAD5
ZAD6
ZAD7
ZAD8
ZAD9
ZAD10
ZAD11
ZAD12
ZAD13
ZAD14
ZAD15

ZAD[0..15]

ZAD0
ZAD1
ZAD2
ZAD3
ZAD4
ZAD5
ZAD6
ZAD7
ZAD8
ZAD9
ZAD10
ZAD11
ZAD12
ZAD13
ZAD14
ZAD15

+1.8VS

R47
C399
0.1UF

150_1%

ZVREF

U3

VGA
HyperZip

C400
0.1UF

+1.8VS L22
FCM1608K121
1
2

35.4mA

C87

C94

C95

VDDZCMP

V5

VDDZCMP

ZCMP_N

U4

ZCMP_N

ZCMP_P

U2

ZCMP_P

VSSZCMP

V6

VSSZCMP

ROUT
GOUT
BOUT

A12
B13
A13

ROUT
GOUT
BOUT
33
33

R232
F13 Z0801
E13 Z0802 R230

VGPIO0
VGPIO1
CSYNC
RSYNC
LSYNC

D13
D12
E12
A11
F12

INT#A
PCIRST#
PWROK
AUXOK

B11
Y3
W4
W6

INTA#
PCIRST#
PWRGD
AUXOK

VCOMP

E14

VCOMP C19

0.1UF

VVBWN

F14

VVBWN C20

0.1UF

DACAVDD1
DACAVDD2

B12
C13

DACAVDD

DACAVSS1
DACAVSS2

C12
C14

DACAVSS

VRSET

D14

VRSET

R231
R229

CSYNC
RSYNC
LSYNC

L24
FCM1608K121
1
2

6.49mA

C93

Z1XAVDD W1

C96

10UF/10V
N7

C415

C414

10UF/10V

Z4XAVDD

Z4XAVDD

Z4XAVSS

V1

Z4XAVSS

84.8mA

C17

0.1UF

N1

1UF

B15

DCLKAVSS

A15

ECLKAVDD

B14

ECLKAVSS

A14

ECLKAVSS

DLLEN#
TESTMODE0
TESTMODE1
TESTMODE2

E11
C11
F11
A10

DLLEN#
TMODE0
TMODE1
TMODE2

TRAP0
TRAP1
ENTEST

E10
D11
F10

TRAP0
TRAP1
ENTEST

FRED_10

GOUT

R5

FCM1608K121

FGRN_10

R3

FCM1608K121

FBLU_10

BOUT
R201

C243 C242 C245

D2

AUXOK

C442

0.1UF

+3VS
L52
18.07mA
1
2
FCM1608K121
C265
C271
10UF/10V
0.1UF

10MIL N12
T
T
T
T
T
T
T

22P

22P

75

22P

22P

VJVGA1
CEN/VGA DSUB
1
9
2
10
3
11
4
12
5
13
6
14
7
15
8

F01J2E
A

+5VS
+5VS

R207 R209 R210


2.2K

2.2K

FCM1608K121
1
2
FCM1608K121
L45
1
2
FCM1608K121
L43
1
2
FCM1608K121
L44 1
2
L6

MID1_10
HS_10
VS_10
MID3_10
C244 C3

C5

R208

4.7K(R) 4.7K(R)

DDC1DATA
HSYNC
VSYNC
DDC1CLK

C4
D

220P 220P 220P 220P

DA204U
A

10UF/10V

10UF/10V

D21

DA204U

0.1UF

C13
C22
0.1UF

17
16

D3

DA204U
C

75

AC

22P

AC

AC
D

75

4.7K

C428

L10
7.57mA
2
FCM1608K121

ECLKAVDD

FCM1608K121

22P

R234

PWRGD

10MIL1

DCLKAVDD

R4

R199 C6

ENTEST
C266

ROUT

R200 C8

4.7K

R233

130_1%

D1
C

C7

20MIL

R16

0.01UF

C413
0.01UF

10MIL

N15

0
0
1
0

+3VS

FCM1608K121

C21

0.1UF

TV selection, NTSC/PAL(0/1)
enable VB
enable VGA interface
enable panel link

embedded pull-low
(30~50K Ohm)
yes
yes
yes

Default
0
1(DDR)
0

+1.8VS

1
C18

Z1XAVSS

V2

TRAP1
CSYNC
RSYNC
LSYNC

INTA# [10,13]
PCIRST# [10,13,14,20,22,24]
PWRGD [15,26,29]
AUXOK [15,24,28]

C287

7.92mA

1
disable PLL
DDR
NB debug mode

RSYNC

0.1UF

L85
FCM1608K121
1
2

0
enable PLL
SDR
normal

T
T
T

Z1XAVDD

10MIL

DLLEN#
DRAM_SEL
TRAP0

+3VS

C97
0.01UF

Z1XAVSS W2

+3VS

HSYNC
VSYNC

DDC1CLK
DDC1DATA

100
100

0.01UF
+3VS

NB Hardware Trap Table

REFCLK0 [4]

HSYNC
VSYNC

DCLKAVDD

0.01UF

20MIL

N6

56

R49

0.1UF

10UF/10V

56

R48

REFCLK0

L9

M650-3

VOSCI

C15

ZVREF

R46
150_1%

+5VS

Title

CLEVO
CO.

650-3&LVDS INTERFACE

Size

Document Number

Date:

, 21, 2004

Rev
1.0

71-D4000-D06
1

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

Sheet
5

of

35

C248

COMPOSITE R211

0(R)

33PF(R)

+3VS

Z1301

L12

LVDD1
L46

R212

FCM1608K121

1
2
FCM1608K-121T07

JTV1

L47

C250

33PF(R)

C262

C251

330PF

330PF

R202

C261

C249

C35

0.1UF

0.1UF

LGND

75

330PF

330PF

+3VS
L13

6
5

AC

C36

10UF/10V

2.7UH

D23
DA204U(R) R213
75
A

AC

1
D22
DA204U(R)

C37

LVDD2

SVIDEO CON
PIN(GND1,GND2)=GND

+3VS

FCM1608K121
C38

C39

C40

10UF/10V

0.1UF

0.1UF

VAD[0..11]

LGND

VAD0
VAD1
VAD2
VAD3
VAD4
VAD5
VAD6
VAD7
VAD8
VAD9
VAD10
VAD11

+3VS

VBCAD
VBHCLK
DGND
DVDD
VREF2

[5] VBCAD
[5] VBHCLK

T
T

T
T
T
LDDCDATA T R19
LDDCCLK
R20

100
100

V5V
T
T
T

[9,13] INTA#
[9,13,14,20,22,24]
PCIRST#
T
T
T

[11] ENAVDD
[11] ENABKL

R242

2.2K

LDDCDATA

R241

2.2K

LDDCCLK

L20

VDDV
FCM1608K121
C60

INTA#
PCIRST#

ENAVDD
ENABKL

103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128

C59

10UF/10V

0.1UF

DGND
DVDD
VBD5
VBD4
VBD3
VBD2
VBD1
VBD0

T
T

VBGCLK [5]
VBCTL0 [5]
VBHSYNC [5]
VBVSYNC [5]

+3VS

U22

DVDD/DVDD4
DE2/VADE
FLD/STL2/DVSS4
AS/RESERVED
SPD/VBCAD
SPC/VBHCLK
HIN/DVSS5
VIN/DVDD5
VREF2/OVDD
SDD/GPIOA(GPI)
SDC/GPIOB(GPI)
DD1/GPIOC(GPI)
DC1/GPIOD(GPI)
DD2/LDDCDATA
DC2/LDDCCLK
V5V/V5V
HOUT/V2HSYNC
VOUT/V2VSYNC
HPD/LCDSENSE
HPINT*/INTA#
GPIO[0]/EXTRSTN
GPIO[1]/PFTEST1
GPIO[2]/PFTEST2
GPIO[3]/PFTESTO
ENAVDD/GPIOG(GP
ENABKL/GPIOH(GP

L18

V2/VAVSYNC
H2/VAHSYNC
DGND/OVSS
D2[11]/VAD11
D2[10]/VAD10
D2[9]/VAD9
D2[8]/VAD8
D2[7]/VAD7
D2[6]/VAD6
XCLK2/VAGCLK
DGND/DVSS3
XCLK2*/DVDD3
D2[5]/VAD5
D2[4]/VAD4
D2[3]/VAD3
D2[2]/VAD2
D2[1]/VAD1
D2[0]/VAD0
DVDD/RESERVED
DVDD/RESERVED
D1[11]/VBD11
D1[10]/VBD10
D1[9]/VBD9
D1[8]/VBD8
D1[7]/VBD7
D1[6]/VBD6
XCLK1/VBGCLK
DGND/DVSS2
XCLK1*/DVDD2
D1[5]/VBD5
D1[4]/VBD4
D1[3]/VBD3
D1[2]/VBD2
D1[1]/VBD1
D1[0]/VBD0
DGND/VBCTL0
H1/VBHSYNC
V1/VBVSYNC

DVDD
VADE
DGND

[5] VADE

+3VS

VBGCLK
VBCTL0
VBHSYNC
VBVSYNC

102
101
100
99
98
97
96
95
94
93
92
91
90
89
88
87
86
85
84
83
82
81
80
79
78
77
76
75
74
73
72
71
70
69
68
67
66
65

VBD0
VBD1
VBD2
VBD3
VBD4
VBD5
VBD6
VBD7
VBD8
VBD9
VBD10
VBD11

VBD11
VBD10
VBD9
VBD8
VBD7
VBD6

VAVSYNC
VAHSYNC
DGND
VAD11
VAD10
VAD9
VAD8
VAD7
VAD6

VBD[0..11]

[5] VBD[0..11]

VAGCLK

[5] VAGCLK
[5] VAHSYNC
[5] VAVSYNC

DGND
DVDD
VAD5
VAD4
VAD3
VAD2
VAD1
VAD0

[5] VAD[0..11]

DVDD

FCM1608K121
N5

C67

C66

C68

10UF/10V

0.1UF

0.1UF

DGND

DVDD1/DVDD
VBDE/DE1
VBCTL1/FLD/STL1
DVSS1/VREF1
OVDD/VDDV
VBCLK/P-OUT
DVSS0/RESET*
TVCLKO/GPIO[5]
TSCLKI/GPIO4
DVDD0/TVPLL_VDD
PLL1VDD/TVPLL_V
VBOSCO/XO
VBRCLK(XIN)/XIN
PLL1GND/TVPLL_G
RESERVED/BOC/VS
IOCS/C/HSYNC
DAC_GND/DAC_GND
DAC_VDD/DACA3
RESERVED/DACB3
IOC/DACA2
RESERVED/DACB2
IOY/DACA1
RESERVED/DACB1
IOCOMP/DACA0
V2COMP/DACB0
DAC_GND/DAC_GND

64
63
62
61
60
59
58
57
56
55
54
53
52
51
50
49
48
47
46
45
44
43
42
41
40
39

DVDD
VBDE
VBCTL1
DGND
VDDV
VBCLK
DGND
DVDD
TVPLLVDD
VBOSCO
VBRCLK
TVPLLGND
IOCS
DAC_GND
DAC_VDD

C42

+3VS

VBDE [5]
VBCTL1 [5]

NC/0.1uF
C50

VBCLK [5]
N3

10UF/10V

T
T

C41

C51

0.1UF

0.1UF

DAC_GND

+5VS

T
T

+3VS
L11

V5V

10UF/10V

LPLLVDD

FCM1608K121
C32

C47

C
Y

0.1UF

0.1UF

C33

N2

10UF/10V

+3VS
L19

VREF2

LDC4LDC4+
LDC5LDC5+
LDC6LDC6+
LDC7LDC7+
LL2C+
LL2C-

LVDD2
LDC3+
LDC3LGND
LL1C+
LL1CLVDD2
LDC2+
LDC2LGND
LDC1+
LDC1LVDD2
LDC0+
LDC0LGND
VSWING
DAC_VDD
ISET

LDC4LDC4+
LDC5LDC5+
LDC6LDC6+
LDC7LDC7+
LL2C+
LL2C-

Choose clock source:main board/crystal


R1 :NC/22
Spread range:R120:+-1.5%/+-2.5%
Y3
SIS302LV

VBOSCO
C52

R267

R268

C49

147

14.318MHZ

22P

0.1UF

0.1UF

LPLLVDD

R23

NC

LVDD1

R22

N4

C57

10UF/10V

0.1UF

R24
C43

+3VS
L17

DVDD
VBRCLK

R269

C65

C56

C55

10UF/10V

0.1UF

0.1UF

1UF

CLEVO
CO.

LVDS INTERFACE(SIS 302LV)

Size

Document Number

Date:

, 21, 2004

Rev
1.0

71-D4000-D06
3

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

DGND

6K
2K C321

FCM1608K121

10

Title
NC

C58

22P

301LV/302LV:R894/R895
5

TVPLLVDD
C46

FCM1608K121
C69

TVPLLGND

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38

[11]
[11]
[11]
[11]
[11]
[11]
[11]
[11]
[11]
[11]

LPLLVDD
LPLLCAP
LPLLGND
LGND
LL2C+
LL2CLVDD1
LDC7+
LDC7LGND
LDC6+
LDC6LVDD1
LDC5+
LDC5LGND
LDC4+
LDC4-

LVDSPLLVDD/LPLL
RESERVED/LPLLCA
LVDSPLLVSS/LPLL
LAVSS/LGND
RESERVED/LL2C
RESERVED/LL2C*
LAVDD/LVDD
RESERVED/LDC7
RESERVED?LDC7*
LAVSS/LGND
RESERVED/LDC6
RESERVED/LDC6*
LAVDD/LVDD
RESERVED/LDC5
RESERVED/LDC5*
LVDSPLLVSS/LGND
RESERVED/LDC4
RESERVED/LDC4*
LVDSPLLVDD/LVDD
LAVDD/LVDD
LX3P/LDC3
LX3N/LDC3*
LAVSS/LGND
LXC1P/LL1C
LXC1N/LL1C*
LAVDD/LVDD
LX2P/LDC2
LX2N/LDC2*
LAVSS/LGND
LX1P/LDC1
LX1N/LDC1*
LAVDD/LVDD
LX0P/LDC0
LX0N/LDC0*
LAVSS/LGND
EXTSWING/VSWING
DACVDD/DACVDD
V2RSET/ISET

FCM1608K121

LDC0LDC0+
LDC1LDC1+
LDC2LDC2+
LDC3LDC3+
LL1CLL1C+

0.1UF

LPLLGND

L15

[11]
[11]
[11]
[11]
[11]
[11]
[11]
[11]
[11]
[11]

C34

+3VS

LDC0LDC0+
LDC1LDC1+
LDC2LDC2+
LDC3LDC3+
LL1CLL1C+

DAC_VDD

FCM1608K121

C48

COMPOSITE
V2COMP
DAC_GND

V2COMP

L14

Sheet
1

10

of

35

C338

0.1UF

3
2
1

47K
4

R301

TXOUT-LN0
TXOUT-LP0

C285

C286

0.1UF

4.7U(0805)

TXOUT-LN1
TXOUT-LP1

Z245
10K

TXOUT-LN2
TXOUT-LP2

D Q17
C336
G

S
2N7002

TXOUT-LN3
TXOUT-LP3

0.1UF

D Q18

ENAVDD

[10] ENAVDD

TXCLK-LN
TXCLK-LP

S
2N7002

12V

VDD5

R528
100K

LCDID0
LCDID1
LCDID2
E-MAIL
CHA/BATLOW
PANEL
WL_LED

[15] LCDID0
[15] LCDID1
[15] LCDID2

R527

+5VS

D Q26

100K

R289
10K

LEDPWR

D8

GATE_LID_SW

[15] GATE_LID_SW

LCDVCC

LEDPWR

G
D
Q27
S
2N7002

G
D
Q28
S
2N7002

S
2N7002

L70

VIN

L(1206)
C289

LEDVDD

1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49

1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49

2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50

0.1UF

2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50

TXOUT-UN0
TXOUT-UP0
TXOUT-UN1
TXOUT-UP1

TXOUT-UN2
TXOUT-UP2
TXOUT-UN3
TXOUT-UP3
TXCLK-UN
TXCLK-UP
L67

FCM2012V-121

BAT_FULL
PWR/SUS_LED
INTMIC
BRIGADJ
ACIN_LED

SYS5V

INTMIC [27]
BRIGADJ [24]
ACIN_LED

C272
C273

CON50

0.1UF

0.1UF(R)

0.1UF(R)

A
R529
F01J2E
D9

L65
FCM2012V-121
C284
JLCD1

4.7U(0805) 0.1UF

C322

8
7
6
5

R278

L68
FCM2012V-121

LCDVCC

LCDVCC

4800
C337

Q16

+3VS
12V
+3VS

LID_SW#

[24,27] LID_SW#

PANEL

ENABKL

[10] ENABKL

10K

5
2

F01J2E

1
3
U23

R288

TC7SZ08
10K

LEDVDD

LEDCLK

D39

U3

A
1
2

164_RESET#
R541

CLK

CLR

QA
QB
QC
QD
QE
QF
QG
QH

SCROLLOCK
CAPSLOCK
NUMLOCK
BAT_FULL
CHA/BATLOW
WL_LED
PWR/SUS_LED
E-MAIL

3
4
5
6
10
11
12
13

74HCT164

C696
4.7U(0805)

D7
A R11

220(0805)

CAPSLOCK

SML_010MT_G
D5
C
A R9

220(0805)

NUMLOCK

SML_010MT_G

D4
R8

+5VS

220(0805)

Q15
2N3906

IDE_LED#

LDC0-

L82

LDC0+

TXOUT-LN1

L81

LDC1-

TXOUT-LP1

L80

LDC1+

TXOUT-LN2

L79

LDC2-

TXOUT-LP2

L78

LDC2+

TXOUT-LN3

L77

LDC3-

TXOUT-LP3

L76

LDC3+

TXCLK-LN

L75

LL1C-

TXCLK-LP

L74

LL1C+

TXOUT-UN0

L63

LDC4-

TXOUT-UP0

L62

LDC4+

TXOUT-UN1

L61

LDC5-

TXOUT-UP1

L60

LDC5+

TXOUT-UN2

L59

LDC6-

TXOUT-UP2

L58

LDC6+

TXOUT-UN3

L57

LDC7-

TXOUT-UP3

L56

LDC7+

TXCLK-UN

L55

LL2C-

TXCLK-UP

L54

LL2C+

LDC0- [10]

R220

C274

C276

C278

C280

C282

C290

C292

C294

C296

C298

10PF

10PF

10PF

10PF

10PF

10PF

10PF

10PF

10PF

10PF

C275

C277

C279

C281

C283

C291

C293

C295

C297

C299

10PF

10PF

10PF

10PF

10PF

10PF

10PF

10PF

10PF

10PF

LDC0+ [10]
LDC1- [10]
LDC1+ [10]
LDC2- [10]
LDC2+ [10]
LDC3- [10]
LDC3+ [10]
LL1C- [10]
LL1C+ [10]
C

LDC4- [10]
LDC4+ [10]
LDC5- [10]
LDC5+ [10]
LDC6- [10]
LDC6+ [10]
LDC7- [10]
LDC7+ [10]
LL2C- [10]

SCROLLOCK

220(0805)

SML_010MT_G
D6
C
A R10

[14] IDE_LED#

L83

TXOUT-LP0

100K
7

LEDVDD

A
B

A
F01J2E

[24] 164_RESET#

C288
0.1UF

14

10K

F01J2E
D40

[24] LEDCLK

10K

VCC

LEDDATA C

R540

GND

[24] LEDDATA

R539

TXOUT-LN0

LL2C+ [10]

SML_010MT_G

4.7K Z511

CLEVO
CO.

Title

PANEL CON & LED INDICTOR

Size

Document Number

Date:

, 21, 2004

Rev
1.0

71-D4000-D06
1

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

Sheet

11
8

of

35

+3VS
VTT

+1.8VS

+3VS

+3V

C27

C360

10UF/10V

0.1UF
C374

10UF/10V

1UF
C381

0.1UF

C423

1UF
C426

1UF
C395

0.1UF
C367

0.1UF

0.1UF

0.1UF

+1.8V

+3V

+2.5V

+3VS
C

+1.8VS

AB5
AD5
AE6
AE8
AE10
AE12
AE14
AE16
AE18
AE20
AE22

VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM

V10
V11
W18
Y9
Y10
Y12
Y14
Y16
Y18
Y19
AA8
AA9
AA10
AA13
AA14
AA15
AA16
AA17
AB8
AB9
AB13
AB17

VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM

E5
E7
E9
G5
J5
L5

VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ

H8
H9
J8
J9
J10
J13
K9
K11
K13
L10
N9
N10

VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ

N5
R5
U5
W5
P9
P10
R9
R10
T9
T10
T11

W10
Y11
Y13
Y15
Y17
PVDDM
PVDDM
PVDDM
PVDDM
PVDDM

P11

L12
L14
L15
L16
L18
M11
M19
N11
P19
R11
T19
U11
V19
W11
W13
W15
W17

J14
J15
K15
K10
K12
K14
M10
OVDD
OVDD
OVDD
PVDD
PVDD
PVDD
PVDD

PVDDZ

VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT

IVDD
IVDD
IVDD
IVDD
IVDD
IVDD
IVDD
IVDD
IVDD
IVDD
IVDD
IVDD
IVDD
IVDD
IVDD
IVDD
IVDD

A16
A17
A18
B16
B17
B18
C16
C17
C18
D15
D16
D17
D18
E15
E16
E17
E18
F15
F16
F17
F18

VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT

H21
H22
J16
J20
J21
J22
K16
K17
K18
K19
K20
K21
L20
M20
N20
P20
R20
R21
T20
U20
V20
W20
Y20
Y21
AA20
AA21
AA22
AB21
AB22

C412
VTT

10U(0805)
C427
AUX1.8
AUX3.3

Power

VDDZ
VDDZ
VDDZ
VDDZ
VDDZ
VDDZ
VDDZ
VDDZ
VDDZ
VDDZ
VDDZ

U10
U9

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

A20
A22
A24
A26
C19
C21
C23
C25
C27
E20
E22
E24
F25
H25
K25
M25
P25
T25
V25
Y25
AB25
AD25
E27
G27
J27
L27
N27
R27
U27
W27
AA27
AC27
AE27
D29
F29
H29
K29
M29
P29
T29
V29
Y29
AB29
AD29
AF29
AE24
AG25
B4
B6
C8
C10
D2
F2
H2
K2

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

P2
T2
V4
AD1
AF1
AC3
AE3
AG3
AG5
AG7
AG9
AG11
AG13
AG15
AG17
AG19
AG21
AG23
AJ4
AJ6
AJ8
AJ10
AJ12
AJ14
AJ16
AJ18
AJ20
AJ22
AJ24
AG27

B25
VSS
C28
VSS
C29
VSS
D27
VSS
D28
VSS
E28
VSS
E29
VSS
AF23
VSS
AF24
VSS
AF25
VSS
AG24
VSS
AG26
VSS
AH23
VSS
AH24
VSS

M12
M13
M14
M15
M16
M17
M18
N12
N13
N14
N15
N16
N17
N18
P12
P13
P14
P15
P16
P17
P18
R12
R13
R14
R15
R16
R17
R18
T12
T13
T14
T15
T16
T17
T18
U12
U13
U14
U15
U16
U17
U18
V12
V13
V14
V15
V16
V17
V18

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

PVDDP
PVDDP
PVDDP
PVDDP
PVDDP
PVDDP

C410

C44

BGA1D

M650-4

L17
L19
N19
R19
U19
W19

+3VS

+1.8V
+3V

+1.8VS

VTT

+3VS

C681 0.1UF

C382

+1.8VS

C363

C682 0.1UF

0.1UF
C380

C377

1UF
C357
0.1UF
C398

10U(0805)
C331

1UF
C405
10U(0805)
C421

10U(0805)
C420

1UF
C366
1UF

10U(0805)
C353

1UF

10U(0805)

C371
0.1UF

+3VS

+2.5V
C368
C500
C370

0.1UF
C358

0.1UF
C25

0.1UF
C359

0.1UF
C372

0.1UF
C369

0.1UF
C373

0.1UF

0.1UF

+1.8VS

220U(D)

C452

C449

C451

10U(0805)
C411

1UF
C425

1UF
C433

10U(0805)
C456

1UF
C436

1UF
C434

10U(0805)
C422

0.1UF
C441

0.1UF
C439

10U(0805)

0.1UF

0.1UF

Place these capacitors under 650 solder


side
VTT

+1.8VS

+3V
C396

+2.5V

C392

C394

C438

0.1UF
C419

0.1UF
C409

0.1UF
C435

C407

0.1UF
C378

0.1UF
C408

10U(0805)

+3VS

0.1UF

+3VS

0.1UF
C364

0.1UF
C379

0.1UF
C453

C23

C397

0.1UF
C352

0.1UF
C393

0.1UF
C437

0.1UF
C28

0.1UF
C61

0.1UF

0.1UF

0.1UF

0.1UF

0.1UF

SIS M650
Title

CLEVO
CO.
650-4(POWER)

Document Number

Date:

, 21, 2004

Rev
1.0

71-D4000-D06

+1.8VS
1

Size

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

Sheet
5

12

of

35

AD[0..31]

[19,20,25] AD[0..31]

IDESAA[0..2]

IDESAA[0..2]

AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31

IDECS#A[0..1]

IDECS#A[0..1]

IDESAB[0..2]

IDESAB[0..2]

IDECS#B[0..1]

RP32

FRAME#
REQ2#
SERR#
STOP#

1
2
3
4
5

1
2
3
4
5

[25] REQ2#

10
9
8
7
6

10
9
8
7
6

TRDY#
IRDY#
PLOCK#
DEVSEL#

[19] REQ0#

[25] GNT2#

10P8R-2.7K

[19] GNT0#
+3VS

[19,20,25]
[19,20,25]
[19,20,25]
[19,20,25]

RN5

INTD#
INTA#
INTB#
INTC#

8
7
6
5

8P4R-2.2K

REQ0#
REQ3#
REQ4#
REQ1#

1
2
3
4

[9,10] INTA#
[19] INTC#
[25] INTD#

RN6
8
7
6
5

1
2
3
4

R390
R388
R389

4.7K
4.7K
4.7K

[19,20,25] FRAME#
[19,20,25] IRDY#
[19,20,25] TRDY#
[19,25] STOP#

8P4R-4.7K

GNT1#
GNT3#
GNT4#

C/BE#3
C/BE#2
C/BE#1
C/BE#0

[19,25] SERR#
[19,25] PAR
[19,25] DEVSEL#
PCICLK961
PCIRST#
R125

[4] PCICLK961
[9,10,14,20,22,24] PCIRST#

+1.8VS

[4] ZCLK1

C460
0.1UF

SZVREF

PREQ#4
PREQ#3
PREQ#2
PREQ#1
PREQ#0

GNT4#
GNT3#
GNT2#
GNT1#
GNT0#

H3
G1
G2
G3
H4

PGNT#4
PGNT#3
PGNT#2
PGNT#1
PGNT#0

C/BE#3
C/BE#2
C/BE#1
C/BE#0

K3
M4
P1
R4

C/BE#3
C/BE#2
C/BE#1
C/BE#0

INTA#
INTB#
INTC#
INTD#

E3
F4
E2
G4

INT#A
INT#B
INT#C
INT#D

FRAME#
IRDY#
TRDY#
STOP#

M3
M1
M2
N4

FRAME#
IRDY#
TRDY#
STOP#

ICHRDYA
IDREQA
IIRQA
CBLIDA

W10
V10
Y11
U12

ICHRDYA
IDEREQA
IDEIRQA
CBLIDA

IIOR#A
IIOW#A
IDACK#A

V11
Y9
Y10

MIDEIOR#A R98
MIDEIOW#A R104
MIDACK#A R103

10
22
22

IDSAA2
IDSAA1
IDSAA0

T11
U11
W11

MIDESAA2
MIDESAA1
MIDESAA0

R360
R363
R97

33
33
33

IDECSA#1
IDECSA#0

T12
V12

MIDECS#A1
MIDECS#A0

R358
R91

33
33

ICHRDYB
IDREQB
IIRQB
CBLIDB

W17
Y17
T16
U17

IIOR#B
IIOW#B
IDACK#B

T14
W16
V16

MIDEIOR#B R352
MIDEIOW#B R90
MIDACK#B R87

10
22
22

MIDESAB2 R80
MIDESAB1 R351
MIDESAB0 R86

33
33
33

PCI

IDE

Y2
C3

PCICLK
PCIRST#

IDECSB#1
IDECSB#0

U16
W18

ZCLK1

V20

962-1

ZCLK

[9] ZSTB1
[9] ZSTB#1

ZSTB1 K20
ZSTB#1 K19

ZSTB1
ZSTB1#

ZUREQ N16
ZDREQ N17

ZUREQ
ZDREQ

R77
C459
0.1UF

150_1%
N10

SVDDZCMP
SZCMP_N

R19
N18

VDDZCMP
ZCMP_N

SZCMP_P
SVSSZCMP

R18
P18

ZCMP_P
VSSZCMP

SZ1XAVDD
SZ1XAVSS

U20
U19

Z1XAVDD
Z1XAVSS

SZ4XAVDD
SZ4XAVSS

T20
T19

Z4XAVDD
Z4XAVSS

SZVREF

R20
P20

VZREF
ZVSSREF

10MIL
C

R365
10K

+3V
C146
U7

1
2
3
4

CLR1#
D1
CLK1
PR1#

14
5
6

L87

+1.8VS

0.1UF
VCC
Q1
Q1#

ZAD0
ZAD1
ZAD2
ZAD3
ZAD4
ZAD5
ZAD6
ZAD7
ZAD8
ZAD9
ZAD10
ZAD11
ZAD12
ZAD13
ZAD14
ZAD15

SUSB#

[24,28] SUSB#

T
T

C514 0.1UF

13
12
11
10
C513
0.1UF(R)

CLR2#
D2
CLK2
PR2#

9
8
7

PRST#

+3VS FCM1608K121
1

6.49mA
C129

N11

0.1UF

N17

0.1UF

SZ1XAVSS

IDEDB0
IDEDB1
IDEDB2
IDEDB3
IDEDB4
IDEDB5
IDEDB6
IDEDB7
IDEDB8
IDEDB9
IDEDB10
IDEDB11
IDEDB12
IDEDB13
IDEDB14
IDEDB15

IDEDA[0..15]

[14]

[14]

SVDDZCMP
R75

56 SZCMP_N

R74

56

C444
0.01UF

SZCMP_P

FCM1608K121
2

C440

N16

7.92mA
C458
0.1UF

10UF/10V

10MIL

Y16
V15
U14
W14
V13
T13
Y13
Y12
W12
W13
U13
Y14
V14
W15
Y15
U15

L86

+3VS

SZ1XAVDD
C128
0.01UF

IDB0
IDB1
IDB2
IDB3
IDB4
IDB5
IDB6
IDB7
IDB8
IDB9
IDB10
IDB11
IDB12
IDB13
IDB14
IDB15

IDECS#B1
IDECS#B0

10MIL

1
C122
10UF/10V

U10
V9
W8
T9
Y7
V7
Y6
Y5
W6
U8
W7
V8
U9
Y8
T10
W9

33
33

SVSSZCMP

Analog Power supplies of Transzip


function
for 96X Chip.
L27

74LVC74

IDA0
IDA1
IDA2
IDA3
IDA4
IDA5
IDA6
IDA7
IDA8
IDA9
IDA10
IDA11
IDA12
IDA13
IDA14
IDA15

35.64mA
C461

10UF/10V

PRST# [19,24,25]

R349
R79

IDEDA0
IDEDA1
IDEDA2
IDEDA3
IDEDA4
IDEDA5
IDEDA6
IDEDA7
IDEDA8
IDEDA9
IDEDA10
IDEDA11
IDEDA12
IDEDA13
IDEDA14
IDEDA15

ICHRDYB [14]
IDEREQB [14]
IDEIRQB [14]
CBLIDB [14]
IDEIOR#B
IDEIOR#B [14]
IDEIOW#B
IDEIOW#B [14]
IDACK#B
IDACK#B [14]
IDESAB2
IDESAB1
IDESAB0

FCM1608K121
1

C462
Q2
Q2#
GND

MIDECS#B1
MIDECS#B0

IDECS#A1
IDECS#A0

SIS962

[9] ZAD[0..15]
PCIRST#

ICHRDYB
IDEREQB
IDEIRQB
CBLIDB

ICHRDYA [14]
IDEREQA [14]
IDEIRQA [14]
CBLIDA [14]
IDEIOR#A
IDEIOR#A [14]
IDEIOW#A
IDEIOW#A [14]
IDACK#A
IDACK#A [14]
IDESAA2
IDESAA1
IDESAA0

IDEDB[0..15]

M18
M19
M17
M16
M20
L16
L20
L18
K18
J20
K17
K16
H20
J18
H19
H18

R366
330K

HyperZip
ZAD0
ZAD1
ZAD2
ZAD3
ZAD4
ZAD5
ZAD6
ZAD7
ZAD8
ZAD9
ZAD10
ZAD11
ZAD12
ZAD13
ZAD14
ZAD15

+3V

0.1UF
A

Y18
T15
V17

ZSTB0
ZSTB0#

[9] ZUREQ
[9] ZDREQ

0.01UF

IDSAB2
IDSAB1
IDSAB0

ZSTB0 N19
ZSTB#0 N20

C151

Y3
Y4

SERR#
PAR
DEVSEL#
PLOCK#

33

+1.8VS

[14] R118

IDEAVDD
IDEAVSS

SERR# M5
PAR
N3
DEVSEL# N1
PLOCK# N2

[9] ZSTB0
[9] ZSTB#0
R76
150_1%

F1
F2
E1
H5
F3

IDECS#B[0..1]

C150

AD31
AD30
AD29
AD28
AD27
AD26
AD25
AD24
AD23
AD22
AD21
AD20
AD19
AD18
AD17
AD16
AD15
AD14
AD13
AD12
AD11
AD10
AD9
AD8
AD7
AD6
AD5
AD4
AD3
AD2
AD1
AD0

+3VS
A

[14]
[14]

J5
J4
H2
H1
J3
K4
J2
J1
K5
K2
L3
K1
L1
L4
L5
L2
N5
P2
P3
P4
R2
R3
R1
T1
P5
T2
U1
U2
T3
R5
U3
V1

BGA2A

REQ4#
REQ3#
REQ2#
REQ1#
REQ0#

[14]

SZ4XAVDD
C443
0.01UF

SZ4XAVSS

Title

10MIL

CLEVO
CO.

961-1(PCI/IDE/HyperZip)

Size

Document Number

Date:

, 21, 2004

Rev
1.0

71-D4000-D06
1

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

Sheet
5

13

of

35

[13]
[13]
[13]
[13]

+3VS
R277
R32
R300
R238

4.7K
5.6K
10K
10K

MICHRDYB
MIDEREQB
IDEIRQB
IDEDB7

[13]
[13]
[13]
[13]

IDEDB7
IDEDB6
IDEDB5
IDEDB4

IDEDB7
IDEDB6
IDEDB5
IDEDB4

RP3

/2IDB7
/2IDB6
/2IDB5
/2IDB4

5
6
7
8

JCDROM1

IDEDB3
IDEDB2
IDEDB1
IDEDB0

IDEDB3
IDEDB2
IDEDB1
IDEDB0

4
3
2
1

8P4R-10
RP42
5
6
7
8

CD-L
CDGND
IDERST#
/2IDB7
/2IDB6
/2IDB5
/2IDB4
/2IDB3
/2IDB2
/2IDB1
/2IDB0

[26] CD-L
[26] CDGND

/2IDB3
/2IDB2
/2IDB1
/2IDB0

8P4R-10

4.7K
5.6K
10K
10K

MICHRDYA
MIDEREQA
IDEIRQA
IDEDA7

[13] IDEIOW#B
[13] ICHRDYB
[13] IDEIRQB
[13] IDESAB1
[13] IDESAB0
[13] IDECS#B0

+3VS

IDEIOW#B
ICHRDYB R286
10
IDEIRQB R287
82
IDESAB1
IDESAB0
IDECS#B0

1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49

MICHRDYB
MIDEIRQB

HDDLED-1

+5VS
R348

4.7K

CBLIDB [13]
R359

4.7K

C361

CBLIDA [13]

10UF/10V

CSEL

C320
0.1UF

T
R305

[13] IDEDA8
[13] IDEDA9
[13] IDEDA10
[13] IDEDA11
[13] IDEDA12
[13] IDEDA13
[13] IDEDA14
[13] IDEDA15

C375
0.1UF

PCIRST#

[9,10,13,20,22,24] PCIRST#

IDEDA8
IDEDA9
IDEDA10
IDEDA11
IDEDA12
IDEDA13
IDEDA14
IDEDA15

1
2
3
4
1
2
3
4

RP35
8P4R-10
8
7
6
5
8
7
6
5

1IDA8
1IDA9
1IDA10
1IDA11
1IDA12
1IDA13
1IDA14
1IDA15

1IDA8
1IDA9
1IDA10
1IDA11
1IDA12
1IDA13
1IDA14
1IDA15

IDERST#

U25
TC7SZ08

IDESAA2
IDECS#A1

[13] IDESAA2
[13] IDECS#A1

T
T

R148
FDDVCC

JFDD1

[22] DRV0#
[22] DISKCHG#
[22] MTR0#
[22] DIR#
[22] 3MODE#
[22] STEP#
[22] WDATA#
[22] WGATE#
[22] TRK0#
A

[22] WRPRT#
[22] RDATA#
[22] HDSEL#

DRV0#
DISKCHG#

MTR0#
DIR#
3MODE#
STEP#
WDATA#
WGATE#
TRK0#
WRPRT#
RDATA#
HDSEL#

T
T
T
T

IDEDB8[13]
IDEDB11 [13]
IDEDB9[13]
IDEDB10 [13]

8P4R-10
RP4

82

IDEREQB

5
6
7
8

IDEDB12
IDEDB15
IDEDB14
IDEDB13

IDEDB12
IDEDB15
IDEDB14
IDEDB13

[13]
[13]
[13]
[13]

IDEREQB [13]
IDEIOR#B [13]

IDACK#B
T
T

IDACK#B [13]

IDESAB2
IDECS#B1

IDESAB2 [13]
IDECS#B1 [13]
+5VS

C319

C307
C

100U/10V

0.1UF

2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44

1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43

1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43

1IDA7
1IDA6
1IDA5
1IDA4
1IDA3
1IDA2
1IDA1
1IDA0

IDERST#
1IDA7
1IDA6
1IDA5
1IDA4
1IDA3
1IDA2
1IDA1
1IDA0
T

8
7
6
5
8
7
6
5

RP36
8P4R-10
1
2
3
4
1
2
3
4

IDEDA7
IDEDA6
IDEDA5
IDEDA4
IDEDA3
IDEDA2
IDEDA1
IDEDA0

IDEDA7
IDEDA6
IDEDA5
IDEDA4
IDEDA3
IDEDA2
IDEDA1
IDEDA0

[13]
[13]
[13]
[13]
[13]
[13]
[13]
[13]

RP38
8P4R-10

MIDEREQA R144
IDEIOW#A
IDEIOR#A
MICHRDYA R146
IDACK#A
MIDEIRQA R149
IDESAA1
IDESAA0
IDECS#A0
HDDLED-0

82

IDEREQA [13]
IDEIOW#A [13]
IDEIOR#A [13]
ICHRDYA [13]
IDACK#A [13]
IDEIRQA [13]
IDESAA1 [13]
IDESAA0 [13]
IDECS#A0 [13]

10
82

+5VS

HDD CON
C198

INDEX#

4
3
2
1

IDEDB8
IDEDB11
IDEDB9
IDEDB10

C197

0.1UF

+ C201

10UF/10V 100U/10V
2

[22] INDEX#

/2IDB12
/2IDB15
/2IDB14
/2IDB13

5
6
7
8

8P4R-10
R31

0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26

4
3
2
1

CD-R [26]

/2IDB8
/2IDB9
/2IDB10
/2IDB11
/2IDB12
/2IDB13
/2IDB14
/2IDB15
MIDEREQB
IDEIOR#B

JHDD1
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44

1
3

2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50

CD-R

PIN(GND1,GND2)=GND

RP37
8P4R-10

5
2

1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49

2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50

/2IDB8
/2IDB11
/2IDB9
/2IDB10

CDROM CON 50P

+3VS

RP41
4
3
2
1

+3VS
R147
R145
R150
R143

L100
FDDVCC

BK3216HS800
+5VS
+5VS

C673 C674 C675

R151

10K

R236

10K

+5VS

0.1UF 0.1UF 10UF/10V


5
2

HDDLED-1

HDDLED-0

Near To The Connector

IDE_LED#

IDE_LED#

1
3

[11]

U2
A

TC7SZ08

FDD CON 26P


Title

CLEVO
CO.

IDE CONNECTORS

Size

Document Number

Date:

, 21, 2004

Rev
1.0

71-D4000-D06
5

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

Sheet
1

14

of

35

BGA2B
Programable on-die pull-high strength for CPU_S:
( Infinite, 150, 110, 56 Ohm)

INIT#
A20M#
SMI#
INTR
NMI
IGNNE#
FERR#
STPCLK#
CPUSLP#

[3] INIT#
[3] A20M#
[3] SMI#
[3] INTR
[3] NMI
[3] IGNNE#
[3] FERR#
[3] STPCLK#
[3] CPUSLP#

CLKAPIC

[4] CLKAPIC

[22,24]
[22,24]
[22,24]
[22,24]

LPC_AD0
LPC_AD1
LPC_AD2
LPC_AD3

[22,24] LPC_FRAME#
[22] LPC_DRQ#
[19,22,24] SERIRQ
15PF

Y19
V18
W19

APICCK
APICD0
APTCD1

APIC

V5
T7
U6
W5

LAD0
LAD1
LAD2
LAD3

LPC_FRAME#
LPC_DRQ#
SERIRQ

W4
U7
V6

LFRAME#
LDRQ#
SIRQ

A8
A9

MIITXCLK

A6

MIITXEN

B6

MIITXD0

E8

MIITXD1

D7

MIITXD2

C6

MIITXD3

B4

MIIRXCLK

A7

10M

OSC32KHO

BATOK
PWRGD

[18] BATOK
[9,26,29] PWRGD

C2

OSC32KHI

D2

OSC32KHO

D3
D1

C196

BATOK
PWROK

0.1UF

C1

RTCVDD

C177

E4

RTCVSS

MII
(AUX)

SMBDAT

SMBDAT R130

B2

GPIO20

[4,7,24]

SMBCLK

SMBCLK R129

A1

GPIO19

AC_SDIN0
AC_SDIN1

A2
D5

R135
R387

[20,26] AC_SDOUT
[20,26] AC_SYNC

0
0

962-2

AC_RST#
AC_BCLK

[20,26] AC_RST#
[20,26] AC_BCLK

GPIO

AC_SDIN0
AC_SDIN1

W2
T5

AC_SDOUT
AC_SYNC

D6
Y1

AC_RESET#
AC_BIT_CLK

AC97

R96
C

REFCLK1
SENTEST
PCBEEP

10K

[29] PCBEEP
PWRBTN#

+3V

FROM H8

[24] PWRBTN#

961PME#
PSON#

[28,29] PSON#
[9,24,28]

AUXOK

AUXOK

W3
G5
V3

PWRBTN#
PME#
PSON#

A3
A15

AUXOK
ACPILED

(AUX)
(AUX)
(AUX)

ACPI
/others

DPERSLP
EXTSMI#

[24] EXTSMI#

4.7K(R)

C
D17

A
1SS355(R)

GMUXSEL
+3V

PM_CPUPERF#

[2] PM_CPUPERF#

+3VS

R84
R353

0(R)
4.7K

A16
D13

LAN_PWRON

E5

4.7K

VGATE

[29] VGATE

B1

E13

R361

R134

MIIRXER

C8

MIIRXD0

D8

MIIRXD1

A5

MIIRXD2

B5

MIIRXD3

A4

MIICOL

B7

10
9
8
7
6

LAN_PWRON

B15

1
2
3
4
5

E9

MIIMDC

C5

R369

10K

MIIMDIO

E7

R368

10K

MIIAVDD
MIIAVSS

B9
B8

1
2
3
4
5

(MAIN)

GPIO2/THERM#

ATF_INT#

GPIO(MAIN)

GPIO3/EXTSMI#

T6

GPIO4/CLKRUN#

W1

LCDID0

GPIO5/PREQ5#

U5

LCDID1

GPIO6/PGNT5#

U4

LCDID2

C4

R123
A
D14

(AUX)

GPIO16

KBC
/geyserville

R109

4.7K

SENTEST

R399

+3VS
4.7K

ATF_INT#

R395

CPUSTP#

R141

4.7K

SMBDAT

R391

4.7K

SMBCLK

R392

4.7K

LCDID0

R133

10K

LCDID1

R385

10K

LCDID2

R386

10K

GPIO7

(AUX)

GPIO8/RING

GATE_LID_SW

R101

DPERSLP

R131

4.7K

AC_SDIN0

R124

100K

AC_SDIN1

R370

100K

4.7K

R394
A
D16

C14

+3V

GPIO9

E6

GPIO9

GPIO10/AC_SDIN3

B3

V_ADJ

R94

470

R371

10K

0.01UF

V_ADJ

+3VS

EXTSMI#
C
1N4148(R)

R117

100K

961PME#

R95

4.7K

VGATE

R100

4.7K

HWSUS_CB# R375

4.7k

LCDID0 [11]
LCDID1 [11]
LCDID2 [11]
4.7K
C
1SS355
R362
C
1SS355

A
D13

GPIO9/AC_SDIN2

4.7K(R)

3/22

C510

[11]

+3V

SCI#
4.7K

WAKE-UP

SCI# [24]
+3V

WAKE-UP [24]
D15

It will change next


verison

(AUX)

(AUX)

GMUXSEL

+3V

[2,30] VID1

VID1

ATF_INT#

F01J2E

V_ADJ [30]

(AUX)
GPIO11

F5

HWSUS_CB#

GPIO12/CPUSTP#

D4

CPUSTP#

(AUX)

HWSUS_CB# [19]

(AUX)

GPIO18/PMCLK

(AUX)

CPUSTP#

+3VS
SIS962

R549

[4,29]
1K

GPIO9

4.7K
Title

5 CPUPWRGD_NB

CPUPWRGD_NB

D CPUPWRGD

CPUPWRGD

Q45
2N7002
1

SERIRQ

LAN_PWRON

(AUX)
(AUX)

8P4R-4.7K
R364
4.7K

C518

(AUX)

GPIO15

GPIO17

10
9
8
7
6

MIICRS

T4

(AUX)
GPIO14

LPC_DRQ#

1
2
3
4

10P8R-10K

GATE_LID_SW

SB Hardware Trap
PCBEEP

C7

T8

(MAIN)
GPIO13/DPRSLPVR

3/22
PCBEEP( LPC addr mapping)

MIIRXDV

GPIO1/LDRQ1#

(MAIN)

R398

10
9
8
7
6

8
7
6
5

GPIO pins
NEED NOT to place
close to 96X

(MAIN)

FOR C4

+3VS

LPC_AD1
LPC_AD3
LPC_AD2
LPC_AD0

10P8R-10K

V2

(MAIN)

OSCI
ENTEST
SPK

A14
B14
D14

1
2
3
4
5

10
9
8
7
6

0.1UF

C167
0.1UF

+3V

RP18
1
2
3
4
5

GPIO0

(MAIN)
[4] REFCLK1

NEED NOT to place


close to 96X

RP19

RTC

0.1UF

[4,7,24]

4.7K

RN11

RTCVDD

[26] AC_SDIN0
[20,26] AC_SDIN1

R102

LPC

OSC32KHI

20PF

CPU_S

OSC25MHI
OSC25MHO

R132

Y7
32.768KHZ

C179

INIT#
A20M#
SMI#
INTR
NMI
IGNNE#
FERR#
STPCLK#
CPUSLP#

LPC_AD0
LPC_AD1
LPC_AD2
LPC_AD3

C178

T18
P16
R17
R16
Y20
U18
T17
W20
V19

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

3/22
4

CLEVO
CO.

961A-2 (Misc Signals)

Size

Document Number

Date:

, 21, 2004

Rev
1.0

71-D4000-D06
Sheet
5

15

of

35

BGA2C

1394_MA2/EESK
1394_MA1/EEDI
1394_MA0/EEDO
1394_EECS

[21] PHY_LPS
[21] PHY_REQ
[21] PHY_LKON
[21] PHY_D0
[21] PHY_D1
[21] PHY_D2
[21] PHY_D3
[21] PHY_D4
[21] PHY_D5
[21] PHY_D6
[21] PHY_D7
[21] PHY_CTL0
[21] PHY_CTL1
[21] PHY_CLK

PHY_LPS
PHY_REQ
PHY_LKON
PHY_D0
PHY_D1
PHY_D2
PHY_D3
PHY_D4
PHY_D5
PHY_D6
PHY_D7
PHY_CTL0
PHY_CTL1
PHY_CLK

F20
D20
E20
C20

EESK(GPI21)
EEDI(GPI22)
EEDO(GPI23)
EECS(GPI24)

A20
A19
C19
A12
B12
C12
D12
E12
A13
B13
C13
D11
C11
E11

LPS
LREQ
LINKON
D0
D1
D2
D3
D4
D5
D6
D7
CTL0
CTL1
SCLK

USBCLK48M

V4

UV0+
UV0UV1+
UV1UV2+
UV2UV3+
UV3UV4+
UV4UV5+
UV5-

B18
C18
D18
D19
E14
D15
E18
F18
E16
E15
G18
G19

OC0#
OC1#
OC2#
OC4#
OC3#
OC5#

G20
G17
J16
H17
H16
G16

UCLK48M

UCLK48M [4]

UV0+
UV0UV1+
UV1UV2+
UV2UV3+
UV3UV4+
UV4UV5+
UV5-

UV0+
UV0UV1+
UV1UV2+
UV2-

[17]
[17]
[17]
[17]
[17]
[17]

OC0#
OC1#
OC2#
R78
R347
R85

A10

IPB_OUT1

B10

IPB_OUT0

A11

NC

E10

NC

D9

NC

B11

NC

C9

NC

C10

D10

USBVSS
USBVSS
USBVSS
USBVSS

B17
E19
B19
F19

22

-DATA3

UV3+

R70

22

+DATA3

C465

C466

R239

R240

22P

22P

15K

15K

L115
1

FCM2012V121(R)
C478

C484

C479

C480

0.1UF

0.1UF

1UF

10UF/10V

+DATA3 [17]

+3VS

UV4-

R68

22

-DATA4

UV4+

R69

22

+DATA4

-DATA4 [17]
+DATA4 [17]

+3V
C468

C467

R222

R221

22P

22P

15K

15K

Place these components


near to SiS96X
OSC12MHI

OSC12MHI

B16

OSC12MHO

A17

USBREF

F16

USBREF

USBPVDD

A18

USBPVDD

OSC12MHO
R89

UV5-

R72

22

-DATA5

UV5+

R73

22

+DATA5

10M

C464

C463

R401

R402

22P

22P

15K

15K

-DATA5 [17]
+DATA5 [17]

Y6 12MHz

NC
USBPVSS

C15

USBPVSS

IVDD_AUX
IVDD_AUX

C16
C17

IVDD_AUX

C131

C135

15PF

20PF

Place these components


near to SiS96X

NC
L117
1

+3VS

+3V

FCM2012V121

USBREFAVDD

-DATA3 [17]

OC0# [17]
OC1# [17]
OC2# [17]

10K
10K
10K

FCM2012V121
D16
F17
D17
E17

R71

Place these components


near to SiS96X

L116

USBVDD
USBVDD
USBVDD
USBVDD

UV3-

B20

USBREFAVDD

L29

12 mil
1

FCM2012V121(R)

SIS962

C134

C130

0.1UF

0.1UF

C496
10UF/10V(R)

C697

+3VS

10UF/10V

JA3
1

U6
1394_EECS
1394_MA2/EESK
1394_MA1/EEDI
1394_MA0/EEDO

SHORT-A

+3VS

R113

1
2
3
4

47K

CS
SK
DI
DO

VCC
NC
NC
GND

8
7
6
5

T
T

C145
0.1UF

9346

L118
1

+1.8V

IVDD_AUX

L30

20 mil
1

USBREF R88

412_1%

FCM2012V121
D

C133

C132

0.1UF

0.1UF
JA1

L28 1

USBPVDD

+3VS

L90

20 mil
1

4.7uH_SMD_30%
C140

FCM2012V121
2

+3V

FCM2012V121(R)

C486

C498

C497

C485

0.1UF

10UF/10V

0.1UF

0.1UF

10UF/10V

USBPVSS

SHORT-A

C503
10UF/10V(R)
JA4
1
2

C698

10UF/10V

SHORT-A
Title

CLEVO
CO.
961A-3(USB)

Size

Document Number

Date:

, 21, 2004

Rev
1.0

71-D4000-D06
1

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

Sheet
5

16

of

35

+5V

16

OC0#

OC0#

R6

560K

R7

470K
1

+5VS

16

16

-DATA3
+DATA3

-DATA3

L101
1

C309

C308

0.1UF

10U

1.1A

FCM2012V121
F1AJ3

C10

10UF/10V

L102
1

0.1UF

1
2
3
4

FCM1608K121

CCD CON

NEAR CCD CON.


L1191

C1

JCCD1

FCM1608K121

+DATA3

F1

L7

D30

USBVCC0

16

UV0-

16

UV0+

UV0-

R54

UV0+

R53

C101

C100

22P(R)

22P(R)

-DATA0
+DATA0
R204
15K(R)

R217
15K(R)

C264

C259

100PF(R)

100PF(R)
JUSB2
USB-PLUG

4
3
PLW3216S161SQ2
L51 1

4/02

L108
1

C689

0.1UF

10U

USB2+

OC1#

OC1#

R206

560K

R205

470K

+5VS

JCR1
2
2

FCM1608K121

USBVCC1 A

F3

1
2
3
4

FCM1608K121

L109
1

16

L42

D31
C

4
3
PLW3216S161SQ2

FCM2012V121

1.1A

4
3
PLW3216S161SQ2
L50 1
2

F1AJ3

C258

V+_OUT1
DATA_L1
DATA_H1
GND
V+_OUT2
DATA_L2
DATA_H2
GND

PLEASE CLOSE USB PORT

C241
0.1UF

9
10

USB2-

C688

Place these components


near to SiS96X

GND
GND

+5V

5
6
7
8
1
2
3
4

10UF/10V

Card Reader CON

NEAR CRD CON.

16

UV1-

16

UV1+

UV1-

R322

UV1+

R323

C429

C430

22P(R)

22P(R)

-DATA1
+DATA1
R216

15K(R)

R203

C263

15K(R)

100PF(R)100PF(R)

C257

RFVCC
PLEASE CLOSE USB PORT

C11

0.1UF

16

-DATA4

16

+DATA4

-DATA4

L103
1

100U/10V
JWL1

1
2
3
4
5

FCM1608K121
+DATA4

L104
1

FCM1608K121
NEAR CCD CON.
WLAN_DET#

24 WLAN_DET#

Place these components


near to SiS96X

C12

16

OC2#

WIRELESS_CON

R419

560K

OC2#

R418

470K

+5VS

R514

F2

1.1A

10K

L32

D32

USBVCC2

FCM2012V121

C564

F1AJ3

C205

10UF/10V
0.1UF

UV2+

UV2-

R93

-DATA2

UV2+

R99

+DATA2

L34 1

4
3
PLW3216S161SQ2

BTVCC

1
2
3
4
5
6
7
8
9
10
11
12

C554
+
0.1UF
C200
100U/10V

JBT1
BT CON

-DATA5

+DATA5

-DATA5 16

FCM1608K121
L106
1

C499

C504

R433

R438

22P(R)

22P(R)

15K(R)

15K(R)

V+

DATA_L

DATA_H

GND

USB
5

L105
1

+DATA5 16
BT_DETACT#

FCM1608K121

GND2

16

UV2-

JUSB1
1

GND1

16

0(R)
0(R)

R518
R519

USB2USB2+

+3V

Place these components


near to SiS96X
24

R237
A

10K
C548 C547
47PF 47PF

+3V
Title

NEAR CON

CLEVO
CO.
USB PORT

Size

Document Number

Date:

, 21, 2004

Rev
1.0

71-D4000-D05
5

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

Sheet
1

17

of

35

+1.8VS

+3VS

VTT

+1.8V

10UF/10V 10UF/10V

C490

C505

C508

C469

C147

C482

C476

C501

C477

C127

0.1UF

0.1UF

0.1UF

1UF

1UF

0.1UF

0.1UF

0.1UF

0.1UF

10UF/10V

C512

C511

C351

C406

0.1UF

1UF

0.1UF

1UF

Put under 96X solder side

+3VS
+3VS

+1.8VS

C679 0.1UF

+3V

VTT

VTT
+3VS

+3VS

C680 0.1UF

+2.5V

C517
0.1UF

C516
0.1UF

C492
0.1UF

C493
0.1UF

+1.8V

C475
0.1UF

C525
0.1UF

C524

C507

0.1UF

0.1UF

C506

C481
0.1UF

0.1UF

C491
0.1UF

+3V

+1.8V
C495
0.1UF

C509
0.1UF

C483

C502

0.1UF

0.1UF

BGA2D

+1.8VS

C494

+3V

0.1UF

C527
C520
10UF/10V

0.1UF

C528
0.1UF

G15
J15
J17
L15
L17
N15
P17
K15
G6
H15
L6
M15
R6
R10
R14

VDDZ
VDDZ
VDDZ
VDDZ
VDDZ
VDDZ
VDDZ
PVDDZ
IVDD
IVDD
IVDD
IVDD
IVDD
IVDD
IVDD

P15
R15

VTT
VTT

H6
K6
M6
P6
R7
R9
R11
R13

OVDD
OVDD
OVDD
OVDD
OVDD
OVDD
OVDD
OVDD

J6
N6
R8
R12

PVDD
PVDD
PVDD
PVDD

F9
F12

IVDD_AUX
IVDD_AUX

F7
F10
F11
F14
F15

OVDD_AUX
OVDD_AUX
OVDD_AUX
OVDD_AUX
OVDD_AUX

F8
F13

PVDD_AUX
PVDD_AUX

962 -4

C489

Power

C526

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

H8
H9
H10
H11
H12
H13
J8
J9
J10
J11
J12
K8
K9
K10
K11
L8
L9
L10
L11
M8
M9
M10
M11
N8
N9
N10
N11
N12
N13

VSSZ
VSSZ
VSSZ
VSSZ
VSSZ
VSSZ
VSSZ
VSSZ
VSSZ
VSSZ

J13
J19
K12
K13
L12
L13
L19
M12
M13
P19

SIS962
RTCVDD
C

D27

+3V
C

A
1SS355

MMBT3906

Q22

R403

100

R405

20K

BATOK [15]

C551

1UF

10UF/10V

D26
1SS355

R406

J1

BAT

10K

C Q5

C553
10UF/10V

Decoupling Capacitor

R404
B

C195
0.01UF

JOPEN

10K

R408

C194
1UF

R407
51K

C552

Place close to 96X

1K

E MMBT3904
JBAT1
2
1

2
1

please put J5 on
component
side

CON2

Title

CLEVO
CO.

961A-4 (POWER & RTC)

Size

Document Number

Date:

, 21, 2004

Rev
1.0

71-D4000-D06
1

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

Sheet
5

18

of

35

+3V
B_VCC

C618

C645

0.1UF

0.1UF

C627

C619

C608

C602

C601

C644

C617

C632

0.1UF

0.1UF

0.1UF

0.1UF

0.1UF

0.1UF

0.1UF

0.1UF 10UF/10V10UF/10V

C595

C646

+3V

[13,20,25]

C/BE#[0..3]

C/BE#[0..3]

R473

+3V

[13,24,25] PRST#
C

C620

15P(R)

R483

0(R)

+3V

AD31
AD30
AD29
AD28
AD27
AD26
AD25
AD24
AD23
AD22
AD21
AD20
AD19
AD18
AD17
AD16
AD15
AD14
AD13
AD12
AD11
AD10
AD9
AD8
AD7
AD6
AD5
AD4
AD3
AD2
AD1
AD0

C/BE#3
C/BE#2
C/BE#1
C/BE#0

12
27
37
48

C/BE3#
C/BE2#
C/BE1#
C/BE0#

66
20
28
29
31
32
33
34
35
36
1
2
21

GRST#
PRST#
FRAME#
IRDY#
TRDY#
DEVSEL#
STOP#
PERR#
SERR#
PAR
REQ#
GNT#
PCLK

59
70

RI_OUT#/PME#
SUSPEND#

GRST#
R472

FRAME#
IRDY#
TRDY#
DEVSEL#
STOP#
PERR#
SERR#
PAR
REQ0#
GNT0#
PCICLKPCM

[13,20,25] FRAME#
[13,20,25] IRDY#
[13,20,25] TRDY#
[13,25] DEVSEL#
[13,25] STOP#
[25] PERR#
[13,25] SERR#
[13,25] PAR
[13] REQ0#
[13] GNT0#
75(R)[4] PCICLKPCM

PME#

R470

10K(R)
AD23R491

[13,25] AD23
[13] INTC#

3
4
5
7
8
9
10
11
15
16
17
19
23
24
25
26
38
39
40
41
43
45
46
47
49
51
52
53
54
55
56
57

PRST#

HWSUS_CB# [22,23,24,25]
R469
33 PME#

[15] HWSUS_CB#

AD31
AD30
AD29
AD28
AD27
AD26
AD25
AD24
AD23
AD22
AD21
AD20
AD19
AD18
AD17
AD16
AD15
AD14
AD13
AD12
AD11
AD10
AD9
AD8
AD7
AD6
AD5
AD4
AD3
AD2
AD1
AD0

INTC#

R475

100

13

IDSEL

ZVSEL0#
PC_RING#
SERIRQ
ZVSEL1#
LED_SKT

60
61
64
65
67
68
69

MF0/INTA#
MF1/ZVSEL0#
MF2/PC_RING#
MF3/SERIRQ
MF4/ZVSEL1#
MF5/LED_SKT
MF6/CLKRUN#

SPKROUT

62

SPKROUT

[15,22,24] SERIRQ

[29] SPKROUT

R471

VCCI

63

138
122
102
86
50
30
14
VCC
VCC
VCC
VCC
VCC
VCC
VCC

44
18
VCCP
VCCP

126
90
VCCCB
VCCCB

D0/CAD27
D1/CAD29
D2/CRSVD
D3/CAD0
D4/CAD1
D5/CAD3
D6/CAD5
D7/CAD7
D8/CAD28
D9/CAD30
D10/CAD31
D11/CAD2
D12/CAD4
D13/CAD6
D14/CRSVD
D15/CAD8

139
141
143
76
79
81
83
85
140
142
144
77
80
82
84
87

B_CD0
B_CD1
B_CD2
B_CD3
B_CD4
B_CD5
B_CD6
B_CD7
B_CD8
B_CD9
B_CD10
B_CD11
B_CD12
B_CD13
B_CD14
B_CD15

A0/CAD26
A1/CAD25
A2/CAD24
A3/CAD23
A4/CAD22
A5/CAD21
A6/CAD20
A7/CAD18
A8/CC/BE1#
A9/CAD14
A10/CAD9
A11/CAD12
A12/CC/BE2#
A13/CPAR
A14/CPERR#
A15/CIRDY#
A16/CCLK
A17/CAD16
A18/CRSVD
A19/CBLOCK#
A20/CSTOP#
A21/CDEVSEL#
A22/CTRDY#
A23/CFRAME#
A24/CAD17
A25/CAD19

129
128
127
124
121
120
118
115
99
97
89
95
112
101
104
110
108
98
100
103
105
107
109
111
113
116

B_CA0
B_CA1
B_CA2
B_CA3
B_CA4
B_CA5
B_CA6
B_CA7
B_CA8
B_CA9
B_CA10
B_CA11
B_CA12
B_CA13
B_CA14
B_CA15
B_CA16
B_CA17
B_CA18
B_CA19
B_CA20
B_CA21
B_CA22
B_CA23
B_CA24
B_CA25

INPACK#/CREQ#
IORD#/CAD13
IOWR#/CAD15
OE#/CAD11
WE#/CGNT#
WP(IOIS16#)/CCLKRUN#
WAIT#/CSERR#
REG#/CC/BE3#
READY(IREQ#)/CINT#
RESET/CRST#

123
93
96
92
106
136
133
125
132
119

B_INPACK
B_IORD#
B_IOWR#

BVD1(STSCHG#/RI#)/CSTSCHG
BVD2(SPKR#)/CAUDIO
CD1#/CCD1#
CD2#/CCD2#
CE1#/CC/BE0#
CE2#/CAD10
VS1#/CVS1
VS2#/CVS2

135
134
75
137
88
91
131
117

B_BVD1#
B_BVD2#
B_CD1#
B_CD2#
B_CE1#
B_CE2#
B_VS1
B_VS2

ENE1410

B_VCC

R490
R

B_CA16

R489

R495
R

B_CA14 [20]

B_CA19
B_CA20
B_CA21
B_CA22
B_CA23

[20]
[20]
[20]
[20]
[20]

B_VCC

30
31
32
2
3
4
5
6
64
65
66
37
38
39
40
41

A30
A31
A32
A2
A3
A4
A5
A6
A64
A65
A66
A37
A38
A39
A40
A41

B_CA0
B_CA1
B_CA2
B_CA3
B_CA4
B_CA5
B_CA6
B_CA7
B_CA8
B_CA9
B_CA10
B_CA11
B_CA12
B_CA13
B_CA14
B_CA15
B_CA17
B_CA18
B_CA19
B_CA20
B_CA21
B_CA22
B_CA23
B_CA24
B_CA25

29
28
27
26
25
24
23
22
12
11
8
10
21
13
14
20
19
46
47
48
49
50
53
54
55
56

A29
A28
A27
A26
A25
A24
A23
A22
A12
A11
A8
A10
A21
A13
A14
A20
A19
A46
A47
A48
A49
A50
A53
A54
A55
A56

B_INPACK
B_IORD#
B_IOWR#
B_OE#
B_WE#
B_WP#
B_WAIT#
B_REG#
B_RDYBY#
B_RESET

60
44
45
9
15
33
59
61
16
58

A60
A44
A45
A9
A15
A33
A59
A61
A16
A58

B_BVD1#
B_BVD2#
B_CD1#
B_CD2#
B_CE1#
B_CE2#
B_VS1
B_VS2

63
62
36
67
7
42
43
57

A63
A62
A36
A67
A7
A42
A43
A57

47

R547

B_INPACK [20]

43K

B_OE#

B_WE#
B_WP#
B_WAIT#
B_REG#
B_RDYBY#
B_RESET

B_WP# [20]
B_WAIT# [20]
B_RDYBY# [20]
B_RESET [20]

3/18

B_BVD1# [20]
B_BVD2# [20]
B_CD1# [20]
B_CD2# [20]

VCC
VCC

B_VCC

17
51
C625
0.1UF
B_VPP

VPP1
VPP1

18
52
C626
0.1UF

NC
NC

72
71

GND
GND
GND
GND

1
34
35
68

PCMCIA CON 68P

B_VS1 [20]
B_VS2 [20]

PCI1410

6
22
42
58
78
94
114
130

+3V

U32

B_CD0
B_CD1
B_CD2
B_CD3
B_CD4
B_CD5
B_CD6
B_CD7
B_CD8
B_CD9
B_CD10
B_CD11
B_CD12
B_CD13
B_CD14
B_CD15

GND
GND
GND
GND
GND
GND
GND
GND

VCCD1#
VCCD0#

VPPD1
VPPD0

74
73

AD[0..31]

72
71

VPPD0
VPPD1
VCCD0#
VCCD1#

[20] VPPD0
[20] VPPD1
[20] VCCD0#
[20] VCCD1#

[13,20,25] AD[0..31]

JPCM1

10K
R465

R474 R466

47K

47K

47K

R464 R537
47K

10K

CLEVO
CO.

Title

PERR#
LED_SKT
PC_RING#
ZVSEL0#
ZVSEL1#

PCMCIA ENE 1410

Size

Document Number

Date:

, 21, 2004

Rev
1.0

71-D4000-D06
2

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

Sheet

19
8

of

35

+5V

+3VS

U17
9

12V
BVCC
BVCC
BVCC

C588
0.1UF

11
12
13

+5V

C597

T
T

C590

C591

R423

0.1UF

4.7U(0805)

8.2K(R)

T
T

VDD3
5
6

+3V

C598

5V
5V

BVPP

10

B_VPP
C589

4.7U(0805)

B_VCC

0.1UF

3
4
C600

C599

4.7U(0805)

0.1UF

3.3V
3.3V

R187

10K

VCCD0#
VCCD1#
VPPD0
VPPD1
OC#
GND

16

[15,26] AC_SDOUT
[15,26] AC_RST#

0.1UF

+3V

AC_SDOUT
AC_RST# R437

1
2
15
14

VCCD0#
VCCD1#
VPPD0
VPPD1

8
7

+3V
0
C567

0.1UF

C568
0.1UF

1
3
5
7
9
11
13
15
17
19
21
23
25
27
29

+5VS

C563 0.1UF

JMDC1
+12V

MONO_OUT
AUDIO_PD
GND
MONO_PHONE
AUXR
R_D
AUXL
GND
CDGND
VCC
CD_R
R_D
CD_L
R_D
GND
P_DN
3.3V
VCC
GND
GND
3.3V
SYNC
SDATA_O
SDATA_INB
RESET#
SDATA_INA
GND
GND
MCLK
BCLK

2
4
6
8
10
12
14
16
18
20
22
24
26
28
30

PHONE

PHONE [26]

R431

10K(R)
T
T

AC_SYNC
AC_SDIN1

AC_SYNC [15,26]
AC_SDIN1 [15,26]

R432

AC_BCLK

AC_BCLK [15,26]

MDC CON

VCCD0# [19]
VCCD1# [19]
VPPD0 [19]
VPPD1 [19]

SHDN#
TPS2211

R496

B_VCC

43K

B_WP# [19]

Debug Port

RN17
1
2
3
4

B_WP#

8
7
6
5

B_RDYBY#
B_WAIT#
B_BVD2#
B_BVD1#

B_RDYBY# [19]
B_WAIT# [19]
B_BVD2# [19]
B_BVD1# [19]

[13,19,25] AD[0..31]
P1
AD0
AD2
AD4
AD6
AD8
AD10
AD12
AD14

8P4R-43K
RP78

B_INPACK
B_RESET
B_CA22
B_CA23

[19] B_INPACK
[19] B_RESET
[19] B_CA22
[19] B_CA23

1
2
3
4
5

1
2
3
4
5

10
9
8
7
6

10
9
8
7
6

B_CA14
B_CA19
B_CA20
B_CA21

B_CA14
B_CA19
B_CA20
B_CA21

[13,19,25] C/BE#[0..3]

[19]
[19]
[19]
[19]

C/BE#0
C/BE#1
C/BE#2
C/BE#3

10P8R-43K

+3V

RN16
1
2
3
4

8P4R-43K

T
T

B_CD1#
B_CD2#
B_VS2
B_VS1

8
7
6
5

B_CD1# [19]
B_CD2# [19]
B_VS2 [19]
B_VS1 [19]

+5V

1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31

L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L

U
U
U
U
U
U
U
U
U
U
U
U
U
U
U
U

2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32

AD1
AD3
AD5
AD7
AD9
AD11
AD13
AD15

PCLK_80P
PCIRST#
FRAME#
IRDY#
TRDY#

PCLK_80P [4]
PCIRST#[9,10,13,14,22,24]
FRAME# [13,19,25]
IRDY# [13,19,25]
TRDY# [13,19,25]

T
+5V

DEBUG-P80

C594

0.1UF(R)

C662
0.1UF(R)

Title

CLEVO
CO.

PCM PWR & BLUETOOTH INTERFACE

Size

Document Number

Date:

, 21, 2004

Rev
1.0

71-D4000-D06
5

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

Sheet
1

20

of

35

+3V
PHY3V
L95

BLM21P221SGPTM00-03
C573

C582

C583

C586

C580

C585

C572

0.1UF

0.1UF

0.1UF

4.7U(0805)

3/18

R170

6.34K_1%

R176

1M

1K
T

4.7K

CTL0
CTL1
PHYCLK/49.152M
LREQ
C/LKON
LPS
NC

60
59
Y1
2

24.576MHz
C577
10PF

XO
XI

17
18
63
64

FILTER1
FILTER0
PGND
PGND

C211

40
41

56
PVDD

30
31
42
51
52

10K
55
0.1UF(K%) 54

U31
R422
1K(R)
R439
4.7K
R440
1K
C566
0.1UF

PHYISO#
PHYCPS

ISO#
CPS
CNA

23
24
3

PWRDN
RESET#

14
53

Z241

TESTM
SE
SM

27
28
29

Z218
Z219

R172
R177

1K
1K

PC0
PC1
PC2

20
21
22

Z220
Z221
Z222

R171
R173
R174

4.7K(R)
R
R

R166

220

Z1062

PHYCNA
C213

VDD5
PHY3V

R428
0.1UF

220
C

R167
R178

57
58

R435

4
5
2
1
19
15
16

AGND
AGND
AGND
AGND
AGND
AGND

R427

PHY3V

33

DATA0
DATA1
DATA2
DATA3
DATA4
DATA5
DATA6
DATA7

Z240

TPB1TPB1+
TPA1TPA1+
TPBIAS1

34
35
36
37
38

TPB2TPB2+
TPA2TPA2+
TPBIAS2

43
44
45
46
47

PHY3V

PHY3V

J2

220
220

L40
1

TPB1TPB1+
TPA1TPA1+
TPBIAS1
Z223
Z224
Z225
Z226
Z227

R459
R460

. .

PHY_CTL0
PHY_CTL1
PHY_CLK R429
PHY_REQ
PHY_LKON R436
PHY_LPS

16 PHY_CTL0
16 PHY_CTL1
16
PHY_CLK
16
PHY_REQ
16 PHY_LKON
16
PHY_LPS

6
7
8
9
10
11
12
13

32
33
39
48
49
50

PHY_D0
PHY_D1
PHY_D2
PHY_D3
PHY_D4
PHY_D5
PHY_D6
PHY_D7

DGND
DGND
DGND
DGND

16
16
16
16
16
16
16
16

PHY_D0
PHY_D1
PHY_D2
PHY_D3
PHY_D4
PHY_D5
PHY_D6
PHY_D7

AVDD
AVDD
AVDD
AVDD
AVDD

DVDD
DVDD
DVDD
DVDD

25
26
61
62

Z216

3
R458

1K
1K

R457

56.2_1%

R456

TSB41AB1
C593
1UF

C578
10PF

R455

56.2_1%
56.2_1%

T
T
T

1
2
3
4

7
6

. .

PHY3V

R0
R1

C581

0.01UF 0.01UF 0.01UF 0.1UF

TPBTPB+
TPATPA+

GND
GND

6
5

FRC-1394

1394_CON

56.2_1%

R463
4.7K

C592
220P

PHY_REQ
R520

C690

1M

100P

Title

CLEVO
CO.

1394 PHY TSB41LV01

Size

Document Number

Date:

, 21, 2004

Rev
1.0

71-D4000-D06
5

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

Sheet
1

21

of

35

A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
A13
A14
A15
A16

BIOSCS#
MEMR#
SA18
WBIOS#

22
24
1
31

CE
OE
VPP
PGM

+5VS

U20
1

IN

MEMW#

IN

GND

VCC

OUT

R227

1K

TC7S32F

O0
O1
O2
O3
O4
O5
O6
O7

SD0
SD1
SD2
SD3
SD4
SD5
SD6
SD7

13
14
15
17
18
19
20
21

+3VS

U8
+5VS

VCC

32

A17

30

GND

16

[15,24] LPC_AD[0..3]

LPC_AD[0..3]
LPC_AD0
LPC_AD1
LPC_AD2
LPC_AD3

C270
0.1UF

MX29F004

+3VS

[15,19,24]

SERIRQ

[4] SIO48M
[14] DISKCHG#
[14] HDSEL#
[14] RDATA#
[14] WRPRT#
[14] TRK0#
[14] WGATE#
[14] WDATA#
[14] STEP#
[14] DIR#
[14] DRV0#
[14] MTR0#
[14] INDEX#
[14] 3MODE#

FIRVCC
L41

C237
4.7U(0805)

4
3
2
1

4
3
2
1

FCM2012V121

RN7
8P4R-18

RN8
8P4R-18
FIRGND

RXD

IRMODE

FIR_SEL

IRTX

R504

2.2K

MD1

R505

2.2K

VCC

NC

AGNDD

GNDPAD

C677

0.1UF

C238

0.047U

C239

10UF/10V

IRTX
IRRX
IRMODE
R393 T

3
2
1
100
99
98
97
96

SD0
SD1
SD2
SD3
SD4
SD5
SD6
SD7

4
5
73
71
72

MEMW#
MEMR#

FIRGND

70
69
68
67
66

95
94
93
92
91
90
87
86
85
84
83
82
81
80
79
78
77
76
75
74

JA2
1

IRTX
IRRX1
XA0/GPIO20
IRRX2_IRSL0
XA1/GPIO21
IRSL1
XA2/GPIO22
IRSL3/PWUREQ#
XA3/GPIO23
XA4/GPIO24/XSTB0#
XA5/XSTB1#/XCNF2
XD0/GPIO00/JOYABTN1
XA6/GPIO26/PRIQA/XSTB2#
XD1/GPIO01/JOYBBTN1
XA7/GPIO27/PIRQB
XD2/GPIO02/JOYAY
XA8/GPIO30/PIRQC
XD3/GPIO03/JOYBY
XA9/GPIO31/MTR1#/PIRQD
XD4/GPIO04/JOYBX
XA10/GPIO32/XIORD#/MDRX
XD5/GPIO05/JOYAX
XA11/GPIO33/XIOWR#/MDTX
XD6/GPIO06/JOYBBTN0
XA12/GPIO10/JOYABTN1/RI2#
XD7/GPIO07/JOYABTN0
XA13/GPIO11/JOYBBTN1/DTR2#_BOUT2
XA14/GPIO12/JOYAY/CTS2#
XWR#/XCNF1
XA15/GPIO13/JOYBY/SOUT2
XRD#/GPIO34/WDO#
XA16/GPIO14/JOYBX/RTS2#
XIOWR#/XCS1#/MTR1#/DRATE0
XA17/GPIO15/JOYAX/SIN2
XIORD#/GPIO37/IRSL2/DR1#
XA18/GPIO16/JOYBBTN0/DSR2#
XCS0#/DR1#/XDRY/GPIO25
XA19/DCD2#/JOYABTN0/GPIO17

SA0
SA1
SA2
SA3
SA4
SA5
SA6
SA7
SA8
SA9
SA10
SA11
SA12
SA13
SA14
SA15
SA16
SA17
SA18

HSDL-3602
6

4.7K

DCDA#
DSRA#
SINA
RTSA#
SOUTA
CTSA#
DTRA
RIA#

DSKCHG#
HDSEL#
RDATA#
WP#
TRK0#
WGATE#
WDATA#
SETP#
DIR#
DR0#
MTR0#
INDEX#
DENSEL
DRATE0/IRSL2

FIRGND
MDO

TXD

GND

11
R507

LEAD

IRRX

55
56
57
58
59
60
61
62

CLKIN

21
22
23
24
25
26
27
28
29
30
31
32
33
34

C240
1000P

U35

DCD1#
DSR1#
SIN1
RTS1#/TEST
SOUT1/XCNF0
CTS1#
DTR1#_BOUT1/BADDR
RI1#

20

47K

10

4.7K(R)

PSLCT
PPE
PBUSY
PACK#
PSLIN#
PINIT#
PPERR#
PATFD#
PSTB#

DISKCHG#
HDSEL#
RDATA#
WRPRT#
TRK0#
WGATE#
WDATA#
STEP#
DIR#
DRV0#
MTR0#
INDEX#
3MODE#

PD[0..7]

35
36
37
40
41
47
49
51
53
54

SIO48M

5
6
7
8

R506
5
6
7
8

R503

0.1UF

PNF/XRDY
SLCT/WGATE#
PE/WDATA#
BUSY_WAIT#/MTR1#
ACK#/DR1#
SLIN#_ASTRB#/STEP#
INIT#/DIR#
ERR#/HDSEL#
AFD#_DSTRB#/DENSEL
STB#_WRITE#

BID0
SERIRQ
FLASH#

LCLK
LRESET#
LFRAME#
LDRQ#
LPCPD#
CLKRUN#/GPIO36
SERIRQ
SMI#/GPIO35

47K

C529

0.1UF

PD0
PD1
PD2
PD3
PD4
PD5
PD6
PD7

8
9
12
11
7
6
10
19

R381

C539

0.1UF

52
50
48
46
45
44
43
42

LAD0
LAD1
LAD2
LAD3

PCICLKIO
PCIRST#
LPC_FRAME#
LPC_DRQ#

[4] PCICLKIO
[9,10,13,14,20,24]
PCIRST#
[15,24] LPC_FRAME#
[15] LPC_DRQ#

C530

PD0/INDEX#
PD1/TRK0#
PD2/WP#
PD3/RDATA#
PD4/DSKCHG#
PD5/MSEN0
PD6/DRATE0
PD7/MSEN1

15
16
17
18

SA17

C269
0.1UF

+3VS

14
39
63
88

12
11
10
9
8
7
6
5
27
26
23
25
4
28
29
3
2

FLASH#

U1

SA0
SA1
SA2
SA3
SA4
SA5
SA6
SA7
SA8
SA9
SA10
SA11
SA12
SA13
SA14
SA15
SA16

+3VS

R228
10K

VDD
VDD
VDD
VDD

PC87393

R400

4.7K

PD[0..7] [23]

+3VS

PSLCT [23]
PPE [23]
PBUSY [23]
PACK# [23]
PSLIN# [23]
PINIT# [23]
PPERR# [23]
PATFD# [23]
PSTB# [23]
DCDA# [23]
DSRA# [23]
SINA [23]
RTSA# [23]
SOUTA [23]
CTSA# [23]
DTRA [23]
RIA# [23]

0(R)

PME# [19,23,24,25]

TBID1

BIOSCS#
2

FIRGND

VSS
VSS
VSS
VSS

SHORT-A

13
38
64
89

PC87393
FIRVCC

+5VS
RP58

SA7
SA6
SA13
SA8

1
2
3
4
5

1
2
3
4
5

10
9
8
7
6

10
9
8
7
6

PCICLKIO

SA9

SA2
SA3
SA4

+3VS
+3VS

R382

+3VS

10(R)

10P8R-8.2K
RP57
SA16
SA15
SA14
SA18

1
2
3
4
5

1
2
3
4
5

10
9
8
7
6

10
9
8
7
6

SA17
SA10
SA11
SA12

8
7
6
5

RN13 8P4R-10K
INDEX#
1
TRK0#
2
RDATA#
3
DISKCHG#
4

1
2
3
4
5

1
2
3
4
5

10
9
8
7
6

10
9
8
7
6

SA5
SA0
SA1
SD3

8
7
6
5

RN12 8P4R-10K
BIOSCS#
1
MEMR#
2
3MODE#
3
WRPRT#
4

8
7
6
5

RN14 8P4R-47K
CTSA#
1
SINA
2
DSRA#
3
DCDA#
4

10P8R-8.2K
R380
R378
R379

R383
10(R)

10K

SOUTA

DTRA

RP59
SD0
SD1
SD2
SD4

R372

10K(R)

R127

R377
10K(R)

C521
10PF(R)

BID0
BID1

10K

XBUS RESET CONFIGURATION

10P8R-8.2K
1

MEMW# R373

8.2K
8.2K
8.2K

SD5
SD6
SD7

R122

PLACE NEAR PC87393


10K(R)

R374
10K

R376
10K

BASE ADDRESS CONFIGURATION


DTRA# PULL-UP :4E
DTRA# PULL-DOWN :2E (DEFAULT)

RTSA#

R128

4.7K(R)
Title

CLEVO
CO.
SIO PC87393

Size

Document Number

Date:

, 21, 2004

Rev
1.0

71-D4000-D06
A

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

Sheet
E

22

of

35

VDD5

VDD3
C260
0.1UF

28

C1+

24

C1-

C247

0.1UF(K%)

VCC

26

R223
100K
V+

27

V-

PME#

C246

C268
1
C267

0.1UF(K%)

VDD5
+5V

14
13
12

T1IN
T2IN
T3IN

COM1RI
DSRA#
RIA#
CTSA#
SINA
DCDA#

20
19
18
17
16
15

R2OUTB
R1OUT
R2OUT
R3OUT
R4OUT
R5OUT

R225

100K

R226

100K(R)

T1OUT
T2OUT
T3OUT
R1IN
R2IN
R3IN
R4IN
R5IN

23

FORCEON

22

/FORCEOFF

21

/INVALID

GND

1
2
3
4

9
10
11

12
8
7
6
5

100K

5
9
4
8
3
7
2
6
1

FCA3216K4-121

4
5
6
7
8

1SS355
R224

RN10

SOUTA
RTSA#
DTRA

13
RN9
1
2
3
4

25

COM1_DB9

8
7
6
5
FCA3216K4-121

4
3
2
1

[22] DSRA#
[22] RIA#
[22] CTSA#
[22] SINA
[22] DCDA#

C2-

4
3
2
1

[22] SOUTA
[22] RTSA#
[22] DTRA

0.1UF(K%)
JCOM1

0.1UF(K%)

Q1
S 2N7002

D24

COM1RI

C2+

PME# [19,22,24,25]

CP2
8P4C-120P

5
6
7
8

CP3
8P4C-120P

+5V

5
6
7
8

U19
MAX3243

RP2

PSTB#
PATFD#
PD0
PD1

1
2
3
4
5

1
2
3
4
5

10
9
8
7
6

10
9
8
7
6

PSLIN#
PD3
PD2
PINIT#

10P8R-4.7K
R2

+5V

PD[0..7]
PD1
PD2
PD3
PD4
PD5
PD6
PD7

PINIT#

RN3
4
3
2
1
4
3
2
1

FCA3216K4-221
5
6
7
8
5
6
7
8

RN2

FCA3216K4-221

RP1

PACK#
PBUSY
PPE
PSLCT

1
2
3
4
5

1
2
3
4
5

10
9
8
7
6

10
9
8
7
6

PD7
PD6
PD5
PD4
C

10P8R-4.7K
5
6
7
8

[22] PINIT#

PPERR#

5
6
7
8

[22] PD[0..7]

2K

CP6
8P4C-180P

CP5
8P4C-180P

JPRT1

4
3
2
1

4
3
2
1

1
2
3
4

4
3
2
1

29

CP4
8P4C-180P

[22] PPERR#
[22] PSLIN#

PACK#
PBUSY
PPE
PSLCT
PSTB#
PATFD#
PPERR#
PSLIN#

8
7
6
5

PD0
[22] PACK#
[22] PBUSY
[22] PPE
[22] PSLCT
[22] PSTB#
[22] PATFD#

RN1
4
3
2
1
4
3
2
1

FCA3216K4-221
5
6
7
8
5
6
7
8

RN4

FCA3216K4-221

C2
180P

5
6
7
8

CP1
8P4C-180P

1
14
2
15
3
16
4
17
5
18
6
19
7
20
8
21
9
22
10
23
11
24
12
25
13

28
R1

FCM1608K221
PRT_PORT

CLEVO
CO.

Title

LPT / COM PORT

Size

Document Number

Date:

, 21, 2004

Rev
0.0

71-D4000-D06
1

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

Sheet

23

of
8

35

3VH8
VDD3

3VH8

1
5
3

10
9
8
7
6

10
9
8
7
6

KB-SI4
KB-SI5
KB-SI6
KB-SI7

R175
10K

47P

47P

LEDPWR
PME#

LEDPWR

33

33
R434
R159
R425
R426
R415
R416

R155

BATVOLT

100

BATTEMP
R414
R413

GND
33
33

H8_BATVOLT

TP_CLK
TP_DATA
PS2_CLK1
PS2_DATA1
PS2_CLK2
PS2_DATA2

4.7K
4.7K
4.7K
4.7K
4.7K
4.7K

C206
1UF

MD0

MD1

I_CHG
BRIGADJ

15

WAKE-UP

P50/TxD0
P51/RxD0

CURSEN
INCURSEN

38
39
40
41
42
43

P70/AN0
P71/AN1
P72/AN2
P73/AN3
P74/AN4
P75/AN5

I_CHG
BRIGADJ

44
45

P76/AN6/DA0
P77/AN7/DA1

WAKE-UP

93
94
95
96
97
98

P80/HA0/PME#
P81//CS2#/GA20
P82/CLKRUN#/HIFSD
P83/LPCPD#
P84/IRQ3#/TxD1
P85/IRQ4#/RxD1

99
51

P86/IRQ5#/SCK1/SCL1
P42/SCK2/SDA1

82
83
84
85
86
87
88
89

P30/D8/HDB0/LAD0
P31/D9/HDB1/LAD1
P32/D10/HDB2/LAD2
P33/D11/HDB3/LAD3
P34/D12/HDB4/LFRAME#
P35/D13/HDB5/LRESET#
P36/D14/HDB6/LCLK
P37/D15/HDB7/SERIRQ

48
47

PA0/A16/CIN8/KIN8#
PA1/A17/CIN9/KIN9#

31
30
21
20
11
10

PA2/PS2AC/TPADCLK
PA3/PS2AD/TPADDATA
PA4/PS2BC/MCLK
PA5/PS2BD/MDATA
PA6/PS2CC/KBCLK
PA7/PS2CD/KBDATA

H8_BATVOLT
10K
10K

CURSEN
INCURSEN

33
11

14
13

T
R449

VDD3

GND

10K

28 SUSC#
ACIN_LED

3VH8

33

R180
R181
R179

10K
10K
10K

BATTEMP

C203

EXTSMI#

SCI#
WAKE-UP

VDD3

CURSEN

3VH8
C204
1UF

RN15
8
7
6
5

WEB0#
WEB1#
WEB2#
LPCDETECT

1
2
3
4

15
29

8P4R-10K

3VH8

R452
R421
R451
R420

4,7,15 SMBCLK
4,7,15 SMBDAT

1UF

33

0(R)
0(R)
10K
10K

LPC_AD0
LPC_AD1
LPC_AD2
LPC_AD3
LPC_FRAME#

15,22 LPC_AD0
15,22 LPC_AD1
15,22 LPC_AD2
15,22 LPC_AD3
15,22 LPC_FRAME#
9,10,13,14,20,22 PCIRST#
4
PCICLKH8
15,19,22 SERIRQ
13,19,25 PRST#
PWRBTN# A
PWRBTN#
PWROK D19
PWROK
R412

R447

SERIRQ
R446

0(R)

C
1SS355
10K

3VH8

3VH8

PS2_CLK2
PS2_DATA2
PS2_CLK1
PS2_DATA1
R163
10K

VCC

GND

RESET#

WDI

1SS355
A

TP_CLK
TP_DATA

WATCH-DOG

H8_RESET#
R161

D41
A

164_RESET#
U38

AUXOK
FAN2_SEN
FAN3_SEN

LID_SW#
VDD3

+5V

R531
R532
R533
C
A
D37
1SS355
R534
100K

BATTEMP R538

0
2K
2K

T
T
T

4
3
2
1
15
14
13
12

R160

D0
D1
D2
D3
D4
D5
D6
D7

Y
W

5
6

G
A
B
C

7
11
10
9

R82

J80DEBUG1
1
2
3
4
5

R83

4.7K(R) 4.7K(R)
80DATA
80CLK
LPCDETECT

29

WEB0#
WEB1#
WEB2#
LPCDETECT
BT_DETACT#
WLAN_DET#
SW_ON

17 BT_DETACT#
17 WLAN_DET#
27
SW_ON
FAN_SEN R515
FAN_SEN

R542
R543
R544

2K

1K
1K
1K

4
3
2
1
15
14
13
12

D0
D1
D2
D3
D4
D5
D6
D7

C699C700C701

KB-S015
KB-S014
KB-S013
KB-S012
KB-S011
KB-S010
KB-S09
KB-S08
KB-S07
KB-S06
KB-S05
KB-S04
KB-S03
KB-S02
KB-S01
KB-S00

RESET#

H8_SMDATA 3,33
H8_SMCLK 3,33

49
50

LEDDATA
LEDCLK

P43/HIRQ11/HSYNCI
P44/HIRQ1/HSYNCO
P45/HIRQ12/CSYNCI
P46/PWX0
P47/PWX1

52
53
54
55
56

80DATA
80CLK
WATCH-DOG
FAN1-ON
FAN2-ON

PB0/D0/HIRQ3/LSMI#
PB1/D1/HIRQ4/LSCI
PB2/D2/CS3#
PB3/D3/CS4#
PB4/D4
PB5/D5
PB6/D6
PB7/D7

91
90
81
80
69
68
58
57

EXTSMI#
SCI#
DD_ON
H8BEEP
CHAGEN
BTPWR_EN

LEDDATA 11
LEDCLK 11

FAN1-ON 29
FAN2-ON

EXTSMI# 15
SCI#
15
DD_ON 32
H8BEEP 29
CHAGEN 33

R424

0(R)

WLPWR_EN
R530

STBY#

XTAL

BTPWR_EN 27

AUXOK 9,15,28
WLPWR_EN 27
EXT_GPI2

EXTAL

C694
0.1UF

C579

Y2

22P

PCICLKH8
C576

R448

22P

10(R)

H8S/2149 LPC

3VH8
VCC
Y
W

5
6

G
A
B
C

7
11
10
9

74HC151

C584
10PF(R)

16

EXT_GPI
D

KB-CTL0
KB-CTL1
KB-CTL2
C555
Title
0.1UF

CLEVO
CO.
LPC H8

Size

Document Number

Date:

, 21, 2004

0.1UF(R) 0.1UF(R) 0.1UF(R)


2

SUSB#
13,28
ACIN
11,32
PWRSW# 32

P40/TxD2/IRTxD
P41/RxD2/IRRxD

NMI

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
SFW-24S

SUSB#
25
ACIN
24
PWRSW#
23
KB-CTL0
22
KB-CTL1
19
KB-CTL2
18
EXT_GPI
17
R158
22
16
22
12 R168

3.3K(R)

KB-S00
KB-S01
KB-S02
KB-SI0
KB-SI1
KB-SI2
KB-S03
KB-SI3
KB-S04
KB-S05
KB-SI4
KB-SI5
KB-S06
KB-SI6
KB-SI7
KB-S07
KB-S08
KB-S09
KB-S010
KB-S011
KB-S012
KB-S013
KB-S014
KB-S015

10MHZ

KB-CTL0
KB-CTL1
KB-CTL2

GND

R516

85205-5(R)

60
61
62
63
64
65
66
67
72
73
74
75
76
77
78
79

R441
1M

U10

WEB0#
WEB1#
WEB2#

P27/SCAN15
P26/SCAN14
P25/SCAN13
P24/SCAN12
P23/SCAN11
P22/SCAN10
P21/SCAN9
P20/SCAN8
P17/SCAN7
P16/SCAN6
P15/SCAN5
P14/SCAN4
P13/SCAN3
P12/SCAN2
P11/SCAN1
P10/SCAN0

74HC151

27
27
27

KB-SI7
KB-SI6
KB-SI5
KB-SI4
KB-SI3
KB-SI2
KB-SI1
KB-SI0

EXT_GPI2

GND

R81
0(R)

10K

3VH8

16

VCC

35
34
33
32
29
28
27
26

9,15,28

10K

3VH8

F01J2E

R513
0(R)

C209
1UF

RESET_OUT#

H8_RESET# 11

MAX823S_SOT23

VDD5

100

H8_RESET#

VSS
VSS
VSS
VSS

3VH8

D20

MR#

C202
10UF/10V

JKB1

P67/SENSE7
P66/SENSE6
P65/SENSE5
P64/SENSE4
P63/SENSE3
P62/SENSE2
P61/SENSE1
P60/SENSE0

P90/IRQ2#
P91/IRQ1#
P92/IRQ0#
P93/RD#/IOR#
P94/HWR#/IOW#
P95/AS#/IOS#/CS1#
P96
P97/WAIT#/SDA0
P52/SCK0/SCL0

15
70
71
92

3
C

27
27

R162
150K

U11

C561
0.1UF

19,22,23,25 PME#

+5VS

C562
0.1UF
U30

10P8R-10K

C256 C254 C253 C252


47P

0.1UF
C569
0.1UF

R169
10K

MS_K/B
47P

C571
0.1UF

FCM2012V121
FCM2012V121

1
2
3
4
5

36

PS2_DATA1 L4
PS2_CLK1 L5

1
2
3
4
5

4.7U(0805)

AVSS

FCM2012V121
FCM2012V121

RP39
KB-SI3
KB-SI2
KB-SI1
KB-SI0

3VH8

C212

9
59

PS2_CLK2 L1
PS2_DATA2 L3

JPS1
4
6
2
7

C570
0.1UF

F1AJ3
ADD 1/11

0(0805)
C575

HF50ACB321611T
C9
0.1UF

R509

3VH8

0.1UF

37

C255

AVref

L2
C

AVCC

D38
A

VDD5

46

+5VS

1.1A
2

0(0805)(R)

VCL
VCC

F4
1

R508

VCCB

Rev
1.0

71-D4000-D06
3

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

Sheet
5

24

of

35

VCTRL
Q2

LANVDD3

LANVDD3

LANVCC25

2SB1198K
C70

C72
C431
0.1UF

C432
0.1UF

C404
0.1UF

C384
0.1UF

C403
0.1UF

C71
10UF/10V
10UF/10V

0.1UF

*For RTL8100C application, all bead must be rated


300mA/100ohm@100Mhz
The maximum voltage drop when on should be less than 0.3V

DE-COUPLE CAPS PLEASE CLOSE CHIP POWER PIN

LANVDD3
C362

C64

L16

C63

FCM2012V121

LANVCC25

C62
0.1UF

LANVCC25

0.1UF

T
T
T

LANVDD3

0.1UF
T
T
T

0.1UF

D12

VDD3

VDD3

C
LANVDD3

69

58

76
AVDD25

AVDD25

97
39
90
34
22
6
VDD
VDD
VDD
VDD
VDD
VDD

96
51
40
35
7
VDD25
VDD25
VDD25
VDD25
VDD25

70

75

59
AVDD

AVDD25

AUX

49
48
47
46

VCTRL

55

RTL8100BL

FCM2012V121

C356
C88

C89

0.1UF

10UF/10V 0.1UF

0.1UF

VCTRL

JLAN1
CN1

H0009

T
T
T
T

RXIN+

RXIN-

2
3

TXD-

CLK

32
21
11
98

LWAKE
ISOLATEB
PMEB

83

64
74
57

DEVSELB
FRAMEB
GNTB
REQB
IDSEL
INTAB
IRDYB
TRDYB
PAR
PERRB
SERRB
STOPB
RSTB

RXIN-

67

TXD-

71

TXD+

72

X1

61

X2

60

RTT3
RTSET

63
65

R14
51

RX-

RDC

RXC

RD-

15

TD-

FRC-1394

TXC

TDC

11

TX+

TD+

10

R15
51

R255
75
C15
0.1UF

R12
75

R215
75

TX+

TX-

RX+

N/C

N/C

RX-

N/C

GND

11

N/C

GND

12

7
6
5

R214
75

R13

0(R)

PJS-28VL3

C16

LANVDD3

1000P/2KV
C14
0.1UF(R)
Y4

25MHz

for EMI supression

R29
RTL8100BL
5.6K

C53
27P

C54
27P

C/BE#0
C/BE#1
C/BE#2
C/BE#3

14

TX-

RING
TIP

16

10
9

4
5
12
13

RXIN+

68

L8 1

RD+

RX+

NC
NC
NC
NC

54
53
52
78

MDC 2P

. .

1
2

R291
51

FCM1608K121 RING
FCM1608K121 TIP

L49
L48

. .

R290
51

TXD+

EMI supression can be


changed to 33 ohm

R30

15K(R)

C402
A

C
F01J2E

T
T

C354

NC
NC
NC
NC

15
12
84
85
99
81
13
14
20
18
19
17
82

R314
10(R)

+3V

8
7
6
5

U21

GND
GND
GND
GND
GND
GND
GND
GND
GND

R315

[4] PCICLKLAN

VCC
NC
NC
GND

9346

0.1UF

2
16
31
43
56
62
66
73
88

R324
100
R307
0
IRDY#
TRDY#
PAR
PERR#
SERR#
STOP#
PRST#

CS
SK
DI
DO

C355

CBE0B
CBE1B
CBE2B
CBE3B

DEVSEL#
FRAME#
GNT2#
REQ2#

1
2
3
4

L23

D11

U24
EECS
MA2/EESK
MA1/EEDI
MA0/EEDO

50

EECS
MA2/EESK
MA1/EEDI
MA0/EEDO

[13,19] DEVSEL#
[13,19,20] FRAME#
[13] GNT2#
[13] REQ2#
[13,19] AD21
[13] INTD#
[13,19,20] IRDY#
[13,19,20] TRDY#
[13,19] PAR
[19] PERR#
[13,19] SERR#
[13,19] STOP#
[13,19,24] PRST#

LANVDD3

R308
5.6K

T
T
T
T

AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31

AVDD

AD0
45
AD1
44
AD2
42
AD3
41
AD4
38
AD5
37
AD6
36
AD7
33
AD8
30
AD9
29
AD10 28
AD11 27
AD12 26
AD13 25
AD14 24
AD15 23
AD16 10
AD17
9
AD18
8
AD19
5
AD20
4
AD21
3
AD22
1
AD23 100
AD24 95
AD25 94
AD26 93
AD27 92
AD28 91
AD29 89
AD30 87
AD31 86

AVDD

AD[0..31]

LED0
LED1
LED2

[13,19,20] AD[0..31]

80
79
77

F01J2E
U26

PME#

10P(R)

PME#[19,22,23,24]

R27
1K

[13,19,20] C/BE#[0..3]

C/BE#[0..3]

Title

+3VS

CLEVO
CO.
LAN 8100BL

Size

Document Number

Date:

, 21, 2004

Rev
1.0

71-D4000-D06
5

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

Sheet
1

25

of

35

L96

For some crystal,

FCM1608K-121

+3VS

VDDA
U18 78L05(SO8)(R)

the bias resistor is needed

C635 0.1UF

1UF
C634
C647 0.1UF
C648 0.1UF
C630
R494 R484
4.7K 4.7K
0.01UF

AGND

C624
0.01UF
C629
0.01UF

AGND

AGND

CDGND

[14] CDGND

C609

0.01UF 0.01UF

C611
C605
0.01UF 0.01UF

R492

R488

C628

43
AVDD

4.7U(0805)

0.1UF(R)
A

25
38

C664

0.1UF

C643 1UF
LINE-OUTL
LINE-OUTR
MONO-OUT

35
36
37

VREF
VREFOUT

27
28

AFILT1
AFILT2

29
30

VRAD
VRDA
CAP1
NC

31
32
33
34

ID1#
ID0#
SPDIFO
HP-OUT-L
AVSS
HP-OUT-R
JD

46
45
48
39
40
41
47

PC-BEEP
PHONE
AUX-L
AUX-R
VIDEO-L
VIDEO-R
CD-L
CD-R
MIC1
MIC2
LINE-L
LINE-R

C607

C665

LOUT-L

1UF

LOUT-R

L99
C639 10UF/10V

Vrefout

Vrefout [27]

C641 1000P
C649

1000P

+5VS

FCM2012V121
C663

C678

10UF/10V

10UF/10V

L97
BK3216HS800
C661 0.1UF

C653 0.47U

T
T

C636
C631

C651

1UF

1UF

C650
1UF

AGND

AGND

SPDIF [27]

1UF
1UF

AC_SDIN0 R478

10K

AC_SDIN1 R430

10K

AC_BCLK

10K(R)

4.7K(R)

AMP_MUTE

AGND

C652

AGND AGND

SPDIF

R485

ALC201A

AGND

C640 0.1UF
T

AVSS

4.7K
4.7K

12
13
14
15
16
17
18
20
21
22
23
24

C642

12V
C672

U33

44

AGND

CD-L R487
CD-R R493
MIC1

C606 1UF
C604 1UF
C612 1UF
C610 1UF
C623 0.1UF
C621 0.1UF
C622 1UF
C633 1UF

AVSS
NC

10K
PHONE

[20] PHONE

[14] CD-L
[14] CD-R
[27] MIC1

47

AGND

RESET#
BIT-CLK
SYNC
SDATA-OUT
SDATA-IN

CD-GND

R477

11
6
10
5
8

47

26
42

LBP

XTL-IN
XTL-OUT

VSS
VSS

AGND

[29] LBP

AC_RST#
AC_BCLK R481
AC_SYNC
AC_SDOUT
AC_SDIN0 R480

[15,20] AC_RST#
[15,20] AC_BCLK
[15,20] AC_SYNC
[15,20] AC_SDOUT
10K[15] AC_SDIN0

R476

2
3

22P

19

22P

4
7

C615

VDD
VDD

24.576MHz
C614

AVDD
AVDD

1
9

2
3
6
7
4

10UF/10V

Y8

IN

GND
GND1
GND2
GND3
T

0.1UF
0(R)

10UF/10V 0.1UF

OUT

C638

AGND

1M(R)
R482

C616

AGND

C613

VDDA

R486
C637

[15,20] AC_SDIN1

AGND

R479

For headphone application,

1UF

AGND

U34

LOUT-L C667 0.22U

R502

10K

L_LINE IN

L_HP IN

C668 0.22U

L_OUT+

[27] L_OUT+

C666
5P

R501
15K

R_LINE IN

21

R_HP IN

20

R498

L110
L112

1
2

FCM1608K-121
FCM1608K-121

L_OUT-

CON2

R_OUT+

AGND
3

L_OUT+

R_OUT+

22

10

L_OUT-

R_OUT-

15

R_BYPASS

19

SE/BTL#
HP/LINE#

14
16

MUTE IN

11

NC
NC
NC

2
17
23

MUTEOUT
OPVCC
C671

C659

C658 C670

C669

FCM2012V121
4.7U(0805) 4.7U(0805) 0.1UF 0.1UF

0.1UF

L_BYPASS

8
9
7
18

SHUTDOWN
MUTE OUT
VDD
VDD

1
12
13
24

GND/HS
GND/HS
GND/HS
GND/HS

L98
+5VS

LOUT-R

C655 0.22U

R497
15K C654

AGND

JSPK1
FCM1608K-121
FCM1608K-121 1
2

L111
L113

R_OUT-

HP_SENSE[27]

D29
C

T
T
T

AMP_MUTE

ISS355
R499
1K

PWRGD

R500 C657 C660


D
1UF

0.1UF
S

Q3
2N7002
G

PWRGD [9,15,29]

R550 *0

LAN_PWRON
R551

AGND:25,26,27,28,29,30,31,32,33

OPVCC

TPA0202

CON2

HP_SENSE

10K

AGND

R_OUT+ [27]

5P

JSPK2
C

10K

C656 0.22U

3/24

AGND
AC_BCLK

C603

47P

CLEVO
CO.

Title

AUDIO CODEC ALC201A

Size

Document Number

Date:

, 21, 2004

Rev
1.0

71-D4000-D06
1

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

Sheet

26
8

of

35

VDDA

INTMIC

R192
2.2K

INTMIC

11

C225
0.1UF
C226

26

R191 L35
2.2K

10UF/10V

SPDIF

SPDIF

L39

26

Vrefout
MIC1

Vrefout

R190

2.2K(R)

MIC1

R196

1K

AGND
L38

T
T
T

5
4
3
6
2
1

JAUDIO3

T
T
T

5
4
3
6
2
1

JAUDIO2

T
T

5
4
3
6
2
1

JAUDIO1

R545
75

C234
47P

BK1608HS330

26

FCM1608K-121

FCM1608K-121

SPDIF OUT
A

MIC IN

C231
C232

C233
0.22U(R)

0.01UF

680P

AGND

26

R193

HP_SENSE

HP_SENSE

100K

R198

100K

SPEAKER OUT

+5VS

R_OUT+

26

L_OUT+

L_OUT+ C224 1

26

R_OUT+ C223 1

L36
FCM2012V121

2 MSPKR
47U/16V
2 MSPKL
47U/16V

L37
FCM2012V121
C227 C230

R194

R195

1K

1K

680P 680P
B

C229

C228 C236

680P

680P 0.1UF

+5V

L107
12V

AGND

R552

+3VS

HF50ACB321611T(R)

8
7
6
5

3
2
1

RFVCC

4800
Q46

C685
10UF/10V

47K

R553
D Q47

+3V

10K
G

WLPWR_EN

24 WLPWR_EN

14
1

C686
C704

S
2N7002

0.1UF
0.1UF

D Q48

U37A
3

S
2N7002

2
7
74(A)HC08

+5V
12V

3/18

L31

+3VS
+5VS
C148 0.1UF

+3V

JTP1

24
24

TP_DATA
TP_CLK

24
S1
+3V

C558
10UF/10V

C705
G
D Q51

5
7

S
2N7002

C559
0.1UF
0.1UF

74(A)HC08

S
2N7002

3
2

R142

10K

3/26

SW SPDT

JSW1
1
2
3
4
5
6
7
8

+3V

CON8

BTVCC

4800
Q49
D Q50

U37B
6

SW_ON

SW_ON

14
4

3
2
1

VIN

PWRS
LID_SW#
WEB0#
WEB1#
WEB2#

BTPWR_EN

24 BTPWR_EN

CON4

32
PWRS
11,24 LID_SW#
24
WEB0#
24
WEB1#
24
WEB2#

47K

10K

1
2
3
4

TP_DATA
TP_CLK

R555

HF50ACB321611T(R)
8
7
6
5

R554

T
T

14
9

U37C
D

11

10
7
74(A)HC08

OFF-BOARD CON.

T
T

14
12

CLEVO
CO.

U37D

13
7

Title

AUDIO OUT & OFF BOARD CON

74(A)HC08

Size

Document Number

Date:

, 21, 2004

Rev
1.0

71-D4000-D06
1

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

Sheet

27
8

of

35

+3V
+3V
+3V

14

U14B

3
R462

14

U14C

14
4

S3AUXSW#

SUSB#

14

74(A)HC08

Q14
S

U14D

SLP S3

74LVC14

+12VS

+12V
74LVC14

SUSB# [13,24]

5
7

7
6

PSON#

[15,29] PSON#

U15B

1K

G
8

R197

100K SI2301
C235

7
R523
100K
14

Q13

+3V

11

U15C
14
9

[6] S3AUXSW#

10

PSON

2N7002

U14F

14

74LVC14
8

PSON#

U14E

+3V

4.7UF/25V

74LVC14

PSON [33]

PSON

13

10
7

12

SUSC# [24]

SLP S5

74(A)HC08

74LVC14

AS SIS AP NOTE 002


+2.5V
+5V

Q11

+5VS

Q8
8
7
6
5

3
2
1

+12VS

R188
100K

10UF/10V
330K
Q12

PSON#

C574
C216
10UF/10V

330K

R185

10UF/10V

330K

Q10

PSON#

Q24

2N7002

PSON#

0.1UF

R165
100K

C210

100K

C217

0.1UF

G
R164

R186

C222

+12VS

C221

AO3400

3
2
1

+12VS
R189

Q23

+3VS

4800

8
7
6
5

+2.5VS

+3V

4800

0.1UF

S
2N7002

S
2N7002

H22
2
3
4
5

H20

1
6

MTH276D107

2
3
4
5

H7

MTH276D107-5

2
3
4
5

H8

MTH335D165

7
6

2
3
4
5

H17
7
6

MTH335D165

2
3
4
5

H14

MTH335D165

7
6

2
3
4
5

H9

MTH335D165

7
6

2
3
4
5

+3V

H15

MTH335D165

7
6

2
3
4
5

7
6

MTH335D165

D28
C

A
F01J2E

R468

AUXOK

1K

AUXOK [9,15,24]

+ C596
R467
H31
H29
H1
H3
H4
H10
H2
H11
C315D111 C315D111 C315D111 C315D111 C315D111 C315D111 C315D111 C315D111

H13
H6
C394D189 C307D189MSK394

H18
H21
H19
H26
H27
H28
C315D111 C315D111 C315D111 C315D111 C315D111 C315D111

22UF
100K

H12
H5
C394D189 C394D189

Title

CLEVO
CO.

SYSTEM POWER BOARD

Size

Document Number

Date:

, 21, 2004

Rev
1.0

71-D4000-D06
5

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

Sheet
1

28

of

35

L91
1
2
FCM2012V121

+5V

220U/16V_DIP
ADD 1/11

FAN_VCC

+3V

C695
NEAR JFAN1

MAIN FAN
JFAN1

A
D25
D

24

FAN1-ON

FAN1-ON R367

470

R517

CQ21

10K

C
D33

1
2
3

FAN_SEN

FAN_SEN
+3V

C1

24

C
F01J2E

R410
24.9K(R)

FI-S03P-HF

A
1SS355
C522
0.1UF

E2SC4672

R409
4.7K(R)

H_DPSLP#

H_DPSLP#

C
Q4
E
3904(R)

CPUSTP#

2ND FAN

CPUSTP#

4,15

JFAN2
C515
0.1UF(R)
24

+3V

1
2
3

FAN2_SEN

FAN2_SEN
R524

10K

C
D34

A
1SS355

FI-S03P-HF

+5V

+5V

R182
4.7K(R)

FAN2-ON R526

FAN2-ON

C
470

B
E

Q25

15

JFAN3

VGATE

VGATE

Q9
2N7002(R)
G

VR_PWRGD

30 VR_PWRGD

IN

IN

GND

R525

10K

C
D36

A
1SS355

VCC

OUT

PM_GATEM
C

TC7S32F(R)

1
2
3

FAN3_SEN

FAN3_SEN
+3V

C1

24

DDR FAN

220U/10V
C
F01J2E

A
D35
24

FAN_VCC1

5/21

U16

L114
1
2
FCM2012V121
C706

+5V

FANCON3
C692
+3V

0.1UF

2SC4672
+3VS

C693
0.1UF(R)
R183

ADD 12/4
+3V

10K
R444
U15D

24

PWROK

PWROK R154

PM_GATEM

VR_PWRGD

14
12

R454

11
13
7

+1.8VS

74(A)HC08

R442

R453

0(R)

10K_1%
R548

+5VS

R184

10K

U13

1.2K

MR#

RST-IN

PWRGD

RESET#

PWRGD 9,15,26

R445

VCC

GND

100K

C215
20K(R)

R443

C214

C702

0.1UF

2.2UF

MAX6306

10PF

56K_1%

15,28

PSON#

PSON#

C
Q7
PDC114
E

3/18
+3VS
U12
C219
H8_BEEP

+3V

1UF

PCBEEP

PCBEEP

LBP
1UF

SPKROUT

GND

RESET

PWRGD

U15A

26
24

14
1

H8BEEP

H8BEEP

C218

19

VCC

MAX809(R)_SOT-23

C220

15

H8_BEEP

2
7

SPKROUT

74(A)HC08

1UF

+3V

U14A
2

14
1

R461

1M

Title

C587
74LVC14

CLEVO
CO.

FAN CONTROLL & SPEEDSTEP

2200PF
Size

Document Number

Date:

, 21, 2004

Rev
1.0

71-D4000-D06
5

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

Sheet
1

29

of

35

PR131

29 VR_PWRGD

PC80
0.1U

SENSE2+

12

SENSE2+

BG2

25

SENSE2-

13

SENSE2-

BG3

24

SENSE3-

14

SENSE3-

SW3

23

SENSE3+

15

SENSE3+

TG3

22

16

RUN/SS

BOOST3

21

17

Ith

VID4

20

1500PF PR18

PQ62
PR10

18

VID2

VID3

19

4.64K

7.5K_1%

5
6
7
8

+12VS

1
A

+3VS

PR168

SENSE3R
SENSE3+

PRE

PR7

VRON

100K

PQ5
SI4892

4 G

+3VS

PR69
PR70
10

PR170
10

1
2
3
5
6
7
8
D

4 G

1UH

PQ37
SI4362

TEST

PQ67
2N7002

1
2
3

S
1
2
3

31 VID5
PD26
F1J3FTP

4 G

G
S

1K
2

PQ36
SI4362

PQ57
2N7002

G
S

TEST

PQ38
2N7002

31 PWRGOOD_VID

5
6
7
8

PQ39
2N7002

3/19

PQ66
2N7002

PR62
0.002

PL6
1

C
EPQ65
2N3904

1
2
3

100K

100K

PC87 1000PF
PQ10
SI4892

4 G
S

PR169
D

VID4

1
2
3

1
2
3

VIN

NOR

4.7K

PD25
F1J3FTP

PR8

1UH

PQ2
SI4362

4 G

PR163

PR164

10
2

PQ1
SI4362

10K

PRE/NOR#

PR68
PR1
0.002

PL5

PC7
220U/4V

PC86 1000PF

5
6
7
8

5
6
7
8
D

5
6
7
8

VID3

PC69
220U/4V

4 G

VID2

E DTA114EUA
C

PC71
220U/4V

INTVCC
A
RB751V

VTT
6mm

A
PQ6
SI4892

10

PR5
39.2K_1%

C
PD4

PC74
1U

4 G
S

SYS5V

PQ64

PC5
220U/4V

VRON

5
6
7
8

4 G

PR162
100K_1%

3/19

PC73
0.1U

SENSE2+

5
6
7
8
D

PQ7
SI4892

PR67

2N7002

PJ6
+

0.1U

PQ63

2N7002
R

PR161

SENSE2PC8

5
6
7
8

NOR

VOS-

D PR159 100K_1%
G

VIN

LTC3732CG

G
0

PR160

PC3
220U/4V

PRE

PC17

470PF

26

PGND

1
2
3

D
PC11

2
10U

SENSE-1

0.1UF

11

PC12

1
PC9

PR2
0.002

1
2
3

SENSE1-

V_ADJ

5
6
7
8

27

1
2
3

28

BG1

G
PQ12
2N7002

Vcc

SENSE1+

SGND

VCC_CORE

PD24
F1J3FTP

10

D
PQ13
2N7002

1UH

PQ4
SI4362

4 G

SENSE1+

PR17
PQ11
2N7002

INTVCC

PQ3
SI4362

5
6
7
8

D
4 G

29

PC144
0.1U

PC6
220U/4V

SW2

0.1U

PC72
220U/4V

EAIN

68.1K_1%

PC10

PC75
220U/4V

30

PC4
220U/4V

31

TG2

100PF

100K

PC143
0.1U

VOS+
PC70
220U/4V

BOOSt2

DIFFOUT

10

IN-

PR66

10

6
PC13

PR65
PL4

32

SW!

IN+

0.1U

10
10

PC14

33

PC142
0.1U

PC85 1000PF

34

TG1

PC141
0.1U

4 G

BOOST1

FCB

4 G

PLLFLTR

SYS5V

PC77
10U/25V

SENSE1+
PQ9
SI4892

1
2
3

PQ8
SI4892

1
2
3

2K

PR15

100K

35

PR19

A
PR16

PR22

PGOOD

RB751V RB751V RB751V


C

PLLIN

36

VID0

PR64

VOS+ PR12
VOS- PR11

15

VID1

1
2
3

1K

1000PF
PR13

PR9

PC78
10U/25V

SENSE1-

PD1

PU7
PC18

2
PD2

5
6
7
8

PD3

VID1

PC82
10U/25V

VID0

2,15

PC76
10U/25V

PC81
0.1U

30K

PC79
0.1U

PC84
10U
1

10K

PR6

PR21

+3V
PR20

VIN

INTVCC
1

FCM1608K121

PR63

SYS5V

SYS5V

PR151

100K
PJ1

2mm
PR152
Title
1M

CLEVO
CO.
VCORE

Size

Document Number

Date:

, 21, 2004

Rev
1.0

71-D4000-D06
A

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

Sheet
E

30

of

35

VIN

2 VDDP

1
PR96

SYS5V

1
PR95

2
10

2
1
PC113
1U
2
1
PC521U

PD16
RB751V

PC106
PC34
0.1uF/25V
10U_1210
1

RB751V
C

PD17

2
PC132
2
PC133

PQ21A
FDS6982
4

2.5V

13

DH1

VCCA1

25

VDDP1

VCCA2

11

TON1

23

PR93

1M

LX1

TON2

PR105

750K

DL1

DH2

20

BST2

21

ILIM2

18

REFIN

LX2

19

REFOUT

DL2

16

FBK2

12

VDDP2

17

BST1

0.1uF

26

FBK1

+1.25VS

PC26 +
*220U/4V

5mm
3

2
VDDP

PQ20B

PC111

FDS6982

1U

PU5

2
PQ52
2N7002

PR53

10K

100K

28

SUSB-

1
PR98

1 G

PC30 +
220U/4V

33

PJ2

3A

2
4.7UH

SYS5V

PQ51
2N7002

G
S

100K
PR133

1
D

+5V

PR132

14

1
2

PL1
1

7
8
EN/PSV1
AGND1

VOUT1

22

PC116
1 1000pF

FDS6982

24

10K 1%

PQ20A
2
1
PC112 0.1uF
1
2
PR97 15K 1%

PGND2

PC51
1uF

PGND1

10
2

15

1.25_VREF

PR101

SC1486

AGND2

PQ21B
FDS6982

PC50
1

2
PC31
220U/4V

2
+

PR100
16.5K 1%

PR102
9.53K 1%

2
PC107
PC33
0.1uF/25V
10U_1210
1
1

8
7

PR99
64.9K 1%
PC27+
*220U/4V

2
2

PC134
100pF

5
6

1
6mm

ILIM1

2
4.7uH

PL2

5A

+2.5V

PJ3

6
2
1
PC49
1U
1
2
PR103
20K 1%

1
1000P
1
1000P

PGOOD2

PGOOD1

6
5

27

1
PQ25
2N7002

DD_ON#

32

PC115
0.01U

SYS3V

VIN

GND

1U
10K

EN

VO

GND
SD

VO

BP

2.5V

1mm

1.8VS

PC117

3A

MIC37302(S-PAK5)
2

1U
PR153

PC118

VI

VO

3A

1
10U

PC44

33

1U

2
4mm

0.01U
1U

PJ7

PC92
ON/OFF

ADJ

PR27

10K_1%

PR25
PG

+1.8VS

PU8

PC121

100mA

PR81

VIN

VCCVID

PU4
MIC5258-1.2BM5

PC109

PC20 PC22

PC91 PC21

10U

10U

PR26
200
0.1UF

10U

30 mil

PJ11

100mA

30 mil
+3VS

3VH8

PU9
MIC5245-3.3BM5

GND

SYS5V

SUSB10K
PR23

PC93

100K

0.1UF(R)

PC108

C(R)

PR28
PR50
10K

PR51

10K

+3V

SYS3V

30 mil

100mA
1

VIN

GND

SD

VO

BP

PR154

22.1K_1%

PWRGOOD_VID 30

+1.8V

PU1
MIC5245-1.8BM5

D42

VID_PWRGD

F01J2E
PR165
PC19

VCCVID

PC15

1U
PC16

0.01U
1U

Title

10K

CLEVO
CO.

2.5V , 1.25V & 1.8V

3/18
Size

Document Number

Date:

, 21, 2004

Rev
1.0

71-D4000-D06
A

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

Sheet
E

31

of

35

VL

PR108

V+

VIN1
5.1

VIN
PC56

0.1U

0.1A
PC62

10U/25V
A

PC123
0.1U

BST3

27
26

PL8
3

24

PC47
+

1
2
PC59
PR120

100P

PR56

10K_1%

1M

PQ43B
AO4900

220U/4V 220U/4V
PC130

+ PC63
PD19
RB751V
A
C

21

DH3

BST5

18

LX3

DH5

16

DL3

LX5

17

CSH3

DL5

19

150U
PC122
0.1U

PQ44A
AO4900

4
PR107

CSL3

CSH5

FB3

CSL5

13

FB5

12

15

SEQ

SYS5V

VDD5

CDRH125-100

3
0.015_2010

6mm

PC127
PQ44B
AO4900 PR112

2M

PD23 1SS355
PR59

10K

PWSEN

SKIP

3VON

28

3VON

PR110

10K

RESET

5VON

5VON

PR115

10K

SYNC

SHDN

23

GND

PGND

20

PR119
PQ47
2N7002

PR106

1K

MAX1632

PD20

VIN

C
PR111
100K
E

0.1U

100K

PR122

PC129

PC124

0.01U

0.01U

+3V

+5V

VL

PR116

VIN1

B
PQ28 100K
DTC114EUA

+2.5V

VIN1

B
PR121

1SS355
C

A
PQ30
2SB1198

PR114
10K_1%

VIN1

1SS355
C

PD21

V+

*R

VA

12V

PQ27
DTA114EUA

PC120

1mm

11

VL

PR117
R

PWRSW# 24

VDD3

150U

10U_1210

10
PR57

+ PC65

150U

+ PC64

12VOUT

PC61
1U_0805
PR58

10K_1%

PC60

VL

PR113

100P
47P

PJ8

PR55
30K_1%

PC128

12VR

PR118
3

PJ5

4A

VDD
REF

1:2.2
14

PL9
PR130

47P

3/18

5
6

PU6
VL

CDRH-1205-100

PD22

8
7

0.015_2010

0.1U

10U/16V

11FS2

V+

25

10U/25V

PC119
0.1U

PR109

4A
PC45
+

6
5
PR86

PJ4

6mm

PC53
0.1U

PQ43A
AO4900
4

SYS3V

PC54
1U/50V_0805

7
8

PD18
RB751V

22

12VR

PC58

PC55

PC57

VDD3

VL

100K
PR124

PR129

PR125

10(0805)

10(0805)

10(0805)

DD_ONH
2

PQ29
DTA114EUA

PC131

PR134

0.1U

1M

DD_ONH

PR126

100K G
PQ48
2N7002

DB

24

DD_ON

S
G

100K

PQ45
2N7002

G
PQ50
2N7002

TEST

DD_ON# 31

PQ31
2N7002

PR127
S

PR3
100K

DD_ONH

G
PQ33
2N7002

PQ32
2N7002

10 mil

PWSEN

100K

PR4

PWRS

27

100K

PR123

TEST

PQ58
2N7002

PQ46
2N7002

NEAR J4

12V
12V

VDD3

4A
1

+3V

PQ24
Si4800
8
7
6
5

PQ34
Si4800

VDD5

4A

3
2
1
4

PR54
100K

12V

4A

8
7
6
5

PQ59
S

+5V

PR60
100K

DD_ON#

PC66

0.1UF(0805)(R)

PQ26
2N7002

0.1UF(0805)
S

ACIN

11,24

330K

PR33
100K

VA
PR29
100K

100K

PQ35
2N7002
G

PR157
100K

DD_ON#

100K(R)
PR167

PQ60
DTC114EUA
C
B

+5V

Title

CLEVO
CO.

SYSTEM POWER

E
PC145
Size

Document Number

Date:

, 21, 2004

Rev
1.1

71-D4000-D06

3/23
B

PQ16
D 2N7002
S

PR156

0.1UF(0805)

10K

G
PR30

4A

68K
S

PR31

Si2301
D

G
3
2
1
4

PR166

PC48

VDD3

+12V

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

Sheet
E

32

of

35

PC89
10U_1210

2.5A

PC24

0.1U
A

0.1U
PQ18
DTA114EUA

PC94

PD7
1N4148

E
10U/25V_DIP

PR24

C
03A04

PC95

10U/25V_DIP

PR77
2K(0805)
PC103

PC32

PC97

0.1U(1206)

10U/25V_DIP

PQ23
2SD1782K
B

E
PC37

0.1U(0805)

PR36

PR41

1K 1%

1K 1%

0.1U(0805)

8
7
6
5

3
2
1
4PQ14
Si4435

510K
C

PD29

PR71
VA

PD12
1SS355
9.1V(LL34)

0.01U

0.1U(1206)

PR73

5.1

PR74

10K

PC99

5.1
PR76
2K(0805)

C
B

VIN

3
2
1
4PQ15
Si4435
PC96

PD28
F1J3FTP

PR34

CHAGEN

PD30

100m(2512)

PR75

PQ17
DTC114EUA

24

CDRH-1205-470

8
7
6
5

PR72
A

100K

2.5A

PL7

PC25

PQ40
Si4435
8
3
7
2
6
1
5
4

F1J3FTP

VB
VA

PD6

200K

PD11
VB

PC38

PR39

*R
C A

30K 1%

PD35

1
PU2A
LM358

PR78
RB751

E1

11

10

PF1
PC29
7A

*C

PC110
7.5K 1%

E2

13

12

C2

VCC

14

1U_0805

PU3
TL594

PR32
PC42
1U

PC28

49.9K 1%

*1U_1206

C1

GND

SYS3V

PC40
PR91

R
TL431

PC105

0.1U

0.1U

PR88

PR90

2.2K

*26.7K_1%

PR89

0.1U
SYS3V

PR52

C
PD36

PC102

PC101

SUSB-

30P

30P

31

PR94
15K

*0.1U

PC46

RB751

1
2
3
4
5
6
7

PC114

1SS355 1000P

RB751

*100K

PC43
PR87

PD32

RB751

CN5
330
330
100

BAT CON

G
PD15

PD14

RB751
PQ42
*2N7002

10K

PR49
6.8K 1%
PR46
PR47
PR158

PD13

PC41

*402K

0.1U

PR42 PD31
4.7K
RB751
A

3,24 H8_SMCLK
3,24 H8_SMDATA
24
BATTEMP

RB751

PC104

*100K 1% VREF2.5

PD9

PD10

PR92

PD8
PR48
4.7K RB751

10K

0.1U

24

I_CHG

I_CHG

100K

PR80

PC100
PR150
VREF2.5

SYS3V

RT
6

CT
5

DTC
4

1IN-

1IN+
1

*R

PR85

FBK

10K
17.4K 1%

PR45
*R

PR44
E

PR79

PR84

C
B

OUT

REF

16

PQ22
DTC114EUA

2IN-

2IN+

2K 1%

15

PR83

10K

0.1U(0805)

RB751

0.1U

SYS3V

CURSEN24

2N7002
S

C
PD34

100K 1%

PC98

PR40

VDD5

0.1U

PR43

PQ41

*1U_1206

PC36

PC35

PR35
VA 49.9K 1%

SYS3V

1N4148
VBB
PQ19
DTA114EUA
E
C

*1M

PR38

C A

*C
VBB

30K 1%

BATVOLT 24

PD37

PR143

PR37
6.8K 1%

CN2

RB751

1U_0805

PF2

1
2
3
4

100m(2512)

PR141

PR142

HCB4532K-800(1812)
PC138

PC139

PC140

PC67

0.1U(0805) 0.1U(0805) 0.1U(0805) 0.1U(0805)

PC68

PR61

PC2

PC1

0.1U(0805)

100K

1000P

0.1U(0805)

C
VIN

F1J3FTP
8
7
6
5

PL3
PR144

PD33

3
2
1
4PQ56
Si4435

PC83
PR140

10K 1%

PC126

PC125

10U/25V_DIP
510K

10K 1%

PC88

PC90

PD38
UDZ18B

PC23

0.1U

10U/25V_DIP
10U/25V_DIP
0.1U(1206) 10U/25V_DIP

ACIN CON

7A

VA

PC39

100m(2512)

SYS3V
SYS3V
10K

SUSB-

31

PR136
100K

RB751

200K

*1U_0805

PD40

PR148
PC135

RB751

TEST

7
PU2B
LM358

6
PR137
100K

40.2K 1%(R)
Title

1U_0805

TEST

E PQ55
2N3904

10K 1%

PQ61
2N7002

PR146

PR149
PC136

PR138

10K

C A

INCURSEN

INCURSEN

PSON

28

24

PQ54
2N7002

PR139
PC137
PR145
100K 1%

PD39

VA

PQ53
DTA114EUA

SUSB-

C PR135

CHAGER

100K 1%
Size

Document Number

Date:

, 21, 2004

*1U_0805

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

CLEVO
CO.
Rev
1.0

71-D4000-D06
D

Sheet
E

33

of

35

VSW2
LID_SW#_10

VCN1

VC2
0.1U
GND_L

VIN_10
PWRS_10
LID_SW#_10
WEB0#_10
WEB1#_10
WEB2#_10

VIN_10
PWRS_10
LID_SW#_10
WEB0#_10
WEB1#_10
WEB2#_10

MPU-101-81

1
2
3
4
5
6
7
8

GND_L

A
B
C
D
E
F
G
H
CON8

GND_L

Hot keys,Power
switch,key,Lid

VIN_10

VSW1

PWRS_10

VC1

HCH STS-05-A

0.1U

SWEB7

GND_L

H30
2

H23
5

1
3

C237D87N
GND_L

WEB0#_10

HCH STS-05-A

5
1

C237D87N
GND_L

SWEB5

WEB1#_10

WEB2#_10

H16
5

1
4

SWEB6

GND_L

HCH STS-05-A

HCH STS-05-A

GND_L

GND_L

4
C237D87N

GND_L

GND_L

Title

CLEVO
CO.

S/W BOARD &HOT KEY

Size

Document Number

Date:

, 21, 2004

Rev
0.1

71-D400S-D05
A

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

Sheet
E

34

of

35

VCC5_T

10MIL

VCN3

VCC5_T

1
2
3
4

TPADDATA
TPADCLK
VC3

1
2
3
4
TP_FFC4-R

0.1U

H24
2

10MIL
SW_UP
SW_DOWN
SW_L
SW_R

VC6
120P

VR5

VC5
120P

VC4
120P

plan
GND_A

1
4

C178D83N
GND_A

5
GND_A

10K

TPADDATA
TPADCLK

0(R)

H25
5

VR7

10K

10MIL
12
11
10
9
8
7
6
5
4
3
2
1

12
11
10
9
8
7
6
5
4
3
2
1

VCN2

VR6

4
C178D83N

GND_A

GND_A
VCC5_T
VCC5_T

VR1

VR3

10K

10K
SWEB4

SWEB1

SW_L

HCH STS-05-A

SW_R

HCH STS-05-A

GND_A

GND_A

VCC5_T
VCC5_T
VR2
VR4

10K

10K

SWEB2

SWEB3
2

HCH STS-05-A

SW_DOWN

SW_UP
2

HCH STS-05-A
GND_A

GND_A

Title

CLEVO
CO.
CLICK BOARD

Size

Document Number

Date:

, 21, 2004

Rev
0.1

71-D4002-D05
A

PDF created with FinePrint pdfFactory Pro trial version http://www.pdffactory.com

Sheet
E

35

of

35

Vous aimerez peut-être aussi