Vous êtes sur la page 1sur 7

OBJECT: Design and simulate basic AND GATE(Behavioral Modeling). REQUIREMENT: Modelsim PE Student Edition.

VHDL CODES:
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY AND2 IS PORT( X : IN STD_LOGIC; Y : IN STD_LOGIC; Z : OUT STD_LOGIC); END AND2; ARCHITECTURE ANDBEHAV OF AND2 IS BEGIN PROCESS (X, Y) BEGIN IF (X='1' AND Y='1') THEN Z <= '1'; ELSE Z <= '0'; END IF; END PROCESS; END ANDBEHAV;

OUTPUT WAVEFORMS:

OBJECT: Design and simulate basic OR GATE(Behavioral Modeling). REQUIREMENT: Modelsim PE Student Edition. VHDL CODES:
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY OR2 IS PORT(X : IN STD_LOGIC; Y : IN STD_LOGIC; Z : OUT STD_LOGIC); END OR2; ARCHITECTURE ORBEHAV OF OR2 IS BEGIN PROCESS (X, Y) BEGIN IF (X='0' AND Y='0') THEN Z <= '0'; ELSE Z <= '1'; END IF; END PROCESS; END ORBEHAV;

OUTPUT WAVEFORMS:

OBJECT: Design and simulate basic NOT GATE(Behavioral Modeling). REQUIREMENT: Modelsim PE Student Edition. VHDL CODES:
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY NOT2 IS PORT(X : IN STD_LOGIC; Z : OUT STD_LOGIC); END NOT2; ARCHITECTURE NOTBEHAV OF NOT2 IS BEGIN PROCESS (X) BEGIN IF (X='0') THEN Z <= '1'; ELSE Z<= '0'; END IF; END PROCESS; END NOTBEHAV;

OUTPUT WAVEFORMS:

OBJECT: Design and simulate basic NAND GATE(Behavioral Modeling). REQUIREMENT: Modelsim PE Student Edition. VHDL CODES:
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY NAND2 IS PORT(X : IN STD_LOGIC; Y : IN STD_LOGIC; Z : OUT STD_LOGIC); END NAND2; ARCHITECTURE NANDBEHAV OF NAND2 IS BEGIN PROCESS (X, Y) BEGIN IF (X='1' AND Y='1') THEN Z <= '0'; ELSE Z <= '1'; END IF; END PROCESS; END NANDBEHAV;

OUTPUT WAVEFORMS:

OBJECT: Design and simulate basic NOR GATE(Behavioral Modeling). REQUIREMENT: Modelsim PE Student Edition. VHDL CODES:
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY NOR2 IS PORT(X : IN STD_LOGIC; Y : IN STD_LOGIC; Z : OUT STD_LOGIC); END NOR2; ARCHITECTURE NORBEHAV OF NOR2 IS BEGIN PROCESS (X, Y) BEGIN IF (X='0' AND Y='0') THEN Z <= '1'; ELSE Z <= '0'; END IF; END PROCESS; END NORBEHAV;

OUTPUT WAVEFORMS:

OBJECT: Design and simulate basic XOR GATE(Behavioral Modeling). REQUIREMENT: Modelsim PE Student Edition. VHDL CODES:
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY XOR2 IS PORT (X: IN STD_LOGIC; Y: IN STD_LOGIC; Z: OUT STD_LOGIC); END XOR2; ARCHITECTURE XORBEHAV OF XOR2 IS BEGIN PROCESS (X, Y) BEGIN IF (X/=Y) THEN Z <= '1'; ELSE Z<= '0'; END IF; END PROCESS; END XORBEHAV;

OUTPUT WAVEFORMS:

OBJECT: Design and simulate basic XNOR GATE(Behavioral Modeling). REQUIREMENT: Modelsim PE Student Edition. VHDL CODES:
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY XNOR2 IS PORT (X: IN STD_LOGIC; Y: IN STD_LOGIC; Z: OUT STD_LOGIC); END XNOR2; ARCHITECTURE BEHAV2 OF XNOR2 IS BEGIN PROCESS (X, Y) BEGIN IF (X=Y) THEN Z <= '1'; ELSE Z<= '0'; END IF; END PROCESS; END BEHAV2;

OUTPUT WAVEFORMS:

Vous aimerez peut-être aussi