Académique Documents
Professionnel Documents
Culture Documents
component SRAM8x4
generic( width: integer:=4;
depth: integer:=8;
addr: integer:=3);
port (
Clock: in std_logic;
Enable: in std_logic;
Read: in std_logic;
Write: in std_logic;
Read_Addr: in std_logic_vector(addr-1 downto 0);
Write_Addr: in std_logic_vector(addr-1 downto 0);
Data_in: in std_logic_vector(width-1 downto 0);
Data_out: out std_logic_vector(width-1 downto 0) );
end component ;