Vous êtes sur la page 1sur 5

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS

FACULTAD DE INGENIERA ELECTRNICA


ESCUELA DE INGENIERA ELECTRNICA
PROBLEMAS PROPUESTOS DE
DISEO DIGITAL
LABORATORIO No1
INTRODUCCIN A LAS HERRAMIENTAS DE
SNTESIS Y USO DEL ESTILO FLUJO DE DATOS PARA
EL DISEO E IMPLEMENTACIN EN FPGA DE
CIRCUITOS COMBINACIONALES
Profesor I!"# A$fre%o Gr&!&%os L'#
UNMSM FIEE Diseo Digital
1# I!%()*e %e for+& res*+(%& ' e! re,*&%ro )*e f&+($(&s %e FPGA -(e!e e$ f&.r(,&!-e
/ILIN/ ' ALTERA#

0# 1A )*e se %e!o+(!& S's-e+ O! C2(3 4 SOC5
6# I!%()*e e! e$ s("*(e!-e $(s-&%o %e o3er&,(o!es )*e &s("!&,(o!es so! $e"&$es e ($e"&$es
7# E83$()*e )*e es *! SUBTYPE e! VHDL ' +*es-re &$"*!os e9e+3$os#
:# E83$()*e )*e *! ARRAY e! VHDL ' +*es-re &$"*!os e9e+3$os#
;# Co+3$e-&r $& s("*(e!-e -&.$&
Ing. Alfredo Granados Ly Laboratorio No1
2
UNMSM FIEE Diseo Digital
Ing. Alfredo Granados Ly Laboratorio No1
3
UNMSM FIEE Diseo Digital
Ing. Alfredo Granados Ly Laboratorio No1
4
UNMSM FIEE Diseo Digital
<#4 Se&! $&s s("*(e!-es se=&$es

Ing. Alfredo Granados Ly Laboratorio No1
5

Vous aimerez peut-être aussi