Vous êtes sur la page 1sur 19

ITESM CAMPUS CD.

JUAREZ

Lab. Diseo Electrnico.


Prctica 16
Conversin Analgica a Digital (ADC)
Karla Crdova A01241694
Laura Jquez A01241770
12/3/2013

Un convertidor anlogo a digital (A/D) es un dispositivo que convierte una cantidad fsica como
el voltaje a un nmero digital que representa la amplitud de dicha cantidad.

Objetivo
-

Investigar y comprender ADCs (Convertidores anlogos a digital) y DACs (Convertidores


digital a anlogos).
Construir un convertidor anlogo a digital.

Introduccin
Un convertidor anlogo a digital (A/D) es un dispositivo que convierte una cantidad fsica como
el voltaje a un nmero digital que representa la amplitud de dicha cantidad. Un ADC realiza
conversiones peridicas en vez de realizar una sola conversin; el resultado ser una secuencia de
dgitos que se convirtieron una seal anloga de tiempo continuo y amplitud continua a una seal
digital de tiempo discreto y amplitud discreta.
El ADC se define por su ancho de banda y la razn de ruido, como puede medir una seal relativa
al ruido que introduce. El ancho de banda actual de un ADC se caracteriza primordialmente por
su velocidad de muestreo y como maneja errores. Tambin provee una medida aislada como un
dispositivo electrnico que convierte un voltaje o corriente anloga de entrada a un nmero digital
proporcional a la magnitud del mismo.
La resolucin del convertidor la da el nmero de bits, a seal anloga de entrada es entonces ms
pequea para la cual el convertidor producir un cdigo digital. Por lo general esta se da slo con
el nmero de bits n o como un porciento del mximo. Puede ser dada por la siguiente formula:
=

El tiempo de conversin es el tiempo que se requiere para que la seal de entrada se convierta,
dicho valor da el lmite de la frecuencia mayor de la seal. Se da con la siguiente formula:
=

1
2

La linearidad es la desviacin de los cdigos de salida, esta se consigue de manera grfica, trazando
una lnea recta entre el cero y el valor de plena escala:

Figure 1 Linearidad

El convertidor A/D tambin tiene ruido, por lo cual es necesario escoger una resolucin apropiada.
En algunos convertidores, el tiempo de apertura y el tiempo de conversin es el mismo ya que este
observa la seal mientras la convierte:

Figure 2 Concepto de convertidor A/D

El interruptor no es mecnico si no se emplea un transistor de efecto campo dado que se utilizan


frecuencias altas. Se deben cumplir las siguientes caractersticas para llegar a los requerimientos
deseados:
-

Elevada resistencia de aislamiento cunado se desconectan los interruptores


Baja resistencia si interruptores estn cerrados
Elevada velocidad de conmutacin entre estados del interruptor

Los convertidores A/D funcionan con las siguientes 3 seales:


1. Seal a muestrear ()
2. Seal muestreadora
3. Seal muestreada ()

Figure 3 Seales involucradas en conversin A/D

Para poder reconstruir la seal original a partir de la muestreada, el tiempo en el que interruptor
est cerrado debe ser cero, de otra manera la seal muestreada puede variar en dicho tiempo para
hacer su cuantificacin lo ms precisa posible. Se emplea un filtro de paso bajo a partir de la
muestreada, debe tener una funcin de transferencia:

Figure 4 Filtro paso bajo en convertidor A/D

La respuesta de dicho filtro debe ser la planta hasta una frecuencia. Mediante el teorema de
muestreo se pueden transmitir varias seales por un mismo canal de comunicacin.
Funcionamiento
Los convertidores A/D tienen dos seales: + las cuales determinan el rango de la
seal de salida a partir de la de entrada. Este establece una relacin entre la entrada analgica y la
salida digital segn la resolucin, la cual se puede determinar mientras conozcamos el valor
mximo de entrada.
Ejemplo:
0V
0.02V
0.04V
1V
5V (LSB)

0000 0000
0000 0001
0000 0010
0011 0011
1111 1111

As, como mencionamos, el cdigo de salida 0000 0000 (00H) corresponde a un voltaje de 0.0V y
1111 1111 (FF) corresponde a 4.9805V.

Figure 5 Cdigo 1111 1111 (FF) correspondiente a 4.9805V

Inicio de la conversin
Una conversin inicia activando las seales CS y WR. Y al final de la conversin, el convertidor
genera una seal INTR (similar al DATA READY). Esta seal puede usarse para interrumpir al
procesador indicndole que el byte de dato est listo y que ya puede ser ledo.

Figure 6 Inicio de conversin

Habilitacin de la salida y reset de INTR


El procesador lee el byte activando la seal RD y puede iniciar con la siguiente conversin si fuera
necesaria.

Figure 7 Habilitando la salida y reset e INTR

ADC0804
Este convertidor A/D es de 8 bits y cuenta con un solo canal para entrada analgica. Con la salida
se puede mostrar 256 valores diferentes gracias a los 8 bits que maneja. EL tamao de paso que se
mencion anteriormente se puede ajustar mediante el establecimiento de la tensin de referencia
en el pin 9 para codificar cualquier rango de tensin analgica. Su tamao de paso a 5V es
19.53mV que es 5V/255, es decir, por cada aumento de 19.53mV en la entrada y la salida vara
por 1 unidad.

Figure 8 Convertidor A/D ADC0804

Si se desea establecer una referencia de 2V, el pin 9 debe ser conectado a 1V dado que es
2

lo

cual reduce el tamao de paso a 7.84mV o 255.


Generacin de la seal de reloj
El ADC0804 requiere un reloj para funcionar. El reloj puede ser externo, conectado a la terminal
CLK IN o puede ser generado por un circuito RC.
El rango de frecuencias del reloj permisibles est entre 100KHz y 1460 KHZ. Para que el tiempo
de conversin sea menor es conveniente usar la frecuencia ms alta posible
Si el reloj se genera con un circuito RC, se utilizan las terminales CLK IN y CLK R conectadas
con un circuito RC, como se muestra en la figura. La frecuencia del reloj se calcula con:

Figura 9 Esquema del reloj necesario para el ADC

De la hoja de datos podemos obtener las siguientes caractersticas:

Figure 10 Caractersticas del ADC0804

Desarrollo Experimental
1. Arme el siguiente circuito:

Figure 11 Circuito ADC para ADC0804

La seal de entrada analgica se encuentra conectada a + y la amplitud se controla con 2.


se conecta a tierra. El voltaje de referencia (pin 9 como se mencion anteriormente) que es

es suministrado por el divisor de voltaje, el cual podemos observar se conforma por las

resistencias y potencimetro 1 , 2 1 .
La frecuencia de reloj se da con 1 3 . Los pins 1 y 2 del ADC0804 se conectan a tierra, lo cual
habilita al convertidor.

Figure 12 Circuito implementado con ADC0804

2. Utilizando un multmetro, mida el voltaje en la entrada del pin 9 y ajuste VR1 hasta que el
voltaje medido alcance 2.5V.
3. Mida la entrada analgica del pin 6 y ajuste lentamente el VR2 hasta que el voltaje medido
alcance los 0V.
*Nota: Una vez medidos dichos voltajes es que se pone el ADC0804 en el rango de voltaje
analgico desde 0V a 5V.

Figure 63 Voltaje de pin 9 = 2.5V

4. Observe los estados de los LEDs.


5. Ajuste VR2 para obtener los voltajes analgicos de entrada sealados en la tabla y repita.
*Nota: Dichas medidas se pueden observar en la tabla de resultados que se muestra adelante.

Tabla de resultados
Para el llenado de esta tabla se retomaron las caractersticas del ADC0804, que incluyen una fuente nica
de 5v, rango de voltaje analgico de entrada de 0v a 5v, con una disipacin de potencia de 15 mW y 100s
de tiempo de conversin.
La resolucin del ADC0804 es de 8 bits, la entrada analgica es dividida en 28, es decir 256 rangos discretos,
por lo tanto si el voltaje de referencia es de 5v, cada rango representa:
5
= 0.01953
256
Esta relacin es la que utilizamos para calcular el valor esperado:
=

Voltaje
analgico
entrada
0.0
0.5
1.0
1.5
2.0
2.5
3.0
3.5
4.0
4.5
5.0

Rango
de Discreteo
0
25.60164
51.20328
76.80492
102.4066
128.0082
153.6098
179.2115
204.8131
230.4147
256.0164

0.01953

Salida Digital
Valor calculado
Binario
Hexadecimal

Valor Medido
Binario

Hexadecimal

0
11001
110011
1001100
1100110
10000000
10011001
10110011
11001100
11100110
11111111

00000000
00011001
00110011
01001100
01100110
10000000
10011001
10110011
11001100
11100110
11111111

0
19
33
4C
66
80
99
B3
CC
E6
FF

0
19
33
4C
66
80
99
B3
CC
E6
FF

A continuacin presentamos las imgenes de los resultados presentados en la tabla anterior.

Figure 14 Voltaje de entrada 0.0V

Figure 76 Voltaje de entrada 1.0 V

Figure 15 Voltaje de entrada 2.0V

Figure 17 Voltaje de entrada 3.5V

Actividades Complementarias
Investigue sobre las tcnicas de A/D ms utilizadas.
Los dispositivos ADC convierten un nivel de tensin analgico en una palabra digital
correspondiente. Si n es el nmero de bit obtenidos de la palabra, esto significa que habr 2n niveles
de tensin diferentes.
Todo convertidor ADC debe procurar que el conjunto de bit obtenidos a la salida sea un reflejo lo
ms exacto posible del valor analgico correspondiente. Se usan un gran nmero de mtodos para
convertir seales analgicas a la forma digital, los que ms usados son: Rampa de escalera,
aproximaciones sucesivas, paralelo (flash), doble rampa, voltaje a frecuencia, tipo serie.
a) Convertidor Anlogo - Digital De Rampa De Escalera.
Se basa en la comparacin de la seal analgica de entrada con una seal de rampa definida
con precisin.

Figura 18 Conversor de rampa escalera

Se comienza activando un pulso de inicio en la lgica de control, con esta accin el


contador se inicializar en cero, entregando en sus salidas el cdigo binario del cero digital.
La secuencia pasa directamente como entrada paralelo al DAC que responde con 0 [V] a
la salida. Esta seal es usada como entrada de referencia a un comparador, el cual compara
la magnitud de la seal analgica de entrada con el valor entregado por el conversor. Del
valor que proporcione el comparador depender que el contador contine contando o bien,
se detenga, pues si el comparador entrega un 1, entonces el reloj continuar alimentando
al comparador.
De lo contrario si entrega un 0, el contador se detendr.
La lgica del comparador es si la seal de entrada es mayor que la referencia, entonces el
comparador responder con un 1y se incrementa la cuenta en 1 digital, y as
sucesivamente, slo la cuenta se detendr cuando la respuesta del DAC sea mayor que la
entrada de la seal analgica. En este caso, el reloj se detendr y se tendr la salida digital
del valor de cuenta anterior.
b) Convertidor Anlogo - Digital Por Aproximaciones Sucesivas
Es una tcnica de conversin ms efectiva que la anterior, utilizada ampliamente debido a
su combinacin de alta resolucin y velocidad. El esquema es prcticamente el mismo,
difieren en que el contador dentro del registro no es un contador secuencial de uno en uno,
sino un contador programable que se incrementa o decrementa de acuerdo a la influencia
del bit de mayor peso SAR (Successive-approximation-register), de esta manera no es
necesario contar con 2n veces como lo haca el contador tipo rampa, ahora la cuenta mxima
solo es de n veces.

Figura 19 Conversor de aproximacin sucesiva

El SAR pone el bit MSB en 1 y todos los restantes en 0. La cantidad es tomada por el
DAC de tal manera que su equivalente analgico se compara con la seal de entrada. Si la
salida del DAC es mayor que la entrada, se elimina el 1 del bit MSB y se pone a 1 el
bit inmediatamente anterior, con todos los dems bit en 0, y as sucesivamente hasta que
se logre encontrar una secuencia anloga pero que resulta ser menor que la entrada de la
seal, cuando ocurra esto, el bit mantendr su valor y se pone a 1 el bit inmediatamente
anterior. El procedimiento anterior se repite hasta terminarse de probar 1 en cada bit del
contador. Lo anterior equivale a un tanteo digital, a medida que se avanza, el procedimiento
se va estabilizando hasta llegar un valor estable y que corresponder con el valor de la
medicin.
La figura siguiente, muestra la salida caracterstica de este tipo de conversor.

Figura 20 Conversor de aproximacin sucesiva

El ADC de aproximaciones sucesivas es de los ms utilizados, es posible encontrar


modelos capaces de suministrar 16 bits en la salida y realizar la conversin en un tiempo
de unas decenas de microsegundos. Los modelos de 12 y 8 bits, son los ms comunes y
ofrecen una elevada velocidad a un precio ajustado.
c) Convertidor Anlogo - Digital Paralelo (Flash)
Los conversores de tipo flash o conversin directa, parten de una concepcin radicalmente
opuesta: la velocidad es el objetivo bsico de esta arquitectura y el costo que se debe
pagar por ello es un circuito muy complejo aunque sencillo a nivel de concepto. Dos seales
participan en la etapa de entrada, la propia seal analgica que se debe convertir y una seal
de referencia. En la configuracin bsica, la seal analgica se aplica a las puertas no
inversoras de un cierto nmero de amplificadores operacionales que, utilizados como
comparadores, estn dispuestos en paralelo, a la entrada de un decodificador.

Figura 21 Convertidor A/D tipo Flash de 8 bits que usa 7 convertidores

A la entrada inversora de cada comparador se aplica la tensin de referencia, que a su vez


ataca una red de resistencia de valor idntico y dispuestas en serie. El resultado es la
diferencia de tensin entre dos comparadores sucesivos es de 1 LSB.
La complejidad de la arquitectura flash se deriva precisamente del elevado nmero de
comparadores necesarios a medida que aumenta el nmero de bits que se desea obtener a
la salida. El nmero de stos es 2n1, donde n es el nmero de bits de salida, no es de
extraar que los conversores de tipo flash ven limitada su resolucin por su elevada
integracin. El resultado es que no existe ningn convertidor flash que ofrezca una
resolucin de 16 bit, y que ms all no son prcticos teniendo en cuenta el tamao del chip,
el correcto funcionamiento de los comparadores e incluso el precio.
Este tipo de conversor por razn de velocidad es ampliamente usado en el campo de las
telecomunicaciones, los instrumentos de medida y, en general, el tratamiento de seales
rpidas como la de vdeo.

El resultado es que no existe ningn convertidor flash que ofrezca una resolucin de 16 bit,
y que ms all no son prcticos teniendo en cuenta el tamao del chip, el correcto
funcionamiento de los comparadores e incluso el precio. Este tipo de conversor por razn
de velocidad es ampliamente usado en el campo de las telecomunicaciones, los
instrumentos de medida y, en general, el tratamiento de seales rpidas como la de vdeo.

La arquitectura ms extendida entre los ADC es la basada en el mtodo de las aproximaciones


sucesivas. Su xito se fundamenta en conseguir tanto una resolucin como una velocidad aceptable
para una gran variedad de aplicaciones. Normalmente se trata de redes resistivas conectadas a los
bits de entrada, con cada valor de resistencia ajustado al valor del bit de entrada, como estructura
bsica.
Defina la resolucin de un convertidor A/D.
La palabra resolucin en el rea de convertidores, expresa las siguientes dos ideas:
1. Numero de bits de codificacin:
Es el parmetro que caracteriza a un convertidor, porque permite conocer el nmero
mximo de palabras diferentes que puede proporcionar a la salida digital. Para
conocerla, nos auxiliamos de la siguiente expresin:
2
donde:
a= nmeros de bits
Por ejemplo para un convertidor de 4 Bits en la salida a = 4 y sern 16 diferentes
palabras incluyendo el cero.

Por lo tanto es el nmero de bits que tiene la palabra de salida del convertidor, y
por tanto es el nmero de pasos que admite el convertidor. As un convertidor de 8
bits slo podr dar a la salida 28 = 256 valores posibles.
2. Razn de cambio de voltaje
Se define como la diferencia en voltios que se produce a la salida del convertidor
para un cambio sucesivo de su valor binario. Es decir es la razn de cambio del
valor en el voltaje de entrada, Vent que se requiere para cambiar en 1LSB a la
salida digital. Esto es cuando se conoce el valor de Vin, a escala completa.
El voltaje de entrada a escala completa Vin, es proporcionado por el fabricante en
sus hojas de especificaciones, por lo tanto el Vin es el valor mximo presente en la
entrada anloga, para proporcionar 1 lgicos en todas las salidas de Bit digitales.
Para determinar el valor de resolucin que un convertidor anlogo-digital ofrece, se consulta la
siguiente expresin:
=

2 1

Para facilitar la palabra digital en forma decimal que entrega el convertido, despejamos la
expresin anterior, obteniendo que:
=

donde:
Vin: voltaje anlogo presente en el instante
D: valor decimal de la salida

Si aumentamos el nmero de bits del convertidor A/D, qu ventajas y desventajas se


obtienen?
A mayor nmero de bits, la resolucin es ms alta, por ende significa la capacidad de un
convertidor de aportar mayor precisin, ste concepto hace referencia a la comparacin
entre la salida real de un ADC y la salida esperada, expresada como un porcentaje del
voltaje mximo de salida. Idealmente la precisin debera ser como mucho 0.5 bit LSB
(bit menos significativo).
Esto es muy importante debido a que el objetivo bsico de un ADC es transformar una
seal elctrica anloga en un nmero digital equivalente, esta funcin exige que los pasos
intermedios se realicen de forma ptima para no perder informacin. Segn el tipo de

componente y su aplicacin existen distintos parmetros que lo caracterizan, stos pueden


ser: la velocidad de conversin, la resolucin, los rangos de entrada, etc.
Pero en la caracterstica de la resolucin, una mayor cantidad de bit, implica mayor
precisin, pero tambin mayor complejidad. Un incremento en un solo bit permite disponer
del doble de precisin (mayor resolucin), pero hace ms difcil el diseo del circuito,
adems, la conversin podra volverse ms lenta.

Conclusin
El convertidor A/D es el nico elemento totalmente indispensable en un sistema de adquisicin de
datos. En la actualidad los convertidores analgico/digital (ADC) y digital/analgico (DAC) se
utilizan para conectar microprocesadores con el mundo analgico. Muchos de los eventos que se
monitorean y controlan con el microprocesador, son analgicos. A menudo incluyen vigilancia de
todas las formas de acontecimientos, incluso voz, hasta el control de motores y dispositivos
similares. Para poder efectuar la interface del microprocesador con estos acontecimientos, se deben
conocer la interface y el control del ADC y el DAC que convierten datos analgicos a digitales y
viceversa.
En todo ADC el conjunto de bits obtenidos a la salida sea un reflejo lo ms exacto posible del valor
analgico correspondiente. Si el ADC, est situado a la salida de un sensor (que habitualmente
aporta una seal de amplitud dbil) es esencial que en la etapa de conversin no se genere un nivel
de ruido que impida la conversin real de la seal de entrada.
Los conversores se han enfrentado siempre a la dualidad velocidad y resolucin, las diversas
estructuras desarrolladas y disponibles comercialmente permiten adaptar un modelo para cada
aplicacin. Las configuraciones ms frecuentes, atendiendo a criterios de velocidad, son:
conversores lentos (de 1 a 100ms), que incluyen dispositivos de rampa y de escalera; los
conversores medios (de 1s a 1ms) abarcan los denominados aproximaciones sucesivas; y los
rpidos (entre 25 Mhz), flash
En resumen se trat de una prctica muy til para incorporarnos al mundo analgico- digital, ya
que las aplicaciones que tiene la implementacin de este tipo de componentes son infinitas, sobre
todo en estos tiempo donde la automatizacin de proceso requiere cada vez ms la conexin entre
lo real y lo digital, teniendo como meta buscar la ms alta fidelidad de la seal de salida,
comparada con la de la entrada.
Comentarios

Muchas gracias profesor Ral Luis Lpez por la dedicacin aportada a este curso de laboratorio
de Electrnica, por la paciencia y la excelente orientacin a un rea de nuestra carrera
importantsima. El conocimiento adquirido durante los 3 cursos de electrnica, se vieron
reforzados con este laboratorio, teniendo la oportunidad de ver ese aprendizaje de manera prctica
y clara.

Bibliografa
1. Boylestad, Robert L. (2003). Electrnica: teora de circuitos y dispositivos electrnicos traduccin,
Carlos
Mendoza
Barraza.
Mxico:
Pearson
Educacin
2.

Convertidor Anlogo Digital. Consultado el 25 de Noviembre del 2013.

http://galia.fc.uaslp.mx/~cantocar/microprocesadores/EL_Z80_PDF_S/24_ADC.PDF
3. IFEnT Convertidores anlogo digitales. Consultado el25 de Noviembre del 2013.
http://www.ifent.org/lecciones/digitales/secuenciales/Teorema_Muestreo.htm

4. Mikitronic. Consultado el 26 de Noviembre del 2013


http://mikitronic.blogspot.mx/2013/05/adc-0804-conversor-analogo-digital.html
5. Hoja de datos del ADC0804. Consultado el 26 de Noviembre del 2013.
http://www.ti.com/lit/ds/symlink/adc0801-n.pdf
6. Juan Ignacio (2012). Conversores Anlogo-Digital y Digital-Anlogo: Conceptos Bsicos.
Recuperado
el
da
28
de
Noviembre
del
2013
de:
http://quidel.inele.ufro.cl/~jhuircan/PDF_CTOSII/ad03.pdf

Vous aimerez peut-être aussi