Vous êtes sur la page 1sur 3

Architecture et modélisation de réseaux de capteurs sans fils

synchronisés et localisés à faible consommation

Thomas BELUCH
CNRS ; LAAS ; 7 avenue du colonel Roche, F-31077 Toulouse Cedex 4, France
Les réseaux de capteurs, rendus possibles dans ces dernières années, représentent
actuellement un intérêt croissant de par les évolutions restant a découvrir. Cette thèse
a consisté à concevoir des interfaces de télécommunication adaptées à des réseaux de
capteurs ne pouvant se contenter des interfaces actuellement disponibles sur le
marché. Des besoins spécifiques en terme de haut débit, de synchronisation très
précise, ainsi que de calcul du temps de trajet entre deux nœuds ont été intégrés lors
de la conception d’une couche MAC novatrice, ainsi que d’un assemblage sur
système sur puce complet quasi autonome.

I. INTRODUCTION Les applications ayant mené à ce travail de


thèse seront présentées dans une première
Les réseaux de capteurs ont connu un partie. Nous proposerons par la suite un
engouement croissant ces dernières années, système complet de nœud de capteur
avec la réduction des couts de fabrication, répondant à ces demandes. Une troisième
ainsi que de la consommation électrique des partie traitera de la couche MAC, et
circuits microélectroniques. Il devient ainsi détaillera les réponses apportées aux
possible d’intégrer dans une puce la totalité limitations exprimées. Une dernière partie
des éléments nécessaires au fonctionnement décrira le travail en cours d’assemblage du
d’un nœud de réseau sans fil, ainsi qu’un SoC.
microprocesseur et des capteurs divers. Les
contraintes techniques initiales ne II. APPLICATIONS
permettant alors que de faibles
performances, en terme de débit A. Contrôle de la santé des structures
notamment, les applications ont alors tenté
de s’adapter à la technologie disponible.
Cependant, un pan entier des applications
pouvant bénéficier de tels réseaux reste
inaccessible à cause de limitations
principalement dans les interfaces de
transmission des données.
Ce travail de thèse a consisté à travailler au
niveau de la couche de contrôle de l’accès
au médium (MAC) sur trois limitations
FIG. 1 Exemple d’utilisation d’un réseau de WSN
exprimées par l’industrie aéronautique et Ces applications de Structure Health
spatiale toulousaine, ainsi que par la Monitoring (SHM), souhaitées principalement
Délégation Générale à l’Armement. Ces par le monde de l’aéronautique et de l’espace
limitations sont donc : le débit disponible, sont actuellement tournées autour d’un objectif
la précision de synchronisation, et la principal : le contrôle en temps réel de la santé
précision de localisation des nœuds. Par la d’un élément critique pour e fonctionnement du
suite, un travail d’intégration d’un nœud de système. Par exemple, dans le cadre d’un avion,
réseau sans fil en système sur puce (SoC) un tel contrôle impliquerait des centaines de
ASIC à faible consommation est en cours. nœuds placés à des points critiques de la
structure (FIG. 1). Les contraintes en terme de
FIG. 2 Délais imputables aux différentes couches d’un réseau sans fil
quantité de prises de mesures, donc de débit, et
de précision de synchronisation des mesures A. Description générale de la solution à
n’ont pour le moment aucune solution dans le apporter
monde des réseaux de capteurs.
La solution proposée : nommée WiDeSys pour
B. Fonctionnement collaboratif Wireless Deterministic System comprend
d’équipes en environnement hostile l’utilisation de couches physiques (PHY)
pouvant fonctionner à des débits entre 1Mb/s et
Les équipes d’intervention en milieu hostile 1Gb/s selon les environnements. Nous
peuvent intervenir dans de nombreux contextes effectuerons nos essais tant avec une
dans lesquels la topologie du terrain d’action modulation OFDM ultralarge bande UWB que
n’est pas connue à l’avance, et encore moins Impulsionnelle UWB. Ces interfaces PHY
pourvue de stations relais fixes permettant la seront ensuite modifiées afin de pousser au
localisation absolue de façon garantie. De telles maximum le déterminisme des différents délais
applications incluent les opérations de intervenant dans la transmission des données
sauvetage ou d’extinction de feux dans des (FIG. 2). Cette réduction des délais variables
bâtiments clos et inconnus, ainsi que des inconnus permet de réduire l’inconnue dans le
opérations militaires en zone ennemie. Le point temps de transit des données aux conversion
commun à ces applications est l’utilité pour analogique / numérique, à la tête RF, ainsi que
chacune des personnes impliquées de connaître le temps de propagation. Les deux premiers
la position relative des coéquipiers ainsi que éléments ne possédant par essence qu’un délai
leurs données vitales (pouls, sat. O2 …). Un fixe à la gigue d’horloge près, il est ainsi
canal de communication audio sera également possible de mesurer précisément le temps de
souhaitable pour la transmission d’ordres. propagation dans l’air au décalage d’horloge
près. Cette mesure appliquée sur les canaux
montant et descendant permet de calculer l’une
et l’autre des inconnues.
III. WiDeSys, une MAC adaptée Chaque nœud sera donc constitué d’une
interface PHY adaptée aux contraintes
spécifiques à chaque application, de la couche
MAC proposée, d’un microprocesseur ARM
Cortex M0, ainsi que d’interfaces standard de
type SPI pour l’interfaçage avec les capteurs.

B. Couche MAC spécifique

Les applications citées précédemment


nécessitant toutes un déterminisme maximum
dans la transmission de données, le protocole
d’accès au médium le plus adapté à de telles
FIG. 3 Réseau interne à une escouade
demandés est le TDMA. Les slots d’émissions
sont connus à l’avance, et les délais liés au 
   
protocole sont prévisibles. La couche MAC
proposée [1] se base donc sur le TDMA, et
 
 

ajoute ou non selon les cas un protocole de


négociation des slots. La synchronisation étant 



nécessaire au bon fonctionnement du protocole   

TDMA, le système WiDeCS dédié a été conçu 

à l’intérieur même de la couche MAC. Il utilise  
la notion de maitre / esclave est ici utilisée pour  
 


la gestion du cycle TDMA, ainsi que pour la
synchronisation. Le maitre est alors considéré 

  

comme la référence en terme d’horloge. Les


moments d’émission et de réception de paquets



entre les esclaves et le maitre sont échangés,
puis les calculs de la synchronisation et du


temps de propagation [2] sont mesurés au
niveau de l’esclave (FIG. 4). La correction
appliquée au niveau de l’esclave est donc
de (1) et le temps de trajet est exprimée en (3):
(1)

FIG. 4 Capture des moments d’émission et


(2) de réception
V.
CONCLUSION
(3)
Le travail présenté ici a consisté à
développer la partie MAC d’une interface
III. Assemblage en système sur puce réseau novatrice à destination des réseaux
de capteurs haut débit, faible
Le système sur puce proposé utilise un cœur consommation, synchronisés et/ou
microprocesseur ARM Cortex M0 pour le positionnés. Le système proposé, appelé
traitement des données, la configuration de WiDeSys, dépasse l’état de l’art sur le
l’interface réseau, et la gestion des modes critère de précision de synchronisation, tout
d ‘économie d’énergie. L’interface réseau a été en assurant une consommation inférieure à
adaptée au bus système AMBA AHB-Lite, et 20 mW (bande de base numérique). Ce
possède des sorties analogiques en bande de
travail est également intégré dans un projet
base, ou en RF (selon l’intégration ou non de la
tête RF au design). Les technologies de
de plus grande ampleur visant à proposer un
réduction de la consommation électrique ont été nœud de réseau de capteur sans fil complet.
utilisées dans le design principalement afin de
couper l’alimentation des sous ensembles en L’auteur souhaite remercier la DGA pour le
dehors de leur utilisation. La tête RF est financement de ses études doctorales.
allumée seulement pendant les slots d’émission
et de réception. La couche MAC réveille le [1] Beluch et al. Cross-Layered
processeur lors de la réception d’un paquet, ou Synchronization Protocol for Wireless Sensor
lors d’évènements récurrents comme la prise de Networks. Networks (ICN), 2010 Ninth
mesure. Le système fini ainsi assemblé est en International Conference on (2010)
cous de finition et sera envoyé en fabrication en [2] Beluch et al. Reconfigurable tactical
technologie CMOS 65nm de impulse radio UWB for communication and
STMicroelectronics au deuxième semestre. La indoor Localization. Networks (ICN), 2011
dernière étape intermédiaire consistera a Tenth International Conference on (2011)
envoyer le démodulateur de réception au mois
de mars.

Vous aimerez peut-être aussi