Vous êtes sur la page 1sur 3

Apuntes de Fundamentos de Diseño Digital

GAL 22V10

1 ENTRADA 24 VCC
2 ENTRADA 23 SALIDA
3 ENTRADA 22 SALIDA
4 ENTRADA 21 SALIDA
5 ENTRADA 20 SALIDA
6 ENTRADA 19 SALIDA
7 ENTRADA 18 SALIDA
8 ENTRADA 17 SALIDA
9 ENTRADA 16 SALIDA
10 ENTRADA 15 SALIDA
11 ENTRADA 14 SALIDA
12 TIERRA 13 UNKNOWN

 Al momento de programar con VHDL es más recomendable elegir el tipo de dato


std_logic ya que en las simulaciones los valores salen con mayor precisión.
 En el programador Universal los pasos a seguir son:
o Abrir Programa
o Introducir y asegurar la GAL en el Programador Universal
o Elegir el PLD correcto
o Cargar el archivo *.jed que va a ser grabado
o Hacer un blank check
o Si blank check nos dio false
 Borrar contenido de la GAL
o Hacer el Programming
o Hacer un Verify
o Un blank check que tiene que devolvernos false
 Que en ningún momento la GAL tenga estática, siempre debe ir en su “cosa” protectora.

Hay Dos Tipos de Formas de Programar en VHDL y no pueden ser usadas al mismo tiempo en la
misma descripción de la arquitectura:

Sentencias
Secuenciales

process(v1, v2, ... , Importa el Orden


vn) de Ejecución

begin
case vk is if (condicion) then
process() begin
when {valor} => sentencias;
end process

salida <= {valor}; when others end if


elsif () then
-- Puede ir mas de salida <= {valor} -- Puede haber
sentencia;
una instrucción end case; anidamiento
Sentencias
Concurrentes
No
importa
Simples Mas Compleja Orden de
Ejecución

with var_k select


salida <= {valor} out <= {} when (), begin
salida <= {operacion
when (condicion) {} when (), sentencia1;
entre variables}
else {otro_valor} sentenciaN;
{} when others;

EJEMPLOS

Vous aimerez peut-être aussi