Vous êtes sur la page 1sur 1

LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.

all; ENTITY bascule_D IS port( clk,e:IN bit; n:OUT bit ); END bascule_D; ARCHITECTURE archi_D of basculeD IS BEGIN PROCESS BEGIN wait until clk='1'; n<=e; END PROCESS; END archi_D;

Vous aimerez peut-être aussi