Vous êtes sur la page 1sur 30

1

-By vedaprakash M S Vishwakarma

-By vedaprakash M S Vishwakarma

-By vedaprakash M S Vishwakarma

-By vedaprakash M S Vishwakarma

-By vedaprakash M S Vishwakarma

-By vedaprakash M S Vishwakarma

-By vedaprakash M S Vishwakarma

-By vedaprakash M S Vishwakarma

-By vedaprakash M S Vishwakarma

10

-By vedaprakash M S Vishwakarma

11

-By vedaprakash M S Vishwakarma

12

-By vedaprakash M S Vishwakarma

13

-By vedaprakash M S Vishwakarma

14

-By vedaprakash M S Vishwakarma

15

-By vedaprakash M S Vishwakarma

16

-By vedaprakash M S Vishwakarma

17

-By vedaprakash M S Vishwakarma

18

-By vedaprakash M S Vishwakarma

19

-By vedaprakash M S Vishwakarma

20

-By vedaprakash M S Vishwakarma

21

-By vedaprakash M S Vishwakarma

22

-By vedaprakash M S Vishwakarma

23

-By vedaprakash M S Vishwakarma

24

-By vedaprakash M S Vishwakarma

25

-By vedaprakash M S Vishwakarma

26

-By vedaprakash M S Vishwakarma

27

-By vedaprakash M S Vishwakarma

28

entity test is port ( clk : in std_logic; bcd : in std_logic_vector(3 downto 0); --BCD input segment7 : out std_logic_vector(6 downto 0) -- 7 bit decoded output. ); end test; --'a' corresponds to MSB of segment7 and g corresponds to LSB of segment7. architecture Behavioral of test is begin process (clk,bcd) BEGIN if (clk'event and clk='1') then case bcd is when "0000"=> segment7 <="0000001"; when "0001"=> segment7 <="1001111"; when "0010"=> segment7 <="0010010"; when "0011"=> segment7 <="0000110"; when "0100"=> segment7 <="1001100"; when "0101"=> segment7 <="0100100"; when "0110"=> segment7 <="0100000"; when "0111"=> segment7 <="0001111"; when "1000"=> segment7 <="0000000";

-- '0' -- '1' -- '2' -- '3' -- '4' -- '5' -- '6' -- '7' -- '8'

-By vedaprakash M S Vishwakarma

29

-By vedaprakash M S Vishwakarma

30

-By vedaprakash M S Vishwakarma

Vous aimerez peut-être aussi