Vous êtes sur la page 1sur 14

Indice

1 Convertidor Analgico Digital o 1.1 Caracter sticas de los convertidores A/D . . . . 1.2 Clasicacin de los convertidores A/D . . . . . o 1.2.1 Convertidor escalera . . . . . . . . . . . 1.2.2 Convertidor de seguimiento . . . . . . . 1.2.3 Convertidor de aproximaciones sucesivas 1.2.4 Convertidor de rampa simple . . . . . . 1.2.5 Convertidor de doble rampa . . . . . . . 1.2.6 Convertidor tensin-frecuencia . . . . . . o 1.2.7 Convertidor paralelo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 2 3 3 4 4 5 6 7 7 8 9 9 10 10 11 11

2 Funcionamiento del Convertidor de aproximaciones sucesivas 3 Convertidor Digital Anlogo a 3.1 Parmetros caracter a sticos de los convertidores 3.2 Resolucin . . . . . . . . . . . . . . . . . . . . o 3.3 Convertidor en red de resistencias ponderadas 3.4 Convertidor en red R-2R . . . . . . . . . . . . 4 Comentarios personales

D/A . . . . . . . . .

. . . .

. . . .

. . . .

. . . .

. . . .

. . . .

. . . .

. . . .

. . . .

. . . .

. . . .

1 Convertidor Analgico Digital o

1.

Convertidor Analgico Digital o

Un Convertidor analgico-digital A/D, (en inges Analog-to digital converter ) es un o dispositivo electrnico capaz de convertir una entrada analgica de voltaje en un valor o o binario o una palabra codicada numricamente, donde en general la seal analgica, e n o que var de forma continua en el tiempo, se conecta a la entrada del dispositivo y se a somete a un muestreo a una velocidad ja, obtenindose as una seal digital a la salida e n del mismo. Aunque existe gran diversidad de tipos o familias de convertidores A/D, todos ellos han de cumplir las tres fases de la conversin analgica-digital: o o

Muestreo (en ingls, sampling) consiste en tomar muestras peridicas de la e o amplitud de onda. La velocidad con que se toma esta muestra, es decir, el nmero u de muestras por segundo, es lo que se conoce como frecuencia de muestreo. La funcin de muestreo es desarrollada por circuitos de captura y mantenimiento, o cuya funcin consiste en extraer un valor de la seal analgica y mantenerlo o n o hasta que ha nalizado la conversin de la muestra. o Cuanticacin en este proceso se mide el nivel de voltaje de cada una de las o muestras. Consiste en asignar un margen de valor de una seal analizada a un n unico nivel de salida. Incluso en su versin ideal, aade, como resultado, una seal o n n indeseada a la seal de entrada: el ruido de cuanticacin. n o Codicacin consiste en traducir los valores obtenidos durante la cuanticacin o o al cdigo binario. Hay que tener presente que el cdigo binario es el ms utilizado, o o a pero tambin existen otros tipos de cdigos que tambin son utilizados. e o e

Durante el muestreo y la retencin, la seal an es analgica, puesto que an puede o n u o u tomar cualquier valor. No obstante, a partir de la cuanticacin, cuando la seal ya o n toma valores nitos, la seal ya es digital. La gura 1 muestra el esquema de las etapas n del proceso de conversin. o

1 Convertidor Analgico Digital o

Figura 1: Conversin analgica-digital o o

1.1.

Caracter sticas de los convertidores A/D

Las principales caracter sticas que podemos encontrar a la hora de seleccionar un convertidor son las siguientes:

Resolucin. o Lineabilidad. Precisin. o Impedancia. Sensibilidad. Longitud de palabra.

No obstante, caben destacar otras no mencionadas:

El error de cuanticacin: Este aparece como consecuencia de que un convertidor o la continuidad de la seal analgica es dividida en una potencia de dos. De esta n o manera, todos los valores analgicos dentro de un rango estn representados por o a lo unico digital, normalmente asignado al valor medio del mismo. Tiempo de conversin : Es el tiempo requerido por el conversor para entregar la o palabra digital equivalente a la entrada analgica. o 2

1 Convertidor Analgico Digital o

1.2.

Clasicacin de los convertidores A/D o

En base a su disposicin y frecuencia de empleo los convertidores A/D se pueden o clasicar bsicamente en los siguientes tipos: a Escalera Realimentados Seguimiento Aproximaciones sucesivas Simple rampa Doble rampa Tensin frecuencia o

Integradores

Paralelo Cada uno de estos tiene sus propias vetajas y desventajas.

1.2.1.

Convertidor escalera

Los convertidores realimentados son tambin conocidos como convertidores con e transformacin D/A intermedia, auxiliar ya que constan de un convertidor D/A en o el que la entrada es un contador. Para el conversor escalera la entrada RST al contador es la de inicio de cuenta. El amplicador compara el voltaje V + con V como lo hace un amplicador operacional, llevando al amplicador a saturacin positiva o negativa. o

Figura 2: Esquema del Convertidor A/D escalera

1 Convertidor Analgico Digital o

Tiene la ventaja de trabajar en niveles compatibles con TTL, mientras que su principal desventaja es que el tiempo de conversin depende de Vin y su resulucin, por tanto o o tarda mas cuanto ms resolucin tenga y menor sea la frecuencia de reloj. a o

1.2.2.

Convertidor de seguimiento

En este circuito, la entrada RST se sustituye por el efecto de un contador ascendente descendente. Es especialmente util cuando la seal a medir no evoluciona muy rpido n a y queremos saber de forma continuada el valor de Vi n, es decir lee continuamente. Basta con evitar poner a cero el contador para cada conversin de analgico a o o digital, para acelerar el tiempo en dicha conversin. Bastar con aadir un contador o a n reversible y un circuito lgico que detecte el sentido de conteo: descendente o o ascendente (UP /DOWN ). El contador en este caso comenzar la cuenta desde la ultima a conversin. Su diagrama de bloque se representa en el esquema siguiente. o

Figura 3: Esquema del Convertidor A/D de seguimiento

1.2.3.

Convertidor de aproximaciones sucesivas

Este tipo de convertidor es el ms utilizado cuando se requieren velocidades de a conversin entre medias y altas del orden de algunos microsegundos a dcimas de o e microsegundos. 4

1 Convertidor Analgico Digital o

En este circuito, se sustituye el contador por un registro de aproximaciones sucesivas (RAS). La idea de este circuito es lograr llegar al valor nal, sin tener que recorrer todos los anteriores. El proceso de conversin para este tipo de convertidores se basa en o la realizacin de comparaciones sucesivas de manera descendente o ascendente, hasta o que se encuentra la combinacin que iguala la tensin entregada por el D/A y la de o o entrada.

Figura 4: Esquema del Convertidor A/D de aproximaciones sucesivas

La principal ventaja que presenta este dispositivo frente a otros es que se necesita un ciclo de reloj por cada bit. Por ello, para 12 bits slo son necesarios 12 ciclos de reloj. no o son adecuados para un nmero de bits superiores a los 14 ya que su error de conversin u o se incrementa al aumentar el nmero de bits de resolucin que se busca. u o

1.2.4.

Convertidor de rampa simple

En general los convertidores de integracin son ms sencillos que los anteriores ya que o a no utilizan convertidores intermedios D/A. Se emplean en aquellos casos en los que no se requiere una gran velocidad, pero en los que es importante conseguir una buena linealidad. En el convertidor de rampa simple inicialmente el contador est a cero y el circuito de a control tiene inhibido el paso de impulsos al contador. Cuando se aplica una se aplica una seal de inicio (start), el circuito de control dar paso a la seal de reloj hacia n a n 5

1 Convertidor Analgico Digital o

el contador y al mismo tiempo ir generando la rampa que se comparar con la seal a a n de entrada de tal forma que, cuando esta seal se iguale a la tensin de entrada Vi , n o bloquear el paso de la seal de reloj al contador, correspondindose la combinacin a n e o digital que aparece en la salida del contador con la tensin analgica de entrada. o o

Figura 5: Esquema del Convertidor A/D de rampa simple

Un inconveniente del convertidor A/D de rampa simple como el de la gura 5, es su dependencia de la linealidad de la rampa, y en consecuencia, de los componentes que integran el circuito generador de rampa, como: Vref , R, C y T. Por ello el Vref y T deben ser muy estables en el tiempo para que la conversin sea correcta. o

1.2.5.

Convertidor de doble rampa

En este tipo de convertidor hay dos fases: la primera, que consiste en determinar la rampa para Vi en la entrada, en un tiempo jo; la segunda, el tiempo que tarda, con pendiente ja y tensin de referencia conocida, Vr ef , en pasar del valor mximo de la o a anterior fase a cero.

Figura 6: Esquema del Convertidor A/D de doble rampa

1 Convertidor Analgico Digital o

Su principal ventaja radica en minimizar el efecto de dependencia de linealidad, como es el caso del convertidor de rampa simple, ademas de tener una presicin notable y o conseguir alta resolucin (24 bits o algo ms), por otro lado los convertidores de este o a tipo son lentos: unas 30-40 conversiones por segundo, es decir de 30-40 [mseg] lo cual permite que el oscilador sea muy sencillo del tipo RC. Otra ventaja de este circuito es el bajo consumo por estar fabricado en tecnolog a CMOS. Son tambin bastante inmunes al ruido sobre todo al de alta frecuencia. e

1.2.6.

Convertidor tensin-frecuencia o

En este tipo de convertidor se realiza una conversin de la seal analgica de entrada a o n o frecuencia, midindose despus el valor de la misma. Este circuito, por tanto, tendr dos e e a partes distintas: la primera convierte la seal a frecuencia y la segunda mide esa n frecuencia. Una de las principales ventajas que presenta este convertidor es que posee una alta capacidad de aislamiento, debido a que la salida ya es digital y con un optoacoplador, se consigue un aislamiento completo y total.

Figura 7: Esquema del Convertidor A/D tensin-frecuenciaa o

1.2.7.

Convertidor paralelo

Se trata de un convertidor excepcionalmente rpido pero muy complejo desde el punto a de vista del circuito. Su estructura tiene dos partes. En el primer nivel aparecen 7

2 Funcionamiento del Convertidor de aproximaciones sucesivas

un conjunto de comparadores en donde, si el codicador tiene a la salida n niveles, necesitamos 2n comparadores a la entrada (para 8 bits se necesitan 256 comparadores). El segundo nivel es un codicador que convierte las 2n entradas en n salidas.

Figura 8: Esquema del Convertidor A/D paralelo

Este tipo de convertidor es el ms rpido, alcanzando los tiempos de conversin el a a o orden de nanosegundos. La comparacin la realiza de forma simultnea y no secuencial. o a Por el contrario el principal inconveniente de este convertidor es el gran nmero de u comparadores que se requiere, cuando aumenta el nmero de bits en la salida digital. u

2.

Funcionamiento

del

Convertidor

de

aproximaciones sucesivas
El principio de operacin de este tipo de convertidor A/D es el siguiente; Se pretende o conocer en cada ciclo de reloj el valor de un bit. En primer lugar el valor del bit mas signicativo Dn1 , despus el Dn2 y as sucesivamente. El registro de aproximaciones e sucesivas RAS primero enciende el bit mas signicativo, que es la mitad del maximo posteriormente se compara un voltaje desconocido Vin contra un voltaje conocido Vref , y el comparador decide ya sea dejar encendido este bit o apagarlo. Si el voltaje analgico o 8

3 Convertidor Digital Anlogo a

de entrada Vin es mayor, el bit ms signicativo permanece encendido y por el contrario a es apagado. El siguiente paso es encender el bit Dn2 y compararlo con 3/4 del mximo a del voltaje analgico de entrada, dejando encendido el este bit si Vref <Vin . El proceso o se repite por n iteraciones. La salida digital del RAS indica todos aquellos bits que se mantienen encendidos y produce el cdigo digittal deseado. As este tipo de convertidor o , A/D ja un bit por cada ciclo de reloj, y de este modo slo requiere n ciclos de reloj para o generar n bits, donde n es la resolucin del convertidor en bits. El tiempo requerido o para la conversin es aproximadamente 2seg o menos para una conversin de 12 bits. o o

3.

Convertidor Digital Anlogo a

Un conversor digital-analgico A/D o DAC del ingles digital to analogue converte es un o dispositivo para convertir datos digitales en seales de corriente o de tensin analgica. n o o En general se emplean dos mtodos para la conversin digital-analgica: el mtodo que e o o e utiliza resistores ponderados y el otro que utiliza la red en escalera R 2R. El primero es sencillo en la conguracin del circuito, pero su exactitud puede no ser muy buena. o El segundo es un poco ms complicado en conguracin, pero es ms exacto. a o a

3.1.

Parmetros caracter a sticos de los convertidores D/A

Estos parmetros nos van a permitir poder elegir el D/A ms adecuado a nuestras a a necesidades, teniendo en cuenta que su comportamiento no es ideal en absoluto. Los errores que nos vamos a encontrar sern debido a dos aspectos principalmente: a

Por ser un componente real Por disponer el dato digital de entrada de un nmero limitado de bits. u

3 Convertidor Digital Anlogo a

3.2.

Resolucin o

La resolucin de un D/A se puede considerar desde dos puntos de vista, desde la entrada o o desde la salida. Desde el punto de vista de la entrada la resolucin viene dada por el o nmero de bits de la palabra digital de entrada. u Desde la salida se dene la resolucin como el incremento de tensin a la salida debido o o a dos cdigos sucesivos en la entrada. o

3.3.

Convertidor en red de resistencias ponderadas

En la gura 9 se muestra el diagrama de un convertidor D/A que emplea resistores ponderados. Los resistores de entrada del amplicador opercional tienen valores ponderados en forma binaria. Cuando el circuito lgico recibe un 1 binario, el interruptor o (en realidad una compuerta electrnica) conecta el resistor al voltaje de referencia. o Cuando el circuito lgico recibe un 0 binario, el interruptor conecta el resistor a tierra. o

Figura 9: Diagrama esquemtico de un convertidor D/A que emplea resistencias ponderadas a

As el convertidor D/A genera el voltaje de salida analgico correspondiente al voltaje o digital dado. para el covertidor D/A mostrado en la gura 9, la salida es: ( ) (1) 10

R0 Vo = R

b0 bn1 bn2 + 1 + + n1 20 2 2

4 Comentarios personales

Ntese que a medida que el nmero de bits se incrementa el intervalo de valores de los o u resistores se hace mas grande y la exactitud se empobrece.

3.4.

Convertidor en red R-2R

En la gura 10 se muestra un diagrama esquemtico de un convertidor D/A de n-bits a que utiliza un circuito en escalera R 2R. Ntese que con excepcin del resistr de o o realimentacin (el cual es 3R) todos los resistores involucrados son R o 2R. Esto signica o que se puede alcanzar un alto nivel de exactitud. El voltaje de salida en este caso puede estar dado mediante ( ) 1 1 bn1 + bn2 + + n1 b0 Vref 2 2

1 Vo = 2

(2)

Figura 10: Diagrama esquemtico de un convertidor D/A en circuito escalera R-2R a

4.

Comentarios personales

El estudio de los convertidores anlogo-digital y digital-anlogo es de relevante a a importancia ya que son parte fundamental de los sistemas de control por computadora, ya que como fue visto en el reporte anterior las acciones de control ejercidas por una 11

4 Comentarios personales

computadora se realizan en tiempo discreto, es decir la seales con que esta trabaja son n de tipo digital, es aqui donde el convertidor A/D tiene su efecto puesto que en general los sistemas a controlar son continuos en el tiempo y as la salida de este convertidor es una seal discretizada e interpretable por el computador, por otra parte la salida del n computador no se puede aplicar directamente al sistema ya que es una seal digital por n lo que el covertidor D/A es empleado en esta seccin del controlador. o Adems de estudiar el funcionamiento y proposito de ambos convertidores es importante a conocer sus diferentes tipos, as como las ventajas y desventajas de cada uno de ellos pues esto brinda los fundamentos para su seleccin en diversas aplicaciones. o

12

Referencias

Referencias
[1] OGATA, K Sistemas de Control en Tiempo Discreto, Segunda Edicin, 1996, o 745 p. [2] CONVERTIDOR A/D, (Wikipedia) Recuperado de http://es.wikipedia.org/wiki/Conversor analogico-digital [3] CONVERTIDOR D/A, (Wikipedia) Recuperado de http://es.wikipedia.org/wiki/Conversor digital-analogico [4] ESCUDERO, J. et al, Convertidores A/D (ADC). Departamento de Tecnolog a Electrnica. Universidad de Sevilla. o Recuperado de: http://www.dte.us.es/ing inf/ins elec/temario/Tema 8.Convertidores A-D.pdf [5] ESCUDERO, J. et al, Convertidores D/A. Departamento de Tecnolog a Electrnica. Universidad de Sevilla. o Recuperado de: http://www.dte.us.es/ing inf/ins elec/temario/Tema 7.Convertidores D-A.pdf

13

Vous aimerez peut-être aussi