Vous êtes sur la page 1sur 11

Physics of Copper in Silicon

Andrei A. Istratov and Eicke R. Weber J. Electrochem. Soc. 2002, Volume 149, Issue 1, Pages G21-G30. doi: 10.1149/1.1421348 Email alerting service
Receive free email alerts when new articles cite this article - sign up in the box at the top right corner of the article or click here

To subscribe to Journal of The Electrochemical Society go to: http://jes.ecsdl.org/subscriptions

2001 ECS - The Electrochemical Society

Downloaded on 2012-11-18 to IP 137.132.123.69 address. Redistribution subject to ECS license or copyright; see www.esltbd.org

Journal of The Electrochemical Society, 149 1 G21-G30 2002


0013-4651/2001/1491/G21/10/$7.00 The Electrochemical Society, Inc.

G21

Physics of Copper in Silicon


Andrei A. Istratova,*,z and Eicke R. Weberb
a b

Lawrence Berkeley National Laboratory, University of California, Berkeley, California 94706, USA Department of Materials Science, University of California, Berkeley, California 94720, USA

This article reviews the progress made in the studies of copper in silicon over the last several years and puts forward a comprehensive model of the behavior of copper in silicon. Technical aspects of this model are discussed in detail. It is shown that many important aspects of the behavior of copper in silicon are not shared with the other 3d transition metals. The positive charge state of interstitial copper makes its defect reactions Fermi-level-dependent, and results in a noticeable difference in the out-diffusion and precipitation behavior of copper in n-Si and p-Si. The extremely high diffusivity of copper in silicon, which is a consequence of the small ionic radius of copper and its relatively weak interaction with the silicon lattice, makes it highly mobile at room temperature and impacts the stability of copper complexes. Large lattice strains and electrostatic effects in p-Si make the formation of copper-silicide precipitates in the bulk energetically unfavorable, unless the chemical driving force for precipitation is high enough to overcome the nucleation and precipitation barrier. Literature data on the effect of copper on minority carrier lifetime and device yield are analyzed using our improved understanding of the physics of copper in silicon. Finally, the impact of the physics of copper in silicon on the development and characterization of copper diffusion barriers is discussed. 2001 The Electrochemical Society. DOI: 10.1149/1.1421348 All rights reserved. Manuscript submitted February 22, 2001; revised manuscript received August 13, 2001. Available electronically November 27, 2001.

Copper is one of the most common metals in nature, with a natural abundance of 1 ppm by weight in humans and 60 ppb by weight in the Earths crust.1 It is not surprising that Cu is ubiquitous on Si production lines and is considered a major insidious impurity in Si devices unless its contamination level is properly controlled. However, for a long time, both the Cu concentration that was detrimental to device yield was uncertain, and reliable techniques for measuring the Cu contamination level were unavailable. In lieu of more accurate data, it was assumed that Cu is similar to other 3d transition metals, and that the critical contamination levels and major defect reactions, established for Fe, Cr, Mn, and Ti, can be applied for Cu. Studies performed by various groups in the last few years enabled researchers to gain a better understanding of the behavior of Cu in Si and showed how signicant is the difference between Cu and other metals in Si. Analysis of these data and our own experimental studies enabled us to present in this article a comprehensive picture of behavior, electrical properties, and major defect reactions of Cu in Si. Recent Progress in Understanding the Physics of Copper in Silicon Background.Copper belongs to the group of 3d transition metals, which are the elements with the numbers from 21 to 30 in the periodic table. The electronic structure of 3d transition metals in vacuum is 1s12s22p63s23p63dx 4s2, where x varies from 1 for 21Sc to 10 for 30Zn. 29Cu is the only 3d element whose electronic structure violates this rule. Instead of the 3p63d94s2 conguration with an almost full 3d shell, one of the 4s electrons is moved to the 3d shell to complete it, leaving Cu in an irregular compared to the other 3d metals electron conguration: 3p63d104s1. Ionization of copper from Cu0 to Cui changes its electronic conguration in vacuum to the stable closed-shell conguration 3d10. Since a metal atom placed in a Si lattice interacts with the surrounding host atoms, its electron conguration may change compared to its state in vacuum. Electron paramagnetic resonance EPR data of Ludwig and Woodbury2,3 indicated that a transfer of 4s electrons to the 3d shell is a common phenomenon for 3d metals in Si. Unfortunately, no EPR data is available for interstitial Cu here and below we discuss only the properties of interstitial Cu because the equilibrium fraction of substitutional Cu is very low in moderately doped Si see, e.g., Ref. 4, 5. At least two electron congurations were proposed for interstitial Cu in Si. The simplest model is that the electron conguration of Cui

in Si is the same in a vacuum, i.e., 3d104s1 for the neutral charge state, Cui0 and 3d10 for the positively charged state, Cui. Since interstitial Cu is a shallow single donor in silicon, it is always ionized, Cui. 5-8 Therefore, one can expect that the closed-shell 3d10 electron conguration of Cui makes it very small the radius of the ionized copper should be about 74 pm, according to Ref. 1, 9 and relatively inactive in the silicon lattice. The assumption of the 3d10 closed-shell conguration has been recently questioned by Estreicher,10 whose theoretical studies indicated that interstitial Cu actually promotes some electrons from 3d into the 4sp shell and borrows some electron density from its nearest neighbors. Thus, according to Estreicher, Cui does not resemble a tiny hard sphere, but rather a soft ball that overlaps covalently but weakly with its four Si neighbors. Another unusual feature of Cu in Si, which distinguishes it from the other 3d metals, is that it forms a Cu-rich silicide, Cu3Si, with a much larger molecular cell volume than that of Si see, e.g., Ref. 11,12. In the subsequent discussion we provide arguments that these three properties of Cu in Si, always positive charge state, weak interaction with the Si lattice, and large lattice expansion during formation of Cu-silicide, are the factors which determine the unique behavior of Cu in Si at the atomic level. Diffusivity of Cu.Probably the most surprising recent discovery associated with the physics of Cu in Si was the new determination of its diffusion coefcient.13 The diffusion coefcient of Cu in Si was thought to be well established since the work of Hall and Racette5 published in 1964. Their expression for Cu diffusivity, D 4.7 103 exp(0.43 eV/ k BT ) cm2 s1, has been widely used for modeling diffusion of Cu in Si and was included in all major textbooks e.g., Ref. 14. Starting from approximately 1990, a discussion started in the literature15-17 that Hall and Racette, who used p-Si ( B 5 1020 cm3) for their diffusion studies, did not take into account the effect of pairing of positively charged interstitial Cu, Cui, with negatively charged substitutional boron, Bs . The consequence of this pairing is that only a fraction of the total Cu concentration is mobile at any given moment, while the rest is temporarily trapped. Following the diffusion theory of Frank and Turbull18 and Reiss et al.,19 it was suggested that Hall and Racette measured the effective, i.e., decreased by the effect of trapping by shallow acceptors, diffusion coefcient of Cu in p-Si, and that their data should be revised to extract the intrinsic diffusion coefcients, i.e., the diffusion coefcient in Si without trapping sites.15-17 Although in most real-life situations for p-type Si an effective diffusion coefcient is to be used, the intrinsic diffusion coefcient is important to know in order to calculate the effective Cu diffusivity

* Electrochemical Society Active Member.


z

E-mail: istratov@socrates.berkeley.edu

Downloaded on 2012-11-18 to IP 137.132.123.69 address. Redistribution subject to ECS license or copyright; see www.esltbd.org

G22

Journal of The Electrochemical Society, 149 1 G21-G30 2002

for any p-type doping level of the substrate. Therefore, the practical signicance of the intrinsic diffusion coefcient is paramount. Several attempts to calculate the intrinsic Cu diffusivity by using various assumptions for the interaction potential between Cui and Bs from either the data of Hall and Racette15 or from data points obtained by the transient ion drift TID technique at room temperature16,17 were reported. However, none of these results were reliable since there was no evidence that the simple electrostatic models used in Ref. 15-17 to account for the interaction potential between Cui and Bs were sufciently good approximations of the true potential. Indeed, theoretical calculations indicated that the bonding in Cu-B pairs has a signicant covalent component,10,20 which cannot be quantitatively described by a simple model. In 1998, our group designed an experiment which allowed us to determine the intrinsic diffusion coefcient of Cu directly from the experimental data. This was achieved by minimizing Cu-B interactions to a negligible level by i using Si samples with low boron doping level (1.5 1014 cm3) and ii performing transient ion drift measurements at elevated temperatures up to 110C. Further details of the experiment can be found in Ref. 13. In particular, we found that the intrinsic diffusion coefcient of interstitial Cu in Si is given by Ref. 13 0.18 0.01 eV D int 3.0 0.3 104 exp cm2/s k BT 1 whereas the effective diffusion coefcient i.e., the diffusion coefcient which takes into account trapping of Cui by acceptors is given by a system of equations, which for the moderately borondoped ( N a 1017 cm3) Si can be reduced to the following explicit formula13 D eff 3 104 exp 2090/T cm2/s 1 2.584 1020 exp 4990/T N a / T

Figure 1. Effective diffusion coefcient of Cu in Si calculated for different boron doping levels lines and experimental data obtained by Istratov et al.13 circles N a 1.5 1014 cm3 and diamonds N a 2 1015 cm3, by Hall and Racette;5 triangles N a 5 1020 cm3, by Struthers22 gray triangle, intrinsic silicon. Curve 1, intrinsic silicon corresponds to the intrinsic diffusivity. Curve 2, N a 1.5 1014 cm3. Curve 3, N a 2 1015 cm3. Curve 4, N a 1 1017 cm3. Curve 5, N a 5 1020 cm3.

In this equation, temperature, T, is measured in kelvin and the boron doping level, N a , in cm3. Note that in the case of heavily doped samples ( N a 1017 cm3) or in the case of Al or Ga-doped wafers one should solve a system of equations given in Ref. 13 rather than use Eq. 2. The intrinsic diffusion coefcient was dened in Ref. 13 as the diffusion coefcient of Cu in intrinsic oat zone with low levels of oxygen and carbon. The impact of oxygen and carbon on Cu diffusivity is unclear, but there are indications that it is very small.17 Since there is no experimental data on the pairing of Cui with positively charged shallow donors such as phosphorus, we think that the intrinsic diffusion coefcient may also be applied to moderately doped n-type Si at high temperatures. At low temperatures, the diffusivity of Cu in n-type Si may be impaired by the high likelihood of the formation of clusters and precipitates of Cu in n-Si see the section on Defect reactions of Cu. The diffusivity of Cu in n-Si requires a separate investigation since it was suggested5,21 that a signicant fraction of Cu becomes substitutional in n-Si, thus changing its diffusion mechanism and making feasible a pairing of negatively charged substitutional Cu with shallow donors.4 The fact that the intrinsic diffusion coefcient of Cu in Si at room temperature, 2.8 107 cm2 /s Eq. 1, is three orders of magnitude greater than extrapolated from the data of Hall and Racette,5 implies that Cu diffusivity is sufciently high to enable Cu to diffuse signicant distances in a wafer even at room temperature. For instance, Cu can diffuse at room temperature through a standard 4 in. p-type boron-doped 10 cm Si wafer in about 15 h. It is important to point out that despite a difference of three orders of magnitude between our data for the intrinsic diffusion coefcient of Cu at room temperature and the expression suggested for Cu diffusivity by Hall and Racette, our results do not contradict

the old Cu diffusivity data. This is illustrated in Fig. 1, which summarizes all published data on the Cu diffusivity in boron-doped Si with different boron concentrations symbols, the intrinsic Cu diffusivity curve 1, and the calculated diffusivities for different doping levels curves 2-5, including that used by Hall and Racette curve 5. Besides four data points of Hall and Racette,5 a data point obtained by Struthers for intrinsic Si22 is also shown. The data point of Struthers lies indeed on the intrinsic diffusivity line curve 1. It is seen from Fig. 1 that the effective diffusion coefcient of Cu calculated for the boron doping level of 5 1020 cm3 is in perfect agreement with the data of Hall and Racette,5 see curve 5 in Fig. 1. This indicates that their data were correct, but unfortunately applicable only to p-Si with a doping level of 5 1020 cm3, and only in the temperature range where their data points were taken. The diffusion barrier of 0.18 eV is by far lower than that of any other impurity in Si. This low value is due primarily to the small ionic radius of Cu in Si and the weakness of covalent interactions of Cu with the crystal lattice. It is known that the diffusion barrier of any impurity consists of two major components, determined by the elastic and electronic interactions with the lattice atoms.23 Utzig24 estimated the elastic component of the diffusion coefcient of 3d metals in Si and obtained results surprisingly close to the experimental data for the majority of transition metals, with the exception of the ionized copper Cui, whose ionic radius was so small that Utzigs model predicted a zero diffusion barrier for it. Woon et al.25 predicted the electronic component of the Cu diffusion coefcient at 0.24 eV, in good agreement with our experimental data. Defect reactions of Cu.While the solubility of Cu in Si reaches 1018 cm3 at 1000C, extrapolation of the solubility curve given by S 5.51 1023 exp(1.49 eV/ k BT ) cm3) see Ref. 14, 26 to room temperature yields a vanishingly low value of less than 1 Cu atom per cm3. Therefore, all Cu dissolved in the bulk of a wafer

Downloaded on 2012-11-18 to IP 137.132.123.69 address. Redistribution subject to ECS license or copyright; see www.esltbd.org

Journal of The Electrochemical Society, 149 1 G21-G30 2002

G23

Figure 3. A schematic potential diagram which represents the energy for dissociation of CuB and FeB pairs. Even if the interaction potentials between Cu-B and Fe-B are identical, higher diffusion barrier of interstitial iron makes FeB pairs much more stable than CuB pairs.

Figure 2. Five main types of defect reactions of copper in silicon: A formation of point defects and their complexes, B formation of copper-silicide precipitates in the bulk, C decoration of existing extended defects, such as dislocations or grain boundaries, D out-diffusion to the surface, and E segregation in the p areas. Open circles are interstitial copper atoms.

during heat treatment will either precipitate, form stable complexes or agglomerates, or diffuse out after the wafer is removed from the furnace. All known defect reactions of Cu can be attributed to ve groups, schematically presented in Fig. 2. These reactions are i formation of point defects and their complexes in the bulk, ii formation of Cu-silicide precipitates in the bulk, nucleated either homogeneously or, more likely, at microscopic lattice defects, iii decoration of existing extended defects such as dislocations, grain boundaries, etc., iv outdiffusion to the surface, and v segregation in p areas. Formation of point defects and their complexes is a common, if not predominant, reaction for 3d transition metals in Si. For example, iron was shown to form over 30 different complexes see Ref. 27, 28 for a recent review. Measurements of the concentration of deep levels associated with point defects are routinely used to detect most 3d transition metals in Si by such techniques as deep level transient spectroscopy DLTS and electron paramagnetic resonance. The dissociation reaction of FeB pairs is used to determine interstitial iron concentration by lifetime measurements, such as surface photovoltage. In contrast to the majority of transition metals, Cu, as a rule, does not form electrically active point defects in densities higher than 0.1% of its total concentration in the wafer see Ref. 29 and the references therein. This was difcult to explain before the intrinsic diffusion barrier of Cu in Si was determined. In our present understanding, it is the high diffusivity of Cu that enhances the dissociation of its point defect complexes, thus greatly reducing their stability and favoring diffusion of Cu to thermodynamically stable sinks, such as the wafer surface. The equilibrium distribution of Cu between various trapping sites available in the bulk and at the surface of a wafer is determined by the binding energy of Cu to each of these traps. However, since impurities may have to diffuse signicant distances to get to the most thermodynamically stable sinks, this equilibrium distribution in a wafer is achieved only after an innitely slow cooling. Slowly diffusing impurities trapped in the interstitial lattice sites are unable

to move at room temperature, while faster-diffusing impurities may eventually form point-defect complexes with the nearest traps trapping of Fe by B with the formation of FeB pairs is a good example. The kinetics of formation of defect complexes, and, ultimately, the equilibrium concentration of each defect complex is determined by the balance of two reactions, association and dissociation. Generally, thermodynamic equilibrium of metals in crystalline Si is determined by changes in chemical potentials, phase transformations, mist strain, and interface energy. In the case of a point defect consisting of one metal atom, one can neglect most of these parameters, and use the following simple model: the kinetic barrier that an impurity atom e.g., Cu has to overcome to dissociate from a defect which can be another impurity atom, a dislocation, or a precipitate is determined by two components: i the depth, E b , of the attractive potential between the impurity and the defect, and ii the height of the diffusion barrier, E d , for the impurity Fig. 3. Consider the example of FeB and CuB pairs in Si. A rough estimate of the dissociation energy of a pair, E diss , can be obtained by adding the diffusion barrier height, E d , to the binding energy, E b : E diss E b E d . Since accurate data on the binding energy of defects in Si are rarely available, the electrostatic binding energy of a donor-acceptor pair in Si is frequently used as an approximation for E b , E b 0.52 eV. The diffusion barrier, E d , for Cui is 0.18 eV, whereas for most other 3d transition metals, it is greater than 0.6 eV e.g., 0.67 eV for Fei27. Therefore, an estimate for the dissociation energy would be 0.7 eV for CuB pairs and 1.2 eV for FeB pairs. The experimental data are fairly close to these estimates: the dissociation energy of FeB pairs was reported to lie between 1.14 and 1.40 eV,30,31 whereas for CuB pairs it is as low as 0.61 eV.13,32,33 Consequently, CuB pairs are unstable even at room temperature and dissociate within milliseconds, whereas a comparable dissociation rate of FeB pairs is reached at temperatures of about 200C.34 Since the diffusion barrier height, E d , affects the dissociation energy of not only CuB pairs, but of all other Cu complexes and agglomerates, essentially the same arguments can be applied to any other Cu complex in Si. As the majority of complexes of Cu with other impurities and defects dissociate very easily, they cannot permanently trap Cu, but rather only slow down its diffusion toward more stable sinks i.e., sinks with a greater binding energy E b. These sinks can be extended defects in the bulk of the wafer Fig. 2b, c, wafer surface Fig. 2d, or p substrate Fig. 2e. Since the wafer surface apparently provides a highly efcient and apparently stable sink for Cu see below, it is not surprising that Cu does not form any signicant density of electrically active point defects in the bulk of a Si wafer. The best studied point defects of Cu are Cu pairs although the microscopic nature of this defect is debated in the literature,35-42 copper-acceptor pairs CuB, CuIn, CuGa, CuAl,5,10,15,20,43-45 and the

Downloaded on 2012-11-18 to IP 137.132.123.69 address. Redistribution subject to ECS license or copyright; see www.esltbd.org

G24

Journal of The Electrochemical Society, 149 1 G21-G30 2002 ation, when a precipitate has to be formed in a perfect lattice. Therefore, in the presence of lattice defects, Cu is likely to decorate them see, e.g., Ref. 70-73. The process of Cu precipitation is further complicated by the electrostatic interactions between the positively charged interstitial Cu ions and charged Cu precipitates or dislocations. The precipitation barrier may increase if there is an electrostatic repulsion between Cui and the precipitation sites e.g., growing Cu3Si precipitates, or decrease in the case of electrostatic attraction. The latter mechanism has been reported elsewhere.64,74 We found that not only interstitial Cu is positively charged in Si, but also that Cu precipitates are charged. These precipitates form band-like states in the Si bandgap and are positive when the Fermi level is below their electroneutrality level at approximately E C 0.2 eV e.g., in p-type Si, and neutral or negative when the Fermi level is above the electroneutrality level which may be the case in n-type Si.53,75,76 Therefore, the electrostatic repulsion between Cui and positively charged Cu precipitates provides an additional nucleation and/or precipitation barrier in p-Si.64,74,75,77 This barrier either disappears or changes to electrostatic attraction in n-Si, thus enhancing the precipitation of Cu. Therefore, Cu is much more likely to form precipitates in n-Si than in p-Si. Precipitation of Cu at extended defects, presented in Fig. 2c, is a well-known phenomenon. It has even been used as a tool to detect lattice defects copper decoration, see, e.g., Ref. 70-73. However, detailed studies revealed that Cu does not decorate all types of extended defects, but prefers stacking faults,78-82 grain boundaries,83-87 and Frank-type partial dislocations.88-90 The effect of lattice strain and electrostatic effects on the precipitation behavior of Cu discussed above suggests that preferential Cu precipitation at certain types of defects may be associated with either their electrical charge negatively charged defects will attract Cu, or with local strain elds around them nucleation barrier for the formation of Cu-silicide precipitates will be reduced in the areas of tensile strain. Out-diffusion of Cu to the wafer surfaces, presented in Fig. 2d, was reported by Shabani et al.,91,92 and conrmed by McCarthy et al.93 It was found that if the surface oxide is removed from the surface of a p-type wafer by HF etching, then Cu does not precipitate in the bulk, but completely diffuses out to the surface. Since out-diffused Cu could be readily removed from the wafer surface by a HF:H2O2 mixture,91 it was concluded that Cu does not form chemical bonds with Si e.g., Cu silicide, but rather gets trapped in the growing native oxide or at its interface. The possibility of an interaction of Cu with the growing silicon dioxide, e.g., catalyzation of oxidation of Si in the presence of Cu-silicide, is known from the literature.94-104 However, the exact nature of the chemical reactions of copper at the Si/SiO2 interface is still being debated. Another group of reactions which may be employed to explain Cu outdiffusion is an electrochemical reaction which entails the interaction of Cu with the wafer surface. This reaction was observed by many groups as deposition of Cu from contaminated cleaning solutions see, e.g., Ref. 105-109. Since the polished Si surface is hydrophobic and extremely reductive due to dangling and Si-H bonds, it is possible that Cu cations Cui are readily reduced by the surface, thus causing an outplating reaction of Cu dissolved inside of the wafer, i.e., Cu out-diffusion. The kinetics of Cu out-diffusion for different temperatures and dopant concentration was studied by Shabani et al.92 The typical time of complete out-diffusion of Cu from 10 cm Si at room temperature was reported to be 2-8 days.92 Although the characteristic out-diffusion time is longer than the time it would take Cu to diffuse through the wafer thickness, the observed time constants could be easily explained taking into account the 100-300 mV high band bending at the wafer surface, which repels Cu ions from the surface and slows down its out-diffusion.92 It was observed that out-diffusion is slower in heavily boron-doped wafers than in weakly doped wafers, as one can expect from the dependence of the effective diffusion coefcient of Cu on a boron doping level see

center reportedly associated with substitutional Cu and its complexes with hydrogen.42,46-48 Formation of Cu-silicide precipitates in the bulk of initially dislocation-free Si, presented schematically in Fig. 2b, has been studied by many research groups during the last 30 years, see e.g., Ref. 5, 49-65. It was found that in samples with a very high initial Cu concentration on the order of 1017 cm3 and after a slow cool, Cu forms precipitate colonies primarily in the near-surface region of the wafer. Growth of these colonies was explained by nucleation of new Cu precipitates on dislocation loops and stacking faults punched out by the existing precipitates.49,61,62 In contrast, small 30-200 nm diam platelet-like precipitates with density up to 1013 cm3, homogeneously distributed through the bulk of the sample, were observed instead of precipitate colonies in samples rapidly quenched to room temperature.53 The existence of a barrier for nucleation of precipitates is a wellknown phenomenon in the thermodynamics of phase transformations. The driving force for precipitation, usually associated with supersaturation of the dissolved impurity, needs to reach a certain threshold value to initiate nucleation and growth of the precipitates. The chemical driving force for precipitation from a supersaturated solid solution can in a simple case be calculated as12,53 k BT ln C / C 0 T 3

where C is the dissolved metal concentration, C 0 ( T ) is the equilibrium solubility of the metal, T is the temperature, and k B is the Boltzmann constant. If is signicantly higher than the nucleation barrier, Cu will form a high density of precipitates in the wafer bulk. This is easily achieved if the sample is rapidly cooled or quenched. In contrast, during a slow cool, the Cu supersaturation level builds up slowly. In this case, Cu precipitates will rst nucleate at the sites with lower nucleation barriers, e.g., at dislocations or microscopic lattice defects. Diffusion of Cu to these sinks will cause a gradual decrease in its concentration in the wafer. As soon as a sufcient density of precipitates is formed to getter the excess copper and decrease below the nucleation threshold, nucleation of new precipitates will stop.66 If the decrease of the dissolved Cu concentration due to the precipitation of Cu at the already formed nuclei cannot catch up with the decreasing equilibrium solubility of Cu as the temperature decreases, the value of will eventually exceed the nucleation threshold, and new precipitates will be formed in the wafer. Hence, a faster cooling rate should lead to a high density of small Cu precipitates, whereas slower cooling rates result in relatively low density of large precipitates. This indeed was observed in the experiments.53 The distinctive feature which affects the precipitation behavior of Cu in Si is the required lattice expansion. The dominant Cu-silicide phase, -Cu3Si, 56,67-69 has a very large molecular volume volume of a cell with one Si atom of 46 3, compared to the molecular volume of Si of 20 3.11,12 This means that approximately 1.3 Si interstitials should be emitted for each Si atom forming Cu silicide i.e., precipitation of 2.3 Cu atoms emits 1 Si interstitial. Therefore, nucleation and growth of Cu-silicide precipitates in a Si crystal requires a higher driving force for precipitation i.e., higher supersaturation than for most other 3d metals. If the energy cost of this additional lattice strain and its relaxation is higher than the chemical precipitation driving force, no precipitation will occur. As pointed out by Falster,66 this conclusion has an important implication for relaxation gettering: precipitation of Cu and any other metal, for that matter at gettering sites will start not at the temperature when it becomes supersaturated, but rather at a lower temperature when supersaturation reaches a sufciently high level to overcome the nucleation barrier for precipitation. This level may vary depending on the crystalline quality of Si: clearly, heterogeneous nucleation i.e., nucleation of Cu precipitates at already existing defects, such as vacancy clusters has a lower barrier than homogeneous nucle-

Downloaded on 2012-11-18 to IP 137.132.123.69 address. Redistribution subject to ECS license or copyright; see www.esltbd.org

Journal of The Electrochemical Society, 149 1 G21-G30 2002 Fig. 1. Additionally, out-diffusion becomes faster at elevated temperatures.92,110 A reasonably good agreement was found between the out-diffusion times observed in the experiments and those predicted using Eq. 2.92 Out-diffusion was also observed in n-type Si, but only if the wafer was heated up to 400C.91 At room temperature, all Cu remained in the bulk. The reason for this greater stability of Cu in n-Si is not certain. A possible explanation is that the electrostatic effects discussed above decrease the nucleation barrier and make clustering and precipitation of Cu in the bulk of n-Si possible at lower supersaturation levels than in p-Si. Another explanation, suggested in Ref. 91, was that Cu may form complexes with phosphorus in n-Si; however, this suggestion has yet to be veried experimentally. Apparently, trapped Cu is released when the wafer is heated to 400C. It is interesting that contamination of Si wafers with Cu during chemomechanical polishing, a phenomenon discovered over ten years ago,8,32,33,111-113 is essentially a reversed out-diffusion, with initially little or no Cu in the wafer and a plentiful supply of Cu by the contaminated slurry to the surface. A principle difference, however, is that the near-surface band bending, which slows the outdiffusion of Cu by repelling Cui from the surface into the bulk of p-type wafers, now drives Cu into the wafer during chemomechanical polishing. This makes the kinetics of indiffusion of Cu much faster than out-diffusion, and may contribute to a very high increase in Cu concentration in the near-surface region,113 which signicantly exceeds the equilibrium Cu solubility. Additionally, data from Ref. 114 suggest that the bare Si surface, such as the surface formed by continuous polishing of the wafer, is more favorable for Cu penetration than is a surface covered by a native oxide. Once the polishing of a wafer is nished and the ux of Cu from the wafer surface stops, the slower process of out-diffusion of Cu becomes dominant again. Out-diffusion of Cu from the Si crystal is driven by its thermodynamics. Since it costs energy to put Cu or any other impurity atom into the ideal Si lattice from a vacuum,10 or to dissolve it from a boundary phase such as surface Cu silicide, the most thermodynamically stable conguration will be reached when Cu gets out of the crystal to form a boundary phase again. An ideal crystal tends to reject the impurities which distort its lattice structure consequently, the solubility of transition metals in Si at room temperature in balance with Cu silicide is extremely low. The higher the Si crystal quality, the less probable will be the formation of Cu agglomerates and/or precipitates in the bulk due to the low density of defects which can serve as heterogeneous nucleation sites for Cu precipitates trap Cu in the bulk, and the more likely that Cu out-diffusion will be the dominant defect reaction. The predominance of outdiffusion of Cu over the other possible reactions is not necessarily a consequence of some unique chemical properties of Cu, but rather a consequence of the fact that the exceptionally high diffusivity of Cu in Si enables it to get much closer to the thermodynamically equilibrium distribution in the wafer than any other impurity in Si. This point of view is supported by the observation that out-diffusion of impurities from Si wafers was observed also for iron,34,115-118 indium,119 uoride,120 lithium,121 and other impurities. Segregation of copper in p substrates is the basis of p/p gettering. The mechanism of segregation of Cu in the p area, driven by the difference in Fermi level positions in the p-layer and p-substrate combined with a higher probability of CuB pair formation in the p substrate than in the p-layer, is similar to the mechanism of segregation gettering of iron in Si, discussed in detail in Ref. 28, 122. The major difference between segregation of Cu and Fe is, however, that i the low thermal stability of CuB pairing makes the contribution of the effect of pairing to the segregation coefcient much weaker than in the case of iron, and ii the high diffusivity of Cu even at room temperature makes the temperature dependence of the segregation coefcient an important parameter in the case of iron practically meaningless: Cu will redistribute in the wafer to its equilibrium at room temperature within several hours after the heat

G25

treatment is terminated. A theoretical expression for the segregation coefcient of Cu in heavily doped substrates was derived in the study of Hoelzl et al.123 who found a good agreement between their theoretical predictions and the experimental studies of segregation of Cu in p/p wafers.

Impact of Copper on Devices p-n Junctions.To the best of our knowledge, the rst studies of the impact of Cu contamination on electrical properties of Schottky diodes were performed in the 1960s and 1970s by Goetzberger et al.,124 Busta et al.,125 Bohm et al.,126 and Hamaker et al.,127 among others. They observed a substantial increase in the leakage current of p-n junctions fabricated on intentionally contaminated Si wafers. In the 1990s, a number of groups used measurements of the leakage current of reverse-biased shallow p-n junctions to detect penetration of Cu through a diffusion barrier into the Si substrate.128-151 These articles were focused on development of thin lm diffusion barriers. Typically, p-n junctions were fabricated at a depth of a few hundred nanometers from the wafer surface by ion implantation. The test structures, which consisted of a Cu lm and a diffusion barrier layer on top of the Si wafer, were annealed for 30-60 min at different temperatures, and I-V characteristics of the junctions were measured to detect diffusion barrier failure. Although Ref. 128-151 conrmed that a failure of the diffusion barrier and penetration of Cu into the Si substrate unavoidably leads to an increase of the leakage current of p-n junctions, they contributed very little to the understanding of the physical mechanism of this phenomenon. Since it is known that Cu is unlikely to form point defects in concentrations sufcient to affect the leakage current of p-n junctions through generation of minority carriers at least, as long as the total Cu contamination level remains below 1014 cm3, we would argue that the leakage current of p-n junctions increases through the formation of Cu precipitates in the junction area, most likely starting from the n-side of the junction, where Cu precipitation is facilitated by the Fermi level effects see the section on Defect reactions of copper or Ref. 64. Recent studies of Baumann et al.,151 and Miyazaki et al.152 have conrmed this model. Miyazaki et al.152 reported extensive Cu precipitation in the n area and little or no precipitation in the p-area of Cu-contaminated p-n junction devices. Baumann et al.151 observed that a 120 min/120C annealing of Si samples with Cu deposited on its surface did not cause any changes in the electrical behavior of the p-n junctions formed by As implantation at a depth of 400 nm. However, cross-sectional transmission electron microscopy XTEM investigations showed that Cu diffusion into the Si had taken place. Dark shadows, reaching up to 260 nm deep into the Si bulk, were visible in the crosssectional TEM micrographs and were identied as Cu rich regions by energy-dispersive X-ray spectroscopy EDX. Only after annealing at 200C did the p-n junction leakage current increase by several orders of magnitude.151 The authors concluded that the detection of the electrical breakdown of p-n junctions was possible only after destruction of the entire vertical diode structure through the formation of Cu3Si spikes.151 These ndings agree with the report of Busta et al.,125 who found that a signicant fraction of the leakage current in Cu-contaminated p-n junctions was associated with Cu precipitates. However, to the best of our knowledge, no accurate quantitative data are available as to what dissolved Cu concentration is required to initiate precipitation in the n-type area of the junction and affect the properties of p-n junctions. MOS capacitors.The data on the effect of Cu on gate oxide integrity GOI and leakage current of MOS capacitors are very limited. Ramappa and Henley153 found the critical dissolved Cu concentration to be 1013 cm3 for a 4.5 nm oxide and about 1014 cm3 for a 7.5 nm oxide. Hiramoto et al.154 reported that breakdown failure of 25 nm thick oxides was drastically increased if the copper surface contamination was more that 5 1012 Cu/cm2,

Downloaded on 2012-11-18 to IP 137.132.123.69 address. Redistribution subject to ECS license or copyright; see www.esltbd.org

G26

Journal of The Electrochemical Society, 149 1 G21-G30 2002 recombination activity of Cu in n-Si and p-Si, as reported by Naito et al.,164 who performed measurements on both n and p-type wafers using the same contamination and diffusion procedures. The difference in recombination properties of Cu in n-Si and p-Si is consistent with different behavior of Cu in n-Si and p-Si, discussed above. Indeed, under the conditions of low Cu concentration in p-type Si, most of the Cu diffuses out of the bulk to the wafer surfaces or precipitates in the near-surface regions, and may not form electrically active defects in concentrations sufcient to signicantly affect the minority carrier lifetime. In contrast, out-diffusion of Cu was not observed in n-type Si at temperatures below 400C.91 Therefore, it is not surprising that the effect of Cu on minority carrier lifetime in n-Si is greater. The details of Cu behavior and, consequently, its effect on the lifetime on the quantitative level may vary from wafer to wafer, depending on its thermal history, concentration of lattice defects and other impurities in the wafer, its surface condition, and even on total concentration of Cu in the wafer. Many parameters necessary for a quantitative description and modeling of the effect of copper on minority carrier lifetime are not known yet. However, the following facts can be considered well established 1. Copper has a stronger impact on minority carrier lifetime in n-type Si than in p-type silicon.164,170-172 2. Cu precipitates are extremely efcient minority carrier recombination sites.53,172,173 This is because Cu precipitates form a defect band close to the middle of the Si bandgap. This band provides an efcient recombination channel for minority carriers, attracted by the positive charge of Cu precipitates in p-type silicon.53,76,174 3. Although copper does not usually form point defect complexes in signicant concentrations, some of these complexes seem to be very recombination active. Henley and Ramappa171,175 reported that Cu that was diffused into a wafer by thermal anneal in oxidizing ambient formed defect complexes which could be dissociated irreversibly by shining bright light on the wafer. This caused a signicant drop in the minority carrier lifetime. Henley et al.171 speculated that the defect reaction responsible for the observed drop in lifetime may be dissociation of the center with the energy level at E V 0.1 eV, usually attributed to Cu pairs.35-37,39-42,176-178 If this center consists of a pair of an interstitial and a substitutional Cu, as suggested by Weber et al.,36 then dissociation of the pair would leave behind an electrically active substitutional Cu atom, which is likely to form multiple levels in the bandgap and provide an efcient recombination channel for minority carriers. 4. Some authors reported improvement of minority carrier lifetime and/or decrease in recombination activity of dislocations after diffusion of low Cu concentrations in p-Si8,164,170,179 this improvement can be seen in the inset in Fig. 4 as an initial increase in minority carrier lifetime in p-Si. This passivating action of Cu seems to be similar to that of hydrogen, which is not very surprising since both interstitial Cu and hydrogen are positively charged, have very small ionic radii, and diffuse easily in the Si lattice. A possible mechanism of Cu passivation is the formation of Cu defect complexes with a lower recombination activity than the defects themselves. However, unlike with hydrogen, a higher Cu contamination level will ultimately decrease the lifetime because the onset of Cu precipitation decreases the minority carrier lifetime dramatically.172 Applications to Cu Interconnect Technology: Development and Characterization of Cu Diffusion Barriers Implementation of Cu as the new interconnect material for integrated circuits has posed many new challenges to process engineers. One of them is the development of efcient diffusion barriers for Cu interconnects. The thickness of these barriers decreases with each subsequent generation of integrated circuits, from 17 nm as recommended by the Semiconductor Industry Association for the 180 nm technology node to 10 nm for the 100 nm technology by the year 2005.180 Although Cu diffusion barriers should be thinner than the barriers used in the previous technology nodes with Al metallization,

Figure 4. Dependence of minority carrier lifetime in p-type Si wafers for different copper contamination levels after Naito et al.,164 Itsumi et al.,165 Walz et al.,166 Prigge et al.,8 and Rotonodaro et al.167. The difference between minority carrier lifetime in p-Si and n-Si after identical contamination and heat treatment after Naito et al.132 is shown in the inset.

whereas Ogushi et al.155 found no effect of copper on gate oxide integrity for the same oxide thickness up to a copper concentration of 5 1014 cm2. Saito et al.156 found that the breakdown characteristics of 7-15 nm thick oxides were affected by copper concentrations in excess of 3 1011 cm2 an order of magnitude higher than for iron. Vermeire et al.157 reported that the onset of the effect of Cu contamination on the integrity of 18 nm gate oxides grown on n/n Si epi wafers was observed at Cu surface concentrations as low as 1011 to 1012 cm2. Burte et al.158 found that Cu affected the dielectric breakdown strength of 20 nm oxides grown on p-Si if the surface contamination level was raised in excess of 109 to 1010 cm2. The dependence of GOI on the surface Cu contamination level reported in Ref. 158 was relatively at at the level of about 70% of the yield of capacitors fabricated on noncontaminated wafers up to the contamination levels of about 1013 cm2, and then dropped sharply at higher Cu concentrations, reaching nearly zero at 1015 cm3. It is clear from this short summary that there is a signicant disagreement between the data of different authors. This disagreement can partly be explained by differences in the availability and density of gettering sites in the wafers. These gettering sites, when present, could reduce the Cu concentration in the near-surface region, thus improving the device yield. An excellent example of how gettering can improve the device yield was given by Mertens et al.159 for the case of iron contamination. The mechanism of degradation of GOI by Cu was discussed in Ref. 160, 161. It was suggested that the degradation of oxide properties is associated with the formation of Cu-silicide precipitates at the Si-silicon dioxide interface. Minority carrier lifetime.The rst systematic studies of the effect of Cu on the minority carrier lifetime in Si were reported by Davis, Hopkins, and Rohatgi,162,163 who found that Cu contamination in concentrations up to 1016 cm3 had no effect on solar cell efciency. Subsequent studies by the other groups conrmed a weak effect of low Cu contamination levels on minority carrier lifetime in p-Si,8,164-169 whereas Cu contamination in n-Si has a much stronger effect than in p-Si.164,170,171 This is illustrated in Fig. 4, which summarizes lifetime data taken on Cu-contaminated p-type wafers.8,164-167 The inset in Fig. 4 demonstrates the difference in

Downloaded on 2012-11-18 to IP 137.132.123.69 address. Redistribution subject to ECS license or copyright; see www.esltbd.org

Journal of The Electrochemical Society, 149 1 G21-G30 2002 they must have similar or better barrier properties. In addition, the physics of Cu in Si should be properly taken into account in diffusion barrier studies. The assumption that diffusion barriers for Cu can be successfully characterized using the techniques developed for Al diffusion barriers can be very misleading. First, according to de Cogan et al. see Ref. 181 and references therein, the diffusivity of Al in Si is less than 1020 cm2 /s at 500C. Due to its very small diffusion coefcient in Si, aluminum only agglomerates at the spot where it has penetrated through the barrier, but does not diffuse any further into the wafer. Therefore, the detrimental effect of Al arises primarily from the reaction of Al with the barrier material and accumulation of aluminum at the Si/diffusion barrier interface. In contrast to aluminum, Cu is an extremely fast diffuser in Si. It is easy to calculate using Eq. 2 that the diffusion coefcient of Cu at 500C is about 2.0 105 cm2 /s 15 orders of magnitude higher than that of Al. This means that even a local penetration spot of Cu through the barrier can result in contamination of about 45 mm2 of the die after 30 min at 500C,182 whereby the Cu concentration in the contaminated area may reach its solubility limit, which at 500C is about 1014 cm3. 14 Moreover, since Cu remains mobile even after the wafer has been removed from the furnace, it can diffuse signicant distances in the substrate from a local barrier breakdown spot until it nds stable sinks. Thus, the problem of homogeneity of thin diffusion barriers is extremely important. There are two modes of failure of diffusion barriers: i the metallurgical mode, when the metal content in the barrier increases to several atomic percent, thus changing the chemical composition of the barrier layer, and ii the electrical mode, when there is no strong intermixing between the metal and the barrier, but the amount of metal that already has penetrated through the barrier is sufcient to alter the electrical characteristics of the devices under the barrier. The detection of the metallurgical failure mode was the primary means of failure analyses of aluminum diffusion barriers. Copper metallization technology requires detection of the earlier stage of the barrier failure, i.e., detection of its electrical failure mode. The majority of depth proling techniques that were used in the past to characterize aluminum diffusion barriers were optimized for detecting the metallurgical failure mode of a barrier. The most common of these techniques are Rutherford backscattering spectroscopy, Auger electron spectroscopy, and secondary ion mass-spectrometry. Some groups applied such analysis techniques as scanning electron microscopy and even optical microscopy to detect defects on the surfaces of the barrier layer or the Cu lm, or appearance of etch pits after chemical removal of the barrier layer and selective etching of Si. These surface defects and etch pits were interpreted as rst signs of barrier integrity degradation. Plan-view and cross-sectional TEM analyses were used to detect changes of the barrier material composition at the interface, degradation of the interface sharpness, formation of Cu silicide spikes at the interface, or to measure Cu or Al concentration at different depths of the barrier layers using EDX. The electrical barrier failure mode can be detected by measuring the electrical properties of p-n junctions, Schottky diodes, or metal oxide semiconductors MOS capacitors fabricated either under the diffusion barrier or on the wafer surface after the barrier layer is removed. These techniques include 1. Evaluation of the leakage current of reverse-biased shallow p-n junctions, usually formed by ion implantation before deposition of diffusion barriers.128-151 An increase of the leakage current indicates penetration of Cu through the barrier layer. 2. Evaluation of electrical properties of MOS capacitors,138,183-190 typically fabricated by depositing Al contacts on top of the oxide layer after a Cu/diffusion barrier/50-100 nm SiO2 /Si structure is annealed, and Cu and TiN/Ti diffusion barrier layers are etched off. An increase in the leakage current of MOS structures or a change in their capacitance-voltage C-V characteristics as compared to similar structures fabricated on Cu-free oxides indicates penetration of Cu through the barrier layer into the silicon dioxide.

G27

3. Measurements of current-voltage I-V characteristics of Schottky diodes formed by a TiN layer191 or by TiSi2192 on p-Si. The presence of Cu at the contact interface was reported to have a strong inuence on the capacitance of a reverse-biased Schottky diode and its I-V characteristics upon thermal treatment.191,192 Interestingly, this technique proved to be more sensitive than measurements of p-n junction leakage currents.192 The electrical measurement techniques listed above are much more sensitive than traditional depth-proling techniques. They can detect Cu penetration through the barrier after anneals at temperatures 50-200 K lower than the depth proling technique.137,140,145,183,184,193-195 However, their main disadvantage is that they are qualitative in nature: there is no straightforward way to determine the concentration of Cu that has penetrated through the barrier from the increase in leakage current of a p-n junction, change in the shape of a C-V characteristic of a MOS capacitor, or I-V characteristic of a Schottky diode. Additionally, since test structures usually utilize signicantly thicker oxides and larger p-n junctions than real integrated circuits, their sensitivity may not be sufcient to detect early stages of barrier breakdown. Transient ion drift TID7,196 is a characterization tool which enables one to accurately and most important, quantitatively measure the interstitial Cu concentration in silicon. This technique uses instrumentation similar to DLTS.197 However, instead of detecting the emission of charge carriers from deep levels, TID monitors the drift of ionized interstitial copper in the space-charge region of a reversebiased Schottky diode. TID has been recently applied to characterization of diffusion barrier lms by Stavrev et al.198 and Heiser et al.199 The theoretical sensitivity limit of TID is similar to that of DLTS, i.e., about 104 to 105 of the boron doping level. However, unintentional contamination during heat treatments necessary to dissolve all complexes and precipitates of copper TID is sensitive only to the interstitial Cui may limit sensitivity at the level of about 5 1011 to 1012 cm3. Unfortunately, the destructive nature and low throughput of TID make it a research instrument rather than a commercial quality control tool. Alternative analytical techniques which can qualitatively determine the Cu content in a Si wafer are graphite furnace atomic adsorption spectroscopy and inductively coupled plasma mass spectrometry. These techniques require dissolution of the whole wafer in a suitable etchant with a subsequent analysis of concentrated solute see, e.g., Ref. 91, 123, 200. Although many materials were reported as satisfactory Cu diffusion barriers, there were only a few attempts to compare these barriers in terms of their stability. The simplest means to compare the barriers would be to measure and compare Cu diffusion coefcients in these materials. These diffusion coefcients, however, are difcult to determine because most barrier characterization techniques do not enable one to accurately determine how much Cu has penetrated through the barrier after a certain heat treatment. The lack of reliable tools to measure Cu concentration in Si explains the huge variation in the reported enthalpies of Cu diffusion in various barriers from 1.3 to 4.5 eV.201-204 Recently205 we have shown that it is possible to make a conclusive quantitative comparison of diffusion barrier properties of different thin lm materials. This can be done by comparing the temperatures at which a certain stability of barrier can be achieved, or stability of different barriers at a given temperature. Such analysis, based on over 75 references, yielded the following rating of diffusion barriers205 TaSiN, TiSiN TaN, W2N, WSiN TiN Ta W, TiW Ti 4

The barriers which can be deposited by both physical vapor deposition and chemical vapor deposition CVD are underlined. The availability of CVD processes for deposition of TaN, W2N, TiN, and W may be benecial for some applications due to the good step coverage of thin lms deposited by CVD. It was observed that

Downloaded on 2012-11-18 to IP 137.132.123.69 address. Redistribution subject to ECS license or copyright; see www.esltbd.org

G28

Journal of The Electrochemical Society, 149 1 G21-G30 2002


29. A. A. Istratov and E. R. Weber, Appl. Phys. A: Mater. Sci. Process., 66, 123 1998. 30. H. Feichtinger, Acta Phys. Austriaca, 51, 161 1979. 31. H. Hieslmair, A. A. Istratov, C. Flink, S. A. McHugo, and E. R. Weber, Physica B, 273-274, 441 1999. 32. T. Prescha, T. Zundel, J. Weber, H. Prigge, and P. Gerlach, Mater. Sci. Eng., B, 4, 79 1989. 33. P. Wagner, H. Hage, H. Prigge, T. Prescha, and J. Weber, in Semiconductor Silicon 1990, H. R. Huff, K. G. Barraclough, and J. I. Chikawa, Editors, PV 90-7, p. 675, The Electrochemical Society, Proceedings Series, Pennington, NJ 1990. 34. G. Zoth and W. Bergholz, J. Appl. Phys., 67, 6764 1990. 35. N. S. Minaev, A. V. Midryi, and V. D. Tkachev, Sov. Phys. Semicond., 13, 233 1979. 36. J. Weber, H. Bauch, and R. Sauer, Phys. Rev. B, 25, 7688 1982. 37. H. B. Erzgraber and K. Schmalz, J. Appl. Phys., 78, 4066 1995. 38. A. A. Istratov, H. Hieslmair, T. Heiser, C. Flink, and E. R. Weber, Appl. Phys. Lett., 72, 474 1998. 39. M. Nakamura and H. Iwasaki, J. Appl. Phys., 86, 5372 1999. 40. M. Nakamura, J. Electrochem. Soc., 147, 796 2000. 41. M. Nakamura, Appl. Phys. Lett., 76, 2089 2000. 42. S. Knack, J. Weber, H. Lemke, and H. Riemann, Physica B, In print , 2001. 43. M. O. Aboelfotoh and B. G. Svensson, Phys. Rev. B, 44, 12742 1991. 44. S. K. Estreicher, Physica B, 273-274, 424 1999. 45. S. K. Estreicher and J. L. Hastings, Mater. Sci. Eng., B, 58, 155 1999. 46. H. Lemke, Phys. Status Solidi A, 95, 665 1986. 47. S. Knack, J. Weber, and H. Lemke, Physica B, 273-274, 387 1999. 48. S. D. Brotherton, J. R. Ayres, A. Gill, H. W. van Kesteren, and F. J. A. M. Greidanus, J. Appl. Phys., 62, 1826 1987. 49. M. Seibt, in Semiconductor Silicon 1990, H. R. Huff, K. G. Barraclough, and J. I. Chikawa, Editors, PV 90-7, p. 663, The Electrochemical Society Proceedings Series, Pennington, NJ 1990. 50. M. Seibt, in Crystalline Defects and Contamination: Their Impact and Control in Device Manufacturing II, B. O. Kolbesen, C. Claeys, P. Stallhofer, and F. Tardif, Editors, PV 97-22, p. 243, The Electrochemical Society Proceedings Series, Pennington, NJ 1997. ter, 51. M. Seibt, M. Griess, A. A. Istratov, H. Hedemann, A. Sattler, and W. Schro Phys. Status Solidi A, 166, 171 1998. 52. M. Seibt, H. Hedemann, A. A. Istratov, F. Riedel, A. Sattler, and W. Schroter, Phys. Status Solidi A, 171, 301 1999. ter, T. Heiser, C. 53. A. A. Istratov, H. Hedemann, M. Seibt, O. F. Vyvenko, W. Schro Flink, H. Hieslmair, and E. R. Weber, J. Electrochem. Soc., 145, 3889 1998. 54. E. Nes and J. Washburn, J. Appl. Phys., 43, 2005 1972. 55. M. El Kajbaji and J. Thibault, Philos. Mag. Lett., 71, 335 1995. 56. K. J. Solberg, Acta Crystallogr., Sect. A: Cryst. Phys., Diffr., Theor. Gen. Crystallogr., A34, 684 1978. 57. E. Nes and G. Lunde, J. Appl. Phys., 43, 1835 1972. 58. G. Das, J. Appl. Phys., 44, 4459 1973. 59. W. Wijaranakula and S. S. Kim, J. Appl. Phys., 76, 6017 1994. 60. S. M. Hu and M. R. Poponiak, J. Appl. Phys., 453, 2067 1972. 61. E. Nes and J. Washburn, J. Appl. Phys., 42, 3562 1971. 62. E. Nes, Acta Metall., 22, 81 1974. ter, J. Appl. Phys., 88, 3795 2000. 63. S. M. Myers, M. Seibt, and W. Schro 64. C. Flink, H. Feick, S. A. McHugo, W. Seifert, H. Hieslmair, T. Heiser, A. A. Istratov, and E. R. Weber, Phys. Rev. Lett., 85, 4900 2000. 65. A. Cros, M. O. Aboelfotoh, and K. N. Tu, J. Appl. Phys., 67, 3328 1990. 66. R. Falster, Private communications 2000. 67. H. Gottschalk, Phys. Status Solidi A, 137, 447 1993. 68. M. Seibt and W. Schroter, Solid State Phenom., 19-20, 283 1991. 69. M. Seibt and K. Graff, J. Appl. Phys., 63, 4444 1988. 70. R. Shimokawa, Solid-State Electron., 26, 97 1983. 71. M. Itsumi, Y. Omura, K. Imai, T. Ueki, H. Akiya, M. Tomita, and M. Yamawaki, J. Electrochem. Soc., 143, 2357 1996. 72. T. Yamauchi, Y. Tsumori, T. Nakashizu, H. Esaka, S. Takao, and S. Shinoyama, Jpn. J. Appl. Phys., Part 2, 31, L439 1992. 73. W. C. Dash, J. Appl. Phys., 27, 1193 1956. 74. A. A. Istratov, C. Flink, H. Hieslmair, S. A. McHugo, and E. R. Weber, Mater. Sci. Eng. B, B72, 99 2000. 75. A. A. Istratov, O. F. Vyvenko, C. Flink, T. Heiser, H. Hieslmair, and E. R. Weber, in Defect & Impurity Engineered Semiconductors & Devices II, Vol. 262, p. 313, Materials Research Society, April 26-May 1, 1992. 76. O. F. Vyvenko, Solid State Phenom., 63-64, 301 1998. ln, Germany 2000. 77. C. Flink, Ph.D. Thesis, University of Ko 78. M. D. de Coteau, P. R. Wilshaw, and R. Falster, Phys. Status Solidi A, 117, 403 1990. 79. K. Ryoo, R. Drosd, and W. Wood, J. Appl. Phys., 63, 4440 1988. 80. M. Seibt, Solid State Phenom., 19-20, 45 1991. 81. V. Higgs, M. Goulding, A. Brinklow, and P. Kightley, Appl. Phys. Lett., 60, 1369 1992. 82. S. A. McHugo and C. Flink, Appl. Phys. Lett., 77, 3598 2000. 83. R. Rizk, X. Portier, G. Allais, and G. Nouet, J. Appl. Phys., 76, 952 1994. 84. J. L. Maurice and C. Colliex, Appl. Phys. Lett., 55, 241 1989. 85. A. Ihlal and G. Nouet, Phys. Status Solidi A, 141, 81 1994. 86. J. F. Hamet, R. Abdelaoui, and G. Nouet, J. Appl. Phys., 68, 638 1990. 87. M. Elkajbaji, J. Dessus, and J. Thibault, Philos. Mag. A, 66, 873 1992. 88. B. Shen, T. Sekiguchi, and K. Sumino, Mater. Sci. Forum, 196-201, 1207 1995.

addition of nitrogen to Ti, W, and Ta improves the stability of a barrier possibly by segregation of nitrogen at the existing grain boundaries stufng of grain boundaries, which otherwise provide efcient diffusion paths for Cu. Besides stufng, the diffusion paths along grain boundaries can be eliminated by adding Si to the barrier material to reduce grain size or even achieve nanocrystalline X-ray amorphous structure.206 Our rating of the diffusion barriers, Eq. 4, is in agreement with the observation that, in general, polycrystalline barriers without nitrogen are inferior to polycrystalline barriers with nitrogen-stuffed grain boundaries, and the latter ones are inferior to nanocrystalline, and amorphous barriers formed by addition of nitrogen and silicon.135,136,207 Since Si containing ternary compounds TaSiN, WSiN, and TiSiN have the highest resistivity from the 10 barriers compared in this study see, e.g., Ref. 133, 135, 136, 208, the Si industry tends to use TaN, TiN, or Ta as Cu diffusion barriers, in agreement with our assessment of these materials as a good choice from the available barriers. Acknowledgments Our present understanding of the physics of Cu in Si would not be possible without fruitful collaboration and discussions with S. Estreicher, L. Fabry, R. Falster, C. Flink, H. Hedemann, T. Heiser, ter, M. H. Hieslmair, S. Koveshnikov, S. A. McHugo, W. Schro Seibt, M. B. Shabani, W. Seifert, M. Kittler, U. Wahl, S. Myers, and O. F. Vyvenko. We would also like to thank T. Buonassisi for critical reading of the manuscript. This study was partly supported by the Silicon Wafer Engineering and Defect Science Consortium SiWEDS and the National Renewable Energy Laboratory, subcontract no. XAF-8-17607-04. The experimental work conducted for this study was carried out at Lawrence Berkeley National Laboratory, which is supported by the Director, Ofce of Energy Research, Ofce of Basic Energy Sciences, Materials Sciences Division, of the U.S. Department of Energy under contract no. DE-AC03-76SF00098.
University of California at Berkeley assisted in meeting the publication costs of this article.

References
1. D. R. Lide, Editor, CRC Handbook of Chemistry and Physics, CRC Press, Boca Raton, FL 1998. 2. G. W. Ludwig and H. H. Woodbury, in Solid State Physics, F. Seitz and D. Turnbull, Editors, p. 223, Academic Publishers, New York 1962. 3. G. W. Ludwig and H. H. Woodbury, Phys. Rev. Lett., 5, 98 1960. 4. R. L. Meek and T. E. Seidel, J. Phys. Chem. Solids, 36, 731 1975. 5. R. N. Hall and J. H. Racette, J. Appl. Phys., 35, 379 1964. 6. C. J. Gallagher, J. Phys. Chem. Solids, 3, 82 1957. 7. T. Heiser and A. Mesli, Appl. Phys. A: Solids Surf., 57, 325 1993. 8. H. Prigge, P. Gerlach, P. O. Hahn, A. Schnegg, and H. Jacob, J. Electrochem. Soc., 138, 1385 1991. 9. J. A. Dean, Langes Handbook of Chemistry, McGraw-Hill, New York 1992. 10. S. K. Estreicher, Phys. Rev. B, 60, 5375 1999. 11. M. Ronay and R. G. Schad, Phys. Rev. Lett., 64, 2042 1990. ter, M. Seibt, and D. Gilles, in Materials Science and Technology: A 12. W. Schro Comprehensive Treatment, R. W. Cahn, P. Haasen, and E. J. Kramer, Editors, p. 576, VCH, New York 1991. 13. A. A. Istratov, C. Flink, H. Hieslmair, E. R. Weber, and T. Heiser, Phys. Rev. Lett., 81, 1243 1998. 14. K. Graff, Metal Impurities in Silicon-Device Fabrication, Springer, Berlin 1995. 15. R. Keller, M. Deicher, W. Pfeiffer, H. Skudlik, D. Steiner, and T. Wichert, Phys. Rev. Lett., 65, 2023 1990. 16. A. Mesli and T. Heiser, Phys. Rev. B, 45, 11632 1992. 17. A. Mesli, T. Heiser, and E. Mulheim, Mater. Sci. Eng., B, 25, 141 1994. 18. F. C. Frank and D. Turnbull, Phys. Rev., 104, 617 1956. 19. H. Reiss, C. S. Fuller, and F. J. Morin, Bell Syst. Tech. J., 35, 535 1956. 20. S. K. Estreicher, Phys. Rev. B, 41, 5447 1990. 21. U. Wahl, A. Vantomme, G. Langouche, J. P. Araujo, L. Peralta, and J. G. Correia, Appl. Phys. Lett., 77, 2142 2000. 22. J. D. Struthers, J. Appl. Phys., 27, 1560 1956. 23. O. L. Anderson and D. A. Stuart, J. Am. Ceram. Soc., 37, 573 1954. 24. J. Utzig, J. Appl. Phys., 65, 3868 1989. 25. D. E. Woon, D. S. Marynick, and S. K. Estreicher, Phys. Rev. B, 45, 13383 1992. 26. E. R. Weber, Appl. Phys. A: Solids Surf., 30, 1 1983. 27. A. A. Istratov, H. Hieslmair, and E. R. Weber, Appl. Phys. A: Mater. Sci. Process., 69, 13 1999. 28. A. A. Istratov, H. Hieslmair, and E. R. Weber, Appl. Phys. A: Mater. Sci. Process., 70, 489 2000.

Downloaded on 2012-11-18 to IP 137.132.123.69 address. Redistribution subject to ECS license or copyright; see www.esltbd.org

Journal of The Electrochemical Society, 149 1 G21-G30 2002


89. B. Shen, T. Sekiguchi, R. Zhang, Y. Shi, Y. D. Zheng, and K. Sumino, Phys. Status Solidi A, 155, 321 1996. 90. B. Shen, T. Sekiguchi, Z. Rong, Y. Shi, H. Shi, Y. Kai, Z. Youdou, and K. Sumino, Jpn. J. Appl. Phys., Part 1, 35, 3301 1996. 91. M. B. Shabani, T. Yoshimi, and H. Abe, J. Electrochem. Soc., 143, 2025 1996. 92. M. B. Shabani, S. Okuuchi, and Y. Shimanuki, in Analytical and Diagnostic Techniques for Semiconductor Materials, Devices, and Processes, B. O. Kolbesen, C. Claeys, P. Stallhofer, F. Tardif, J. Benton, T. Shaffner, D. Schroder, S. Kishino, and P. Rai-Choudhury, Editors, PV 99-16 p. 510, The Electrochemical Society Proceedings Series, Pennington, NJ 1999. 93. C. McCarthy, M. Miyazaki, H. Horie, S. Okamoto, and H. Tsuya, in Semiconduc sele, and H. Tsuya, Editors, PV98-1, Vol. 1, p. tor Silicon 1998, H. Huff, U. Go 629, The Electrochemical Society, Proceedings Series, Pennington, NJ 1998. 94. J. M. E. Harper, A. Charai, L. Stolt, F. M. DHeurle, and P. M. Fryer, Appl. Phys. Lett., 56, 2519 1990. 95. C. S. Liu and L. J. Chen, J. Appl. Phys., 74, 3611 1993. 96. C. S. Liu and L. J. Chen, Thin Solid Films, 262, 187 1995. 97. M. Setton, J. Van der Spiegel, and B. Rothman, Appl. Phys. Lett., 57, 357 1990. 98. M. Borner, S. Landau, S. Metz, and B. O. Kolbesen, in Crystalline Defects and Contamination: Their Impact and Control in Device Manufacturing II, B. O. Kolbesen, C. Claeys, P. Stallhofer, and F. Tardiff, Editors, PV 97-22, p. 338, The Electrochemical Society Proceedings Series, Pennington, NJ 1997. 99. D. M. Follstaedt, S. M. Myers, R. J. Culbertson, O. W. Holland, K. S. Jones, and K. Maex, in Materials Synthesis and Processing Using Ion Beams, Vol. 316, p. 27, Materials Research Society, Nov 29-Dec 2, 1993. 100. T. L. Alford, E. J. Jaquez, N. D. Theodore, S. W. Russell, M. Diale, D. Adams, and S. Anders, J. Appl. Phys., 79, 2074 1996. 101. J. Li, H. Yonezawa, and T. Shigematsu, Jpn. J. Appl. Phys., Part 2, 31, L210 1992. 102. C. S. Liu and L. J. Chen, J. Appl. Phys., 74, 5507 1993. 103. H. Y. Huang, L. J. Chen, D. C. Edelstein, T. Kikkawa, M. C. Ozturk, K. N. Tu, and E. J. Weitzman, in Advanced Interconnects and Contacts, Vol. 564, p. 217, Materials Research Society, April 5-7, 1999. 104. L. Stolt, A. Charai, F. M. DHeurle, P. M. Fryer, and J. M. E. Harper, J. Vac. Sci. Technol. A, 9, 1501 1991. 105. V. Bertagna, F. Rouelle, and M. Chemla, Z. Naturforsch. A: Phys. Sci., 52, 465 1997. 106. V. Bertagna, F. Rouelle, R. Erre, and M. Chemia, Semicond. Sci. Technol., 15, 121 2000. 107. V. Bertagna, F. Rouelle, and M. Chemla, in Cleaning Technology in Semiconductor Device Manufacturing V, J. Ruzyllo, R. E. Novak, C. M. Appel, T. Hattori, and M. Heyns, Editors, PV 97-35, p. 128, The Electrochemical Society Proceedings Series, Pennington 1998. 108. J. S. Kim, H. Morita, J. D. Joo, and T. Ohmi, J. Electrochem. Soc., 144, 3275 1997. 109. T. Imaoka, T. Kezuka, J. Takano, I. Sugiyama, and T. Ohmi, IEICE Trans. Electron., E75-C, 816 1992. 110. M. B. Shabani, S. Okuuchi, T. Yoshimi, T. Shingyoji, F. G. Kirscht, C. L. Claeys, P. Rai-Choudhury, M. Watanbabe, P. Stallhofer, and H. J. Dawson, in High Purity Silicon V, C. Claeys, P. Rai-Choudhury, M. Watanbabe, P. Stallhofer, and H. J. Dawson, Editors, PV 98-13, p. 313, The Electrochemical Society Proceedings Series, Pennington, NJ 1998. 111. J. Reichel and S. Sevcik, Phys. Status Solidi A, 103, 413 1987. 112. T. Zundel, J. Weber, B. Benson, P. O. Hahn, A. Schnegg, and H. Prigge, Appl. Phys. Lett., 53, 1426 1988. 113. D. Ballutaud, P. de Mierry, M. Aucouturier, and E. Darque-Ceretti, Appl. Surf. Sci., 47, 1 1991. 114. T. Nakahara, S. Ohkura, F. Shoji, T. Hanawa, and K. Oura, Nucl. Instrum. Methods Phys. Res. B, 45, 467 1990. 115. T. Heiser and A. Mesli, Appl. Phys. Lett., 58, 2240 1991. 116. T. Heiser and A. Mesli, Phys. Rev. Lett., 68, 978 1992. 117. X. Gao, H. Mollenkopf, and S. Yee, Appl. Phys. Lett., 59, 2133 1991. 118. T. Yoshimi, M. B. Shabani, S. Okuuchi, and H. Abe, in Diagnostic Techniques for Semiconductor Materials and Devices, P. Rai-Choudhury, J. L. Benton, D. K. Schroder, and T. J. Shaffner, Editors, PV 97-12, p. 452, The Electrochemical Society Proceedings Series, Pennington, NJ 1997. 119. K. Suzuki, H. Tashiro, and T. Aoyama, Solid-State Electron., 43, 27 1999. 120. S. P. Jeng, T. P. Ma, R. Canteri, M. Anderle, and G. W. Rubloff, Appl. Phys. Lett., 61, 1310 1992. 121. W. Leskoschek, H. Feichtinger, and W. Prantl, Acta Phys. Austriaca, 40, 296 1974. 122. S. A. McHugo, R. J. McDonald, A. R. Smith, D. L. Hurley, and E. R. Weber, Appl. Phys. Lett., 73, 1424 1998. 123. R. Hoelzl, D. Huber, K. J. Range, L. Fabry, J. Hage, and R. Wahlich, J. Electrochem. Soc., 147, 2704 2000. 124. A. Goetzberger and W. Shockley, J. Appl. Phys., 31, 1821 1960. 125. H. H. Busta and H. A. Waggener, J. Electrochem. Soc., 124, 1424 1977. 126. R. Bohm and H. Klose, Phys. Status Solidi A, 9, K165 1972. 127. R. W. Hamaker, Z. C. Putney, R. L. Ayers, and P. H. Smith, Solid-State Electron., 24, 1001 1981. 128. B. Sun, T. Chiang, P. Ding, and B. Chin, in Advanced Metallization and Interconnect Systems for ULSI Applications in 1997, R. Cheung, J. Klein, K. Tsubouchi, M. Murakami, and N. Kobayashi, Editors, p. 137, Materials Research Society, Warrendale, PA 1998. 129. P.-T. Liu, T.-C. Chang, J. C. Hu, Y. L. Yang, and S. M. Sze, J. Electrochem. Soc., 147, 368 2000.

G29

130. Q.-Z. Hong, J.-P. Lu, W.-Y. Hsu, H.-L. Tsai, G. Xing, T. Hurd, L.-Y. Chen, and R. Havemann, in Advanced Metallization and Interconnect Systems for ULSI Applications in 1997, R. Cheung, J. Klein, K. Tsubouchi, M. Murakami, and N. Kobayashi, Editors, p. 71, Materials Research Society, Warrendale, PA 1998. 131. A. Jain, C. Simpson, T. Saaranen, R. Venkatraman, M. Herrick, M. Angyal, R. Bajaj, C. Dang, S. Das, D. Denning, J. Farkas, D. Watts, C. Capasso, J. GelAtos, R. Islam, B. Smith, T. Sparks, P. Crabtree, S. Filipiak, C. King, B. Fiordalice, H. Kawasaki, J. Klein, S. Venkatesan, and E. Weitzman, in Advanced Metallization and Interconnect System for ULSI Applications in 1997, R. Cheung, J. Klein, K. Tsubouchi, M. Murakami, and N. Kobayashi, Editors, p. 41, Materials Research Society, Warrendale, PA 1998. 132. P. J. Pokela, C. K. Kwok, E. Kolawa, S. Raund, and M. A. Nicolet, Appl. Surf. Sci., 53, 364 1991. 133. E. Kolawa, P. J. Pokela, J. S. Reid, J. S. Chen, and M. A. Nicolet, Appl. Surf. Sci., 53, 373 1991. 134. J.-C. Chiou and M. C. Chen, J. Electrochem. Soc., 141, 2804 1994. 135. J. S. Reid, X. Sun, E. Kolawa, and M. A. Nicolet, IEEE Electron Device Lett., 15, 298 1994. 136. E. Kolawa, P. J. Pokela, J. S. Reid, J. S. Chen, R. P. Ruiz, and M. A. Nicolet, IEEE Electron Device Lett., 12, 321 1991. 137. J. S. Reid, E. Kolawa, R. P. Ruiz, and M. A. Nicolet, Thin Solid Films, 236, 319 1993. 138. R. G. Purser, J. W. Strane, and J. W. Mayer, in Materials Reliability in Microelectronics III, K. P. Rodbell, W. F. Filter, H. J. Frost, and P. S. Ho, Editors, p. 481, Materials Research Society, Pittsburgh, PA 1993. 139. T.-S. Chang, W.-C. Wang, L.-P. Wang, J.-C. Hwang, and F.-S. Huang, J. Appl. Phys., 75, 7847 1994. 140. E. Kolawa, J. S. Chen, J. S. Reid, P. J. Pokela, and M. A. Nicolet, J. Appl. Phys., 70, 1369 1991. 141. S. Nakao, M. Numata, and T. Ohmi, Jpn. J. Appl. Phys., Part 1, 38, 2401 1999. 142. M. T. Wang, L. J. Chen, and M. C. Chen, J. Electrochem. Soc., 146, 728 1999. 143. J.-C. Chuang, S.-L. Tu, and M.-C. Chen, Thin Solid Films, 346, 299 1999. 144. J.-C. Chuang, S.-L. Tu, and M.-C. Chen, J. Electrochem. Soc., 146, 2643 1999. 145. M. T. Wang, Y. C. Lin, and M. C. Chen, J. Electrochem. Soc., 145, 2538 1998. 146. K.-M. Chang, T.-H. Yeh, I.-C. Deng, and C.-W. Shih, J. Appl. Phys., 82, 1469 1997. 147. M. A. Nicolet, Appl. Surf. Sci., 91, 269 1995. 148. C. Kaufmann, J. Baumann, T. Gessner, T. Raschke, M. Rennau, and N. Zichner, Appl. Surf. Sci., 91, 291 1995. 149. C. Ahrens, D. Depta, F. Schitthelm, and S. Wilhelm, Appl. Surf. Sci., 91, 285 1995. 150. J. Baumann, M. Markert, T. Werner, A. Ehrlich, M. Rennau, C. Kaufmann, and T. Gessner, Microelectron. Eng., 37-38, 229 1997. 151. J. Baumann, C. Kaufmann, M. Rennau, T. Werner, and T. Gessner, Microelectron. Eng., 33, 283 1997. 152. M. Miyazaki, M. Sano, S. Sumita, and N. Fujino, Jpn. J. Appl. Phys., Part 2, 30, L295 1991. 153. D. A. Ramappa and W. B. Henley, J. Electrochem. Soc., 146, 2258 1999. 154. K. Hiramoto, M. Sano, S. Sadamitsu, and N. Fujino, Jpn. J. Appl. Phys., Part 2, 28, L2109 1989. 155. S. Ogushi, N. Reilly, S. Sadamitsu, Y. Koike, M. Sano, S. Ashok, J. Chevallier, K. Sumino, B. L. Sopori, and W. Gotz, in Defect and Impurity Engineered Semiconductors II, Vol. 510, p. 227, Materials Research Society, April 13-17, 1998. 156. S. Saito, K. Hamada, D. J. Eaglesham, Y. Shiramizu, J. L. Benton, H. Kitajima, S. D. C. Jacobson, and J. M. Poate, in Science Technology Semiconductor Surface Preparation, Vol. 477, p. 81, Materials Research Society, April 1-3, 1997. 157. B. Vermeire, L. Lee, and H. G. Parks, IEEE Trans. Semicond. Manuf., 11, 232 1998. 158. E. P. Burte and W. Aderhold, Solid-State Electron., 41, 1021 1997. 159. P. W. Mertens, M. Meuris, H. F. Schmidt, S. Verhaverbeke, M. M. Heyns, P. Carr, f, A. Schnegg, M. Kubota, K. Dillenbeck, and R. de Blank, in Crystalline D. Gra Defects and Contamination: Their Impact and Control in Device Manufacturing, B. O. Kolbesen, C. Claeys, P. Stallhofer, and F. Tardif, Editors, PV 93-15, p. 87, The Electrochemical Society Proceedings Series, Pennington, NJ 1993. 160. H. Wendt, H. Cerva, V. Lehmann, and W. Pamler, J. Appl. Phys., 65, 2402 1989. 161. A. Correia, D. Ballutaud, and J. L. Maurice, Jpn. J. Appl. Phys., Part 1, 33, 1217 1994. 162. J. R. Davis, A. Rohatgi, R. H. Hopkins, P. D. Blais, P. Rai-Choudhury, J. R. McCormic, and H. C. Mollenkopf, IEEE Trans. Electron Devices, ED-27, 677 1980. 163. R. H. Hopkins and A. Rohatgi, J. Cryst. Growth, 75, 67 1985. 164. S. Naito and T. Nakashizu, in Defect Engineering in Semiconductor Growth, Processing and Device Technology, Vol. 262, p. 641, Materials Research Society, April 26-May 1, 1992. 165. M. Itsumi, Y. Sato, K. Imai, and N. Yabumoto, J. Appl. Phys., 82, 3250 1997. 166. D. Walz, J. P. Joly, M. Suarez, J. Palleau, and G. Kamarinos, in Analytical Techniques for Semiconductor Materials and Process Characterization II, B. O. Kolbesen, C. Claeys, and P. Stallhofer, Editors, PV 95-30, p. 64, The Electrochemical Society Proceedings Series, Pennington, NJ 1995. 167. A. L. P. Rotondaro, T. Q. Hurd, A. Kaniava, J. Vanhellemont, E. Simoen, M. M. Heyns, C. Claeys, and G. Brown, J. Electrochem. Soc., 143, 3014 1996. chl, A. Huber, L. Fabry, and L. Meinecke, in Recombination 168. A. Kempf, P. Blo Lifetime Measurements in Silicon, D. C. Gupta, F. R. Bacher, and W. M. Hughes, Editors, p. 259, ASTM, West Conshohocken, PA 1998. 169. L. Zhong and F. Shimura, Appl. Phys. Lett., 61, 1078 1992. 170. M. Miyazaki, in Recombination Lifetime Measurements in Silicon, D. C. Gupta, F.

Downloaded on 2012-11-18 to IP 137.132.123.69 address. Redistribution subject to ECS license or copyright; see www.esltbd.org

G30

Journal of The Electrochemical Society, 149 1 G21-G30 2002


R. Bacher, and W. M. Hughes, Editors, p. 294, ASTM, West Conshohockens, PA 1998. W. B. Henley and D. A. Ramappa, Appl. Phys. Lett., 74, 278 1999. R. Sachdeva, Appl. Phys. Lett., 79, 2937 2001. P. S. Plekhanov and T. Y. Tan, Appl. Phys. Lett., 76, 3777 2000. ter, V. Kveder, M. Seibt, H. Ewe, H. Hedemann, F. Riedel, and A. Sattler, W. Schro Mater. Sci. Eng. B, B72, 80 2000. D. A. Ramappa, Appl. Phys. Lett., 76, 3756 2000. A. A. Istratov, T. Heiser, H. Hieslmair, C. Flink, J. Krueger, and E. R. Weber, Mater. Sci. Forum, 258-263, 467 1997. M. Nakamura, Appl. Phys. Lett., 73, 3896 1998. M. Nakamura, S. Ishiwari, and A. Tanaka, Appl. Phys. Lett., 73, 2325 1998. J.-G. Lee and S. R. Morrison, J. Appl. Phys., 64, 6679 1988. International Technology Roadmap for Semiconductors, Semiconductor Industry Association, San Jose, CA. D. de Cogan, Y. M. Haddara, and K. Jones, in Properties of Crystalline Silicon, R. Hull, Editor, p. 599, INSPEC, London 1999. A. A. Istratov, C. Flink, and E. R. Weber, Phys. Status Solidi B, 222, 261 2000. S.-K. Rha, S.-Y. Lee, W.-J. Lee, Y.-S. Hwang, C.-O. Park, D.-W. Kim, Y.-S. Lee, and C.-N. Whang, J. Vac. Sci. Technol. B, 16, 2019 1998. S. K. Rha, W. J. Lee, S. Y. Lee, Y. S. Hwang, Y. J. Lee, D. I. Kim, D. W. Kim, S. S. Chun, and C. O. Park, Thin Solid Films, 320, 134 1998. M. Y. Kwak, D. H. Shin, T. W. Kang, and K. N. Kim, Thin Solid Films, 339, 290 1999. F. Braud, J. Torres, J. Palleau, J. L. Mermet, and M. J. Mouche, Appl. Surf. Sci., 91, 251 1995. M. Y. Kwak, D. H. Shin, T. W. Kang, and K. N. Kim, Phys. Status Solidi A, 174, R5 1999. F. Braud, J. Torres, J. Palleau, J. L. Mermet, C. Marcadal, and E. Richard, Microelectron. Eng., 33, 293 1997. V. S. C. Len, R. E. Hurley, N. McCusker, D. W. McNeill, B. M. Armstrong, and H. S. Gamble, Solid-State Electron., 43, 1045 1999. M.-Y. Kwak, D.-H. Shin, T.-W. Kang, and K.-N. Kim, Jpn. J. Appl. Phys., Part 1, 38, 5792 1999. 191. C. Ahrens, R. Ferretti, G. Friese, and J. O. Weidner, Microelectron. Eng., 37-38, 211 1997. 192. C. Ahrens, G. Friese, R. Ferretti, B. Schwierzi, and W. Hasse, Microelectron. Eng., 33, 301 1997. 193. S.-K. Rha, W.-J. Lee, S.-Y. Lee, D.-W. Kim, C.-O. Park, and S.-S. Chun, J. Mater. Res., 12, 3367 1997. 194. S.-Q. Wang, S. Suthar, C. Hoeich, and B. J. Burrow, J. Appl. Phys., 73, 2301 1993. 195. S.-Q. Wang, I. Raaijmakers, B. J. Burrow, S. Suthar, S. Redkar, and K.-B. Kim, J. Appl. Phys., 68, 5176 1990. 196. T. Heiser and E. R. Weber, Phys. Rev. B, 58, 3893 1998. 197. D. V. Lang, J. Appl. Phys., 45, 3023 1974. 198. M. Stavrev, D. Fischer, C. Wenzel, and T. Heiser, Microelectron. Eng., 37-38, 245 1997. 199. T. Heiser, C. Brochard, and M. Swaanen, in Materials, Technology and Reliability for Advanced Interconnects and Low-k Dielectrics, Vol. 612, p. 1, Materials Research Society, April 23-27, 2001. 200. M. B. Shabani, T. Yoshimi, S. Okuuchi, and H. Abe, Solid State Phenom., 57-58, 81 1997. 201. K. Holloway and P. M. Fryer, Appl. Phys. Lett., 57, 1736 1990. 202. K. Holloway, P. M. Fryer, C. Cabral, Jr., J. M. E. Harper, P. J. Bailey, and K. H. Kelleher, J. Appl. Phys., 71, 5433 1992. 203. T. Oku, E. Kawakami, M. Uekubo, K. Takahiro, S. Yamaguchi, and M. Murakami, Appl. Surf. Sci., 99, 265 1996. 204. M. B. Chamberlain, Thin Solid Films, 91, 155 1982. 205. A. A. Istratov and E. R. Weber, in Copper Interconnects, New Contact Metallurgies/Structures, and Low-k Interlevel Dielectrics, G. S. Mathad, H. S. Rathore, B. C. Baker, C. Reidsma Simpson, and T. L. Ritzdorf, Editors, PV p. 90, The Electrochemical Society Proceedings Series, Pennington, NJ 2001. 206. D. J. Kim, Y. T. Kim, and J. W. Park, J. Appl. Phys., 82, 4847 1997. 207. M. Stavrev, D. Fischer, F. Praessler, C. Wenzel, and K. Drescher, J. Vac. Sci. Technol. A, 17, 993 1999. 208. T. Iijima, Y. Shimooka, and K. Suguro, Electron. Commun. Jpn., Part 2: Electron., 78, 67 1995.

171. 172. 173. 174. 175. 176. 177. 178. 179. 180. 181. 182. 183. 184. 185. 186. 187. 188. 189. 190.

Downloaded on 2012-11-18 to IP 137.132.123.69 address. Redistribution subject to ECS license or copyright; see www.esltbd.org

Vous aimerez peut-être aussi