Vous êtes sur la page 1sur 12

Informe N 5 Transcodificadores 1. Objetivos Simplificar funciones utilizando Multiplexores. Resolver problemas reales planteados a travs de simplificacin con multiplexores.

xores. Realizar el anlisis y diseo de codificadores y decodificadores. Manipular display de 7 segmentos (nodo comn o ctodo comn). Disear circuitos que permitan la visualizacin del resultado mediante el uso del diplay de 7 segmentos. Utilizar circuitos integrados que realicen una codificacin y una decodificacin

2. Fundamento terico 2.1.Multiplexores Los multiplexores son circuitos combinacionales con varias entradas y una nica salida de datos, estn dotados de entradas de control capaces de seleccionar una, y slo una, de las entradas de datos para permitir su transmisin desde la entrada seleccionada hacia dicha salida. En el campo de la electrnica el multiplexor se utiliza como dispositivo que puede recibir varias entradas y transmitirlas por un medio de transmisin compartido. Para ello lo que hace es dividir el medio de transmisin en mltiples canales, para que varios nodos puedan comunicarse al mismo tiempo. Una seal que est multiplexada debe demultiplexarse en el otro extremo. Estos circuitos combinacionales poseen lneas de entrada de datos, una lnea de salida y n entradas de seleccin. Las entradas de seleccin indican cul de estas lneas de entrada de datos es la que proporciona el valor a la lnea de salida. Tambin se pueden construir multiplexores con mayor nmero de entradas utilizando multiplexores de menos entradas, utilizando la composicin de multiplexores. En electrnica digital, es usado para el control de un flujo de informacin que equivale a un conmutador. En su forma ms bsica se compone de dos entradas de datos (A y B), una salida de datos y una entrada de control. Cuando la entrada de control se pone a 0 lgico, la seal de datos A es conectada a la salida; cuando la entrada de control se pone a 1 lgico, la seal de datos B es la que se conecta a la salida. El multiplexor es una aplicacin particular de los decodificadores, tal que existe una entrada de habilitacin (EN) por cada puerta AND y al final se hace un OR entre todas las salidas de las puertas AND. La funcin de un multiplexor da lugar a diversas aplicaciones: Selector de entradas. Serializador: Convierte datos desde el formato paralelo al formato serie. Transmisin multiplexada: Utilizando las mismas lneas de conexin, se transmiten diferentes datos de distinta procedencia. Realizacin de funciones lgicas: Utilizando inversores y conectando a 0 o 1 las entradas segn interese, se consigue disear funciones complejas, de un modo ms compacto que con las tradicionales puertas lgicas. Mediante una seal de control deseamos seleccionar una de las entradas y que sta aparezca a la salida. Haciendo una analoga elctrica, podemos comparar un multiplexor con un conmutador de varias posiciones, de manera que, situando el

selector en una de las posibles entradas, sta aparecer en la salida. 2.2.Codificadores Un codificador es un circuito combinacional con 2N entradas y N salidas, cuya misin es presentar en la salida el cdigo binario correspondiente a la entrada activada. Existen dos tipos fundamentales de codificadores: codificadores sin prioridad y codificadores con prioridad. En el caso de codificadores sin prioridad, puede darse el caso de salidas cuya entrada no pueda ser conocida: por ejemplo, la salida 0 podra indicar que no hay ninguna entrada activada o que se ha activado la entrada nmero 0. Adems, ciertas entradas pueden hacer que en la salida se presente la suma lgica de dichas entradas, ocasionando mayor confusin. Por ello, este tipo de codificadores es usado nicamente cuando el rango de datos de entrada est correctamente acotado y su funcionamiento garantizado. Para evitar los problemas anteriormente comentados, se disean los codificadores con prioridad. En estos sistemas, cuando existe ms de una seal activa, la salida codifica la de mayor prioridad (generalmente correspondiente al valor decimal ms alto). Adicionalmente, se codifican dos salidas ms: una indica que ninguna entrada est activa, y la otra que alguna entrada est activa. Esta medida permite discernir entre los supuestos de que el circuito estuviera deshabilitado por la no activacin de la seal de capacitacin, que el circuito no tuviera ninguna entrada activa, o que la entrada nmero 0 estuviera activada. Tambin entendemos como codificador (cdec), un esquema que regula una serie de transformaciones sobre una seal o informacin. Estos pueden transformar un seal a una forma codificada usada para la transmisin o cifrado o bien obtener la seal adecuada para la visualizacin o edicin (no necesariamente la forma original) a partir de la forma codificada. En este caso, los codificadores son utilizados en archivos multimedia para comprimir audio, imagen o vdeo, ya que la forma original de este tipo de archivos es demasiado grande para ser procesada y transmitida por los sistema de comunicacin disponibles actualmente. Se utilizan tambin en la compresin de datos para obtener un tamao de archivo menor. Segn esta nueva definicin, podemos dividir los codificadores en cdecs sin prdidas y cdecs con prdidas, segn si la informacin que se recupera coincide exactamente con la original o es una aproximacin. 2.3.Decodificadores Un decodificador o descodificador es un circuito combinacional, cuya funcin es inversa a la del codificador, esto es, convierte un cdigo binario de entrada (natural, BCD, etc.) de N bits de entrada y M lneas de salida (N puede ser cualquier entero y M es un entero menor o igual a 2 N), tales que cada lnea de salida ser activada para una sola de las combinaciones posibles de entrada. Estos circuitos, normalmente, se suelen encontrar como decodificador/demultiplexor. Esto es debido a que un demultiplexor puede comportarse como un decodificador. Si por ejemplo tenemos un decodificador de 2 entradas con 22=4 salidas, en el que las entradas, su funcionamiento sera el que se indica en la siguiente tabla, donde se ha considerado que las salidas se activen con un "uno" lgico: 3. Materiales

o Circuitos integrados 74LS04, 74LS32, 74LS08, 74LS11, 74LS27, 74LS21, 74LS151, 74LS157 lo necesario de cada uno. o Resistencias de 10[K]; 1[K]; 330 [] y 3.9 [k] todos de 0.5 [W]. o Diodos emisores de luz (LED). o Fuente de corriente continua de aproximadamente 5V. o Interruptor DIP. o Display 7 segmentos nodo comn y ctodo comn. o Decodificadores 74LS47 y 74LS48. o Conectores 4. Descripcin de los materiales usados 4.1.Decodificador 74LS47 El 74LS47 acepta cuatro lneas de BCD natural (8421) de entrada datos, genera sus complementos internamente y decodifica los datos con siete AND / OR con colector abierto salidas para conducir segmentos del indicador directamente. Cada segmento la produccin est garantizada a hundirse 24 mA en la posicin ON (LOW) del estado y soportar 15 V en la posicin OFF (ALTO) del estado con una fuga de corriente mxima de 250 mA. Este decodificador va acompaado del display de siete segmentos de nodo comn, el cual se conecta a Vcc mediante una resistencia para proteger los led que tiene en su interior. Su representacin esquemtica se muestra en el grafico debajo.

4.2.Decodificador 74LS48 El 74LS48 es un decodificador de BCD a 7 segmentos que consta de NAND puertas, buffers de entrada y siete AND-OR-INVERT puertas. Siete compuertas NAND y un conductor estn conectados en parejas para hacer que los datos BCD y su complemento a disposicin de la decodificacin de siete AND-ORINVERT puertas. El restante NAND y tres buffers de entrada proporcionar prueba de la lmpara, el corte de entrada / rippleblanking de entrada para el LS48. El circuito acepta 4-bit binario decimal codificado (BCD) y, dependiendo el estado de las entradas auxiliares, descodifica esta informacin para conducir otros componentes. Los relativos positivos niveles lgicos de salida, as como las condiciones requeridas en entradas auxiliares, se muestran en las tablas de verdad.

5. Experimento 5.1.Construir un MUX 8x1 utilizando compuertas lgicas NOT, AND Y OR. (TTL o CMOS)
VDD 5V R2 3.9k R3 3.9k R4 3.9k R5 3.9k R6 3.9k R7 3.9k R8 3.9k R9 3.9k J1 U5A 74LS04D U5B 74LS04D U5C 74LS04D R11 3.9k R10 3.9k R12 3.9k U1A 74LS21D U1B 74LS21D U2A 74LS21D U2B 74LS21D U3A 74LS21D U3B 74LS21D U4A 74LS21D U4B 74LS21D 74LS32D U6D 74LS32D 74LS32D U7B LED1 U6C 74LS32D U7C 74LS32D R1 10 U6B 74LS32D U7A 74LS32D U6A

VDD 5V

J9 Key = X

J10 Key = Y

J11 Key = Z

5.2.Simplificar la siguiente funcin utilizando MUX: (TTL o CMOS) X 0 0 0 0 1 1 1 1 Se implementa el siguiente circuito: Y 0 0 1 1 0 0 1 1 Z 0 1 0 1 0 1 0 1 F 0 1 0 0 1 1 1 1

VDD 5V R2 3.9k R3 3.9k R4 3.9k R5 3.9k R6 3.9k R7 3.9k R8 3.9k R9 3.9k J1 U5A 74LS04D U5B 74LS04D U5C 74LS04D R11 3.9k R10 3.9k R12 3.9k U1A 74LS21D U1B 74LS21D U2A 74LS21D U2B 74LS21D U3A 74LS21D U3B 74LS21D U4A 74LS21D U4B 74LS21D 74LS32D U6D 74LS32D 74LS32D U7B LED1 U6C 74LS32D U7C 74LS32D R1 10 U6B 74LS32D U7A 74LS32D U6A

VDD 5V

J9 Key = X

J10 Key = Y

J11 Key = Z

5.3.Implemente la siguiente funcin utilizando un multiplexor: (TTL o CMOS) F(A,B,C,D) = (0, 1, 3, 4, 8, 9, 15) Se tiene la siguiente tabla de verdad A 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 B 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 C 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 D 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1
( )

0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15

1 1 0 1 1 0 0 0 1 1 0 0 0 0 0 1

Se tiene el circuito implementado netamente con compuertas:

VDD 5V R2 3.9k R3 3.9k R4 3.9k R5 3.9k J1 U3A 74LS04D 74LS11D U3B 74LS04D 74LS11D U2A 74LS32D 74LS11D U1C 74LS32D U6B 74LS11D U1B 74LS32D U7A U1A U6A

VDD 5V R1 3.9k R6 3.9k R7 3.9k R8 3.9k J2 U10A 74LS04D 74LS11D U10B 74LS04D 74LS11D U22A VDD 5V R9 3.9k R10 3.9k R11 3.9k R12 3.9k J3 U15A 74LS04D VDD 5V R13 3.9k R14 3.9k R15 3.9k R16 3.9k J4 U20A 74LS04D 74LS11D U20B 74LS04D 74LS11D U17A 74LS32D 74LS11D U16C 74LS32D U18B 74LS11D U16B 74LS32D U19A U16A U18A 74LS11D U15B 74LS04D 74LS11D U12A 74LS32D J6 Key = A J7 Key = B 74LS11D U11C 74LS32D U13B 74LS11D U11B 74LS32D U14A R23 3.9k R24 3.9k VDD 5V U11A U13A U25A 74LS04D 74LS11D U25B 74LS04D 74LS32D LED1 74LS11D U21C 74LS32D U23B 74LS11D U5A 74LS32D 74LS11D U21B 74LS32D U24A R25 10 74LS11D U4C 74LS32D U8B U21A U23A 74LS11D U4B 74LS32D U9A U4A U8A

R21 3.9k R22 3.9k J9 Key = C J10 Key = D VDD 5V

Sin embargo se observa que el circuito implementado con compuertas es muy moroso por lo cual se implementa con el circuito integrado correspondiente a los multiplexores:
VDD 5V
RPACK 8

R1 3.9k U1
D0 D1 D2 D3 D4 D5 D6 D7 Y ~W

LED1 U5
D0 D1 A ~G Y ~W

VDD 5V
RPACK 8

A B C ~G

R21 470

R23 3.9k

MUX_8TO1 U2
D0 D1 D2 D3 D4 D5 D6 D7 A B C ~G Y ~W

MUX_2TO1

MUX_8TO1 R4 180

VDD 5V

RPACK 4

J1

J2 J5

5.4.Utilizando dos MUX, disear un circuito que, estando constituido por cuatro pulsadores, A,B,C,D y dos lmparas, L1 Y L2, cumplan las siguientes condiciones de funcionamiento: L1 se encender si se pulsan tres interruptores cualesquiera. L2 se encender si se pulsan los cuatro pulsadores Si se pulsa un solo pulsador, sea este el que sea, se encendern L1 y L2. A 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 B 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 C 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 D 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1
( ) ( )

0 1 1 0 1 0 0 1 1 0 0 1 0 1 1 0

0 1 1 0 1 0 0 0 1 0 0 0 0 0 0 1

VDD 5V
RPACK 8

R1 3.9k U1
D0 D1 D2 D3 D4 D5 D6 D7 Y ~W

LED1 U5
D0 D1 A ~G Y ~W

VDD 5V
RPACK 8

A B C ~G

R21 470

R23 3.9k

MUX_8TO1 U2
D0 D1 D2 D3 D4 D5 D6 D7 A B C ~G Y ~W

MUX_2TO1

MUX_8TO1 R4 180

VDD 5V

RPACK 4

J1

J2 J5

VDD 5V
RPACK 8

R2 3.9k U3
D0 D1 D2 D3 D4 D5 D6 D7 Y ~W

LED2 U6
D0 D1 A ~G Y ~W

R22 470

VDD 5V R3 3.9k

A B C ~G

MUX_8TO1 U4
D0 D1 D2 D3 D4 D5 D6 D7 A B C ~G Y ~W

MUX_2TO1

RPACK 8

MUX_8TO1

J3

J4

5.5.Implementar un conversor BCD natural a 7 segmentos, utilizando un display Se tiene la siguiente tabla de verdad: Salidas C D E 1 1 1 1 0 0 0 1 1 1 1 0 1 0 0 1 1 0 1 1 1 1 0 0 1 1 1 1 0 0 Entradas F 1 0 0 0 1 1 1 0 1 1 G 0 0 1 1 1 1 1 0 1 1 0 0 0 0 0 0 0 0 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 0 0 1 1 0 0 0 1 0 1 0 1 0 1 0 1 Nmero 0 1 2 3 4 5 6 7 8 9

A 1 0 1 1 0 1 1 1 1 1

B 1 1 1 1 1 0 0 1 1 1

De la tabla de verdad se tiene las siguientes tablas de Karnaugh para cuatro variables: Segmento A 00 01 00 1 0 01 0 1 11 0 0 10 1 1 Segmento B 00 01 00 1 1 01 1 0 11 0 0 10 1 1 00 1 1 0 1 01 1 1 0 1 11 1 1 0 0 10 0 1 0 0

11 1 1 0 0

10 1 1 0 0

00 01 11 10

11 1 1 0 0

10 1 0 0 0

Segmento D 00 01 00 1 0 01 0 1 11 0 0 10 1 0 Segmento E 00 01 00 1 0 01 0 0 11 0 0 10 1 0

11 1 0 0 0

10 1 1 0 0

Segmento F 00 01 00 1 0 01 1 1 11 0 0 10 1 1

11 0 0 0 0

10 0 1 0 0

Segmento C

11 0 0 0 0

10 1 1 0 0

Segmento G 00 01 00 0 0 01 1 1 11 0 0 10 1 1

11 1 0 0 0

10 1 1 0 0

Se tienen las siguientes funciones simplificadas para cada uno de los segmentos: Segmento A: Segmento B: Segmento C: Segmento D: Segmento E: Segmento F: Segmento G:

VDD 5V
RPACK 4

R9 3.9 U22A

U23A 7408J 74LS04D U24A U25A 74LS32D U25C 74LS11D 74LS32D U24B U25B 74LS04D U22D 74LS04D 74LS11D U24C 74LS32D

U22B 74LS04D U22C

74LS11D

U26A U28A 74LS08D U27A 74LS32D U28C 74LS32D 74LS11D U27B U10B 74LS32D

74LS11D U8B 74LS08D U26B

U18B 74LS32D U18C 74LS32D

74LS08D U31B U29A 74LS11D 74LS04D U29B 74LS04D U29C 74LS04D U29D 74LS04D U17A 74LS32D 74LS11D J2 U31A 74LS32D 74LS11D U33B U34B 74LS32D U33C 74LS32D 74LS32D U28B U10D 74LS32D U32A U33A 74LS32D U34A 74LS32D U4D 74LS21D 74LS32D U7D U36A 74LS32D
A B C D E F G

CK

U30

74LS11D U35A

5.6.Realizar un codificador de decimal (0 a 9) a BCD (Cdigo Binario Digital) utilizando compuertas Entradas 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 Salidas 0 0 0 0 1 1 1 1 0 0 0 0 1 1 0 0 1 1 0 0 0 1 0 1 0 1 0 1 0 1 Nmero 0 1 2 3 4 5 6 7 8 9

Se implementa el siguiente circuito para el codificador decimal a BCD.


VDD 5V R1 3.9k R2 3.9k R3 3.9k R4 3.9k R5 3.9k R6 3.9k R7 3.9k R8 3.9k R9 3.9k R10 3.9k 74LS02D 74LS02D U2A 74LS02D U2B U5C 74LS00D LED4 R13 470 74LS02D U1D 74LS00D U5B LED3 R12 470 74LS02D U1B U5A 74LS02D U1C 74LS00D R11 470 74LS10D LED1 R14 470 LED2

U1A

U3A

J1

5.7.Utilizando un decodificador de 7 segmentos, realizar la decodificacin de BCD a decimal de 0 a 9 Utilizar el C.I. 7447 con un Display nodo comn Utilizar el C.I. 7448 con un Display ctodo comn nodo comn
VDD 5V

CA

R1 2k

R2 2k

R3 2k

R4 2k

R5 2k
A B C D E F G

U2

U1
7 1 2 6 3 5 4 A B C D ~LT ~RBI ~BI/RBO OA OB OC OD OE OF OG 13 12 11 10 9 15 14

R6 470

R7 470

R8 470

R9 470

R10 470

R11 470

R12 470

J1

7447N

Ctodo comn
VDD 5V

CK

R1 2k

R2 2k

R3 2k

R4 2k

R5 2k
A B C D E F G

U2

U1
7 1 2 6 3 5 4 A B C D ~LT ~RBI ~BI/RBO OA OB OC OD OE OF OG 13 12 11 10 9 15 14

R6 470

R7 470

R8 470

R9 470

R10 470

R11 470

R12 470

J1

7448N

6. Conclusiones Se simplificaron funciones utilizando Multiplexores. Se implementaron los decodificadores utilizando compuertas lgicas y tambin usando el integrado correspondiente a la aplicacin. Se implementaron multiplexores empleando compuertas lgicas. Se implement un codificado decimal empleando compuertas lgicas. Se observ que el resultado es el mismo implementando multiplexores y decodificadores con compuertas lgicas y con circuitos integrados correspondientes a la aplicacin de multiplexacin y decodificacin respectivamente.

Vous aimerez peut-être aussi