Vous êtes sur la page 1sur 11

2/7/13

ASIC-System on Chip-VLSI Design: Physical Design Questions and Answers

ASIC-System on Chip-VLSI Design

Like

15

Send

Home DFT

Links

Verilog

Low Power VLSI

Static Timing Analysis

Physical Design

Synthesis

Formal Verification

Ads by Google

PCB Design

Metal Core PCB

FPGA Design

Asic Chip Design

Physical Design Questions and Answers


I am getting several emails requesting answers to the questions posted in this blog. But it is very difficult to provide detailed answer to all questions in my available spare time. Hence i decided to give "short and sweet" one line answers to the questions so that readers can immediately benefited. Detailed answers will be posted in later stage.I have given answers to some of the physical design questions here. Enjoy !

To subscribe latest articles enter your email address:

Subscribe

SUBSCRIBE

Posts Comments

What parameters (or aspects) differentiate Chip Design and Block level design? Chip design has I/O pads; block design has pins. Chip design uses all metal layes available; block design may not use all metal layers. Chip is generally rectangular in shape; blocks can be rectangular, rectilinear. Chip design requires several packaging; block design ends in a macro. How do you place macros in a full chip design? First check flylines i.e. check net connections from macro to macro and macro to standard cells. If there is more connection from macro to macro place those macros nearer to each other preferably nearer to core boundaries. If input pin is connected to macro better to place nearer to that

TOP POSTS

Temperature controller using Microchip PIC16F877A Microcontroller In continuously monitoring the surrounding temperature of industrial applications Temperature Monitoring Control System play v... Process-VoltageTemperature (PVT) Variations and Static Timing Analysis The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high s... Embedded System for Automatic Washing Machine using Microchip

asic-soc.blogspot.in/2008/02/physical-design-questions-and-answers.html

1/11

2/7/13
pin or pad.

ASIC-System on Chip-VLSI Design: Physical Design Questions and Answers


PIC18F Series Microcontroller The design uses the PIC18F series microcontroller. All the control functionalities of the system are built around this. Upgradeability is th... Backend (Physical Design) Interview Questions and Answers Below are the sequence of questions asked for a physical design engineer. In which field are you interested? Answer to this question... Clock Definitions lock Definitions: Rising and falling edge of the clock For a +ve edge triggered design +ve (or rising) edge is called ... Clock Gating Clock tree consume more than 50 % of dynamic power. The components of this power are: 1) Power consumed by combinatorial log... Power Planning There are two types of power planning and management. They are core cell power management and I/O cell power management . In former one VDD... Physical Design Questions and Answers I am getting several emails requesting answers to the questions posted in this blog. But it is very difficult to provide detailed answer ... Clock Tree Synthesis (CTS) The goal of CTS is to minimize skew and insertion delay. Clock is not propagated before CTS as shown in Figure (1). Figure (1) Ideal clock b... Transition Delay and Propagation Delay Transition Delay Transition delay or slew is defined as the time taken by signal to rise from 10 %( 20%) to the 90 %( 80%) of its maximum ...

If macro has more connection to standard cells spread the macros inside core. Avoid criscross placement of macros. Use soft or hard blockages to guide placement engine. Differentiate between a Hierarchical Design and flat design? Hierarchial design has blocks, subblocks in an hierarchy; Flattened design has no subblocks and it has only leaf cells. Hierarchical design takes more run time; Flattened design takes less run time. Which is more complicated when u have a 48 MHz and 500 MHz clock design? 500 MHz; because it is more constrained (i.e.lesser clock period) than 48 MHz design. Name few tools which you used for physical verification? Herculis from Synopsys, Caliber from Mentor Graphics. What are the input files will you give for primetime correlation? Netlist, Technology library, Constraints, SPEF or SDF file.

If the routing congestion exists between two macros, then what will you do? Provide soft or hard blockage How will you decide the die size? By checking the total area of the design you can decide die size. If lengthy metal layer is connected to diffusion and poly, then which one will affect by antenna problem? Poly If the full chip design is routed by 7 layer metal, why macros are designed using 5LM instead of using 7LM? Because top two metal layers are required for global routing in chip design. If top metal layers are also used in block level it will create routing blockage. In your project what is die size, number of metal layers, technology, foundry, number of clocks? Die size: tell in mm eg. 1mm x 1mm ; remeber 1mm=1000micron which is a big size !! Metal layers: See your tech file. generally for 90nm it is 7 to 9. Technology: Again look into tech files. Foundry:Again look into tech files; eg. TSMC, IBM, ARTISAN etc Clocks: Look into your design and SDC file ! How many macros in your design? You know it well as you have designed it ! A SoC (System On Chip) design may have 100 macros also !!!!

Search

READ MORE...

Verilog (28) Verilog HDL (26) verilog examples (26)

There was an error in this gadget


BLOG ARCHIVE

2013 (1) 2012 (21) 2011 (7)

asic-soc.blogspot.in/2008/02/physical-design-questions-and-answers.html

2/11

2/7/13

ASIC-System on Chip-VLSI Design: Physical Design Questions and Answers


What is each macro size and number of standard cell count? Depends on your design. What are the input needs for your design? For synthesis: RTL, Technology library, Standard cell library, Constraints For Physical design: Netlist, Technology library, Constraints, Standard cell library What is SDC constraint file contains? Clock definitions Timing exception-multicycle path, false path Input and Output delays How did you do power planning? How to calculate core ring width, macro ring width and strap or trunk width? How to find number of power pad and IO power pads? How the width of metal and number of straps calculated for power and ground? Get the total core power consumption; get the metal layer current density value from the tech file; Divide total power by number sides of the chip; Divide the obtained value from the current density to get core power ring width. Then calculate number of straps using some more equations. Will be explained in detail later. How to find total chip power? Total chip power=standard cell power consumption,Macro power consumption pad power consumption. What are the problems faced related to timing? Prelayout: Setup, Max transition, max capacitance Post layout: Hold How did you resolve the setup and hold problem? Setup: upsize the cells Hold: insert buffers In which layer do you prefer for clock routing and why? Next lower layer to the top two metal layers(global routing layers). Because it has less resistance hence less RC delay. If in your design has reset pin, then itll affect input pin or output pin or both? Output pin. During power analysis, if you are facing IR drop problem, then how did you avoid? Increase power metal layer width. Go for higher metal layer. Spread macros or standard cells. Provide more straps. Define antenna problem and how did you resolve these problem?

verilog interview questions (26) verilog tutorial for beginners (26) verilog tutorials (26) DSP (22) HDL (19) Low
Power Techniques (16) Verification (16) MATLAB (15) Timing Analysis (14) ASIC (13) Static Timing Analysis (STA) (11) DSP filters (10) FPGA (10) Physical Design (10) Digital design (9) Synthesis (9) CMOS (8)
Asynchronous FIFO (7) 3-D ICs (6) Basic gates using MUX (6) Digital filters (6) PIC Microcontroller (6) low power (6) PIC 16F877A (5) interview (5) Leakage Power (4) VLSI (4)
Design For TestDFT (3) Multi Vdd (3) Multi Vt (3) Power Planning (3) Reconfigurable Computing (3) Clock Tree Synthesis (CTS) (2) DVFS (2) Design For Test (DFT) (2) Floorplanning (2) Libraries (2) New Devices (2) Placement (2) SPICE (2) SRAM cell design (2) SoC Design (2) SoC Integration (2) Synopsys (2) System on Chip (2) Transition delay (2) layout

2010 (2) 2009 (14) 2008 (36) December 2008 (1) November 2008 (1) October 2008 (1) September 2008 (1) August 2008 (1) July 2008 (1) June 2008 (7) May 2008 (1) April 2008 (13) March 2008 (4) February 2008 (2) Overview of VLSI Fabricatio n Facility Physical Design Questions and Answers January 2008 (3) 2007 (109) There was an error in this gadget

asic-soc.blogspot.in/2008/02/physical-design-questions-and-answers.html

3/11

2/7/13

ASIC-System on Chip-VLSI Design: Physical Design Questions and Answers


Increased net length can accumulate more charges while manufacturing of the device due to ionisation process. If this net is connected to gate of the MOSFET it can damage dielectric property of the gate and gate may conduct causing damage to the MOSFET. This is antenna problem. Decrease the length of the net by providing more vias and layer jumping. Insert antenna diode. How delays vary with different PVT conditions? Show the graph. P increase->dealy increase P decrease->delay decrease
(2)
Display (1) 7 Segment (1) AMBA (1) Basic (1) (1) (1) (1) DTMF (1)

AHB (1) AMBA APB AMBA AXI (1) AMBA Bus (1) ASIC synthesis C MOS C lock Microelectronics Design Gating

C lock definitions (1) C ongestion DFT Deep Design (1) C oreC onnect Bus (1) Sub Micron For Power (1) (1)

Issues (1) Delays (1) Manufacture-DFM (1)

V increase->delay decrease V decrease->delay increase

Dynamic EDA (1) Systems

Embedded

Embedded etc (1) FFT

T increase->delay increase T decrease->delay decrease Explain the flow of physical design and inputs and outputs for each step in flow. Click here to see the flow diagram What is cell delay and net delay?

(1) FIR Filter (1) Gate Delay (1) History of VLSI (1) (1) Internal IC Power Fabrication (1) Intel (1) Intrinsic Delay (1) Lynx Design System (1) Magma Design 45nm (1) (1) (1) cell Memory Nangate (1)

Microprocessors

libraries (1) Net delay OpenSPARC

Gate delay Transistors within a gate take a finite time to switch. This means that a change on the input of a gate takes a finite time to cause a change on the output.[Magma]

processor (1) Others ..DSP (1) PVT vs STA (1) Power Gating (1) Propagation delay (1) Protocols (1) RTL (1) Routing (1) SDC (1) SRAM C hip (1) Short C ircuit (1) Array paths Sub (1) (1) Scaling (1) (1) jitter (1) (1) Power (1) Static memory design

Gate delay =function of(i/p transition time, Cnet+Cpin).

Cell delay is also same as Gate delay.

Threshold Timing VLSI (1) (1) free of hold (1) logic optical (1) skew fixing (1) (1)

Leakage (1) Systolic

Cell delay

fabrication Voltage

Washing Machine (1)

For any gate it is measured between 50% of input transition to the corresponding 50% of output transition.

constraints download processor time (1)

Intrinsic delay

latency synhesis

lithography

Intrinsic delay is the delay internal to the gate. Input pin of the cell to output pin of the cell.

optimization (1) setup time (1) transition transition

violation

It is defined as the delay between an input and output pair of a cell, when a near zero slew is applied to the input pin and the output does not see any load condition.It is predominantly caused by the internal capacitance associated with its transistor.

(1) uncerainty (1)

This delay is largely independent of the size of the transistors forming the gate because increasing size of transistors increase internal capacitors.

asic-soc.blogspot.in/2008/02/physical-design-questions-and-answers.html

4/11

2/7/13

ASIC-System on Chip-VLSI Design: Physical Design Questions and Answers

Net Delay (or wire delay)

The difference between the time a signal is first applied to the net and the time it reaches other devices connected to that net.

It is due to the finite resistance and capacitance of the net.It is also known as wire delay.

Wire delay =fn(Rnet , Cnet+Cpin) What are delay models and what is the difference between them? Linear Delay Model (LDM) Non Linear Delay Model (NLDM) What is wire load model? Wire load model is NLDM which has estimated R and C of the net. Why higher metal layers are preferred for Vdd and Vss? Because it has less resistance and hence leads to less IR drop. What is logic optimization and give some methods of logic optimization. Upsizing Downsizing Buffer insertion Buffer relocation Dummy buffer placement What is the significance of negative slack? negative slack==> there is setup voilation==> deisgn can fail What is signal integrity? How it affects Timing? IR drop, Electro Migration (EM), Crosstalk, Ground bounce are signal integrity issues. If Idrop is more==>delay increases. crosstalk==>there can be setup as well as hold voilation. What is IR drop? How to avoid? How it affects timing? There is a resistance associated with each metal layer. This resistance consumes power causing voltage drop i.e.IR drop. If IR drop is more==>delay increases. What is EM and it effects? Due to high current flow in the metal atoms of the metal can displaced from its origial place. When it happens in larger amount the metal can open or bulging of metal layer can happen. This effect is known as Electro Migration.

Affects: Either short or open of the signal line or power line.

asic-soc.blogspot.in/2008/02/physical-design-questions-and-answers.html

5/11

2/7/13
What are types of routing? Global Routing Track Assignment Detail Routing

ASIC-System on Chip-VLSI Design: Physical Design Questions and Answers

What is latency? Give the types? Source Latency It is known as source latency also. It is defined as "the delay from the clock origin point to the clock definition point in the design".

Delay from clock source to beginning of clock tree (i.e. clock definition point).

The time a clock signal takes to propagate from its ideal waveform origin point to the clock definition point in the design.

Network latency

It is also known as Insertion delay or Network latency. It is defined as "the delay from the clock definition point to the clock pin of the register".

The time clock signal (rise or fall) takes to propagate from the clock definition point to a register clock pin. What is track assignment? Second stage of the routing wherein particular metal tracks (or layers) are assigned to the signal nets. What is congestion? If the number of routing tracks available for routing is less than the required tracks then it is known as congestion. Whether congestion is related to placement or routing? Routing What are clock trees? Distribution of clock from the clock source to the sync pin of the registers. What are clock tree types? H tree, Balanced tree, X tree, Clustering tree, Fish bone What is cloning and buffering? Cloning is a method of optimization that decreases the load of a heavily loaded cell by replicating the cell. Buffering is a method of optimization that is used to insert beffers in high fanout nets to decrease the dealy.
Tags: Physical Design

24 comments:
asic-soc.blogspot.in/2008/02/physical-design-questions-and-answers.html 6/11

2/7/13

ASIC-System on Chip-VLSI Design: Physical Design Questions and Answers


Anil February 19, 2008 at 12:46 PM with reference to the question.. "Which is more complicated when u have a 48 MHz and 500 MHz clock design?" 48 MHz will have more time period and 500 MHz will have less time period, so 500Mz will be more complicated. How come 48Mz be complicated.. Can any one elabore this.. Reply

Anil February 19, 2008 at 1:03 PM Hi, Thank you for making a blog with fabulous questoin and answers in back end... I have a doubt with reference to the question "calculating the power ring width". From tech file how do we get the maximun metal density of a layer? Where is it available??? Also where the max electromigration value is stored?? Reply

Murali February 19, 2008 at 1:32 PM Hi cvn, Sorry for the typing mistake...You are absolutely right ... 48 and 500 numbers wrongly exchanged...let me correct that ! Thanks for ur appreciation... participate in discussion and enjoy reading! In tf check Layer definitions: Layer "M1" {layerNumber=15 maskName="metal1" ........ ........ maxCurrDensity = 6.583 ....... ...... rgds murali Reply

Anil February 19, 2008 at 4:21 PM Hi Murali, Thank you very much for your nice clarification. I have some more doubts with reference to the question "Define antenna problem and how did you resolve these problem?", Can we insert a buffer (to divide the lengthy metal into two)to resolve antenna proble. I mean when we insert a buffer we are inserting silicon (along with a little metal). so it can also resolve the problem. Are there any disadvantages with this kind of approach? Thanks and Regards, Anil Reply

Murali February 20, 2008 at 11:43 AM Hi anil, First preference is to metal layer jumping.

asic-soc.blogspot.in/2008/02/physical-design-questions-and-answers.html

7/11

2/7/13

ASIC-System on Chip-VLSI Design: Physical Design Questions and Answers


If antenna problem is in lower layer jump to higher layer and again come back. If it is in higher layer, well.. you cant jump ! hence use diode. Last option,as you said insert buffer. But when you do that higher metal layers has to come to lower metal layer (M1 or M2) to connect to pins of buffer and go back.And also there may not enough place for buffer insertion. (Remember after routing we go for antenna check). This may lead to congestion and DRC voilation. In P&R tool you have all these options to fix antenna problem. rgds murali Reply

Anil February 23, 2008 at 10:55 AM Hi Murali, While calculating the power consumption, we add up standar cell power, macro power and pad power. How do we know power consumption of all these? rgds, Anil Reply

Murali February 26, 2008 at 12:14 PM Please refer: http://asic-soc.blogspot.com/2007/10/power-planning.html rgds murali Reply

savita March 20, 2008 at 4:14 PM hi can any one help me understanding STA with example if you have any material pls send it to bgsavita@gmail.com it would be great help thanku savita Reply

Murali March 22, 2008 at 4:43 PM let me try....! Reply

Anonymous April 1, 2008 at 12:13 PM Hi, Thanks for this nice material, looking forward for more interesting and deep analysis of different stages of pnr. rgds, Amulya. Reply

padmavathi May 16, 2008 at 9:57 AM can u give the details how to find die area if i know total area from dc compiler.how to estimate die size.can u elaborate on this

asic-soc.blogspot.in/2008/02/physical-design-questions-and-answers.html

8/11

2/7/13
Reply

ASIC-System on Chip-VLSI Design: Physical Design Questions and Answers

Murali May 16, 2008 at 12:33 PM Total cell area is obtained from the area report from DC. Take squareroot of this. Obtained value is the approaximate height and width of the core area. Total area report provides the area considering pads also. Hence you can estimate what is tha extra area required for the pad. Thus you can estimate die size. Remember that this is just an estimate. Actual die size can vary. rgds murali Reply

padma.p May 22, 2008 at 11:53 AM Thank u for ur reply.In dc we dont know how much area for net routing. u given in example of floor plan using SAMM(systolic array matrix multiplier) floor plan .can u explain on what bases u estimated that. Reply

Murali May 22, 2008 at 2:05 PM Since over the cell routing is very common in all EDA tools we need not worry about area required for nets. Required Inputs: Technology used eg. 0.18 Micron etc Total Number of standard cells One standard cell area Number of IO pads Pad height Core utilization allowed eg.0.7 (i.e.70 %)

Calculations: Total standard cell area = no. of standard cells * one standard cell area (Alternatively this can be directly obtained from the DC area report). Core size = Standard cell area / Utilization (Assuming there are no hard macros; If there are then add this also ) = X um * Y um. Die area = [Core width + PG ring width + core offset + 2 * pad height ]* [Core height + PG ring width + core offset + 2 * pad height ] = A um * B um =AB um2 Reply

muju May 30, 2008 at 11:35 AM f the full chip design is routed by 7 layer metal, why macros are designed using 5LM instead of using 7LM? * Because top two metal layers are required for global routing in chip design. If top metal layers are also used in block level it will create routing blockage.

Here wt is meant by routing blockage ?? can anyone explain me this

asic-soc.blogspot.in/2008/02/physical-design-questions-and-answers.html

9/11

2/7/13
term??... Reply me Mujtaba Ahmed Reply

ASIC-System on Chip-VLSI Design: Physical Design Questions and Answers

K.K. June 6, 2008 at 9:08 PM Routing blockage's are used to prevent metal layers get routed in particular chip area. Reply

Mantu June 22, 2009 at 10:18 PM Can some one explain me wht is the set_input_delay and set_driving_cell in DC? Reply difference between

boeing April 14, 2011 at 5:20 PM Why dont we analyze Hold in the Pre CTS stage ? Reply

sridhar December 14, 2011 at 2:51 PM i need clear explanation about antenna effect and why the name itself antenna effect ,what is the reason how it behaves like a antenna. Reply

arjun December 15, 2011 at 12:12 AM can we solve hold violations during prelayout sta Reply

raviteja February 13, 2012 at 11:31 AM i need some more examples for solving on Setup and hold analysis in Static timing analysis can you please post them...., Reply

Sunil April 18, 2012 at 11:35 PM 1)What is filler cells? why we use filler cells in Floor Planing/placement? Reply

Anonymous May 4, 2012 at 6:09 PM 1--Why copper is preferred over aluminum as interconnecting material 2--Why metal-metal spacing is large than poly-poly spacing plz give the references Reply

kumar July 5, 2012 at 11:39 PM what are the recent trends in low power vlsi cmos design Reply

asic-soc.blogspot.in/2008/02/physical-design-questions-and-answers.html

10/11

2/7/13
E n t e ry o u rc o m m e n t . . .

ASIC-System on Chip-VLSI Design: Physical Design Questions and Answers

Comment as: Google Account Publish Preview

Your Comments... (comments are moderated)

Links to this post


Create a Link Newer Post Home Older Post

Subscribe to: Post Comments (Atom)


SEARCH THIS BLOG

Search

COPYRIGHT/DISCLAIMER

LISTED IN

This work is licensed under a Creative Commons Attribution-Share Alike 2.5 India License. "Nahi Jnanena Sadrusham". Simple template. Powered by Blogger.

asic-soc.blogspot.in/2008/02/physical-design-questions-and-answers.html

11/11

Vous aimerez peut-être aussi