Vous êtes sur la page 1sur 596

Encounter Conformal Low Power Extended Checking Reference Manual

Product Version 10.1 October 2010

1997 2010 Cadence Design Systems, Inc. All rights reserved. Printed in the United States of America. Cadence Design Systems, Inc., 2655 Seely Avenue, San Jose, CA 95134, USA Trademarks: Trademarks and service marks of Cadence Design Systems, Inc. (Cadence) contained in this document are attributed to Cadence with the appropriate symbol. For queries regarding Cadences trademarks, contact the corporate legal department at the address shown above or call 800.862.4522. Open SystemC, Open SystemC Initiative, OSCI, SystemC, and SystemC Initiative are trademarks or registered trademarks of Open SystemC Initiative, Inc. in the United States and other countries and are used with permission. All other trademarks are the property of their respective holders. Restricted Print Permission: This publication is protected by copyright and any unauthorized use of this publication may violate copyright, trademark, and other laws. Except as specified in this permission statement, this publication may not be copied, reproduced, modified, published, uploaded, posted, transmitted, or distributed in any way, without prior written permission from Cadence. This statement grants you permission to print one (1) hard copy of this publication subject to the following conditions: 1. The publication may be used solely for personal, informational, and noncommercial purposes; 2. The publication may not be modified in any way; 3. Any copy of the publication or portion thereof must include all original copyright, trademark, and other proprietary notices and this permission statement; and 4. Cadence reserves the right to revoke this authorization at any time, and any such use shall be discontinued immediately upon written notice from Cadence. Patents: Cadence Product Encounter Extended Checks software described in this document, is protected by U.S. Patent [6,842,884], [6,487,704], [7,735,035],[7,669,165], [7,620,918], [7,620,919], and [7,627,842]. Disclaimer: Information in this publication is subject to change without notice and does not represent a commitment on the part of Cadence. The information contained herein is the proprietary and confidential information of Cadence or its licensors, and is supplied subject to, and may be used only by Cadences customer in accordance with, a written agreement between Cadence and its customer. Except as may be explicitly set forth in such agreement, Cadence does not make, and expressly disclaims, any representations or warranties as to the completeness, accuracy or usefulness of the information contained in this document. Cadence does not warrant that use of such information will not infringe any third party rights, nor does Cadence assume any liability for damages or costs of any kind that may result from use of such information. Restricted Rights: Use, duplication, or disclosure by the Government is subject to restrictions as set forth in FAR52.227-14 and DFAR252.227-7013 et seq. or its successor.

Encounter Conformal Low Power Extended Checking Reference Manual

Contents
1 About This Manual . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
19

Audience . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19 Related Documents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19 Conventions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20

2 Low Power Command Reference . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .


Command Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Wildcards . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Using UNIX Commands with Conformal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADD ALWAYS_ON CELL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADD CELL PORT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADD CLAMP CELL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADD DECAP CELL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADD DOMAIN MAPPING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADD EQUIVALENT PINS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADD GROUND PIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADD GROUND SWITCH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADD ISOLATION CELL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADD ISOLATION RULE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADD LEVEL SHIFTER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADD LOWPOWER FILTER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADD OPEN_SOURCE PINS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADD PATH RULE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADD POWER ASSOCIATION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADD POWER CHECK . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADD POWER DOMAIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADD POWER_GROUND PORT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADD POWER MODE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADD POWER PIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

21 22 22 25 27 28 29 31 32 33 35 37 39 42 45 48 49 50 52 54 56 59 60 62

October 2010

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual

ADD POWER SWITCH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64 ADD RETENTION CELL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66 ADD RETENTION RULE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68 ADD SWITCH RULE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70 ANALYZE INSTANCE CONNECTIVITY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72 ANALYZE LIBRARY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73 ANALYZE POWER ASSOCIATION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74 ANALYZE POWER DOMAIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75 COMMIT POWER INTENT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76 DELETE ALWAYS_ON CELL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77 DELETE CLAMP CELL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78 DELETE DECAP CELL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79 DELETE DOMAIN MAPPING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80 DELETE GROUND PIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81 DELETE GROUND SWITCH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82 DELETE ISOLATION CELL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83 DELETE ISOLATION RULE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84 DELETE LOWPOWER FILTER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85 DELETE LEVEL SHIFTER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86 DELETE PATH RULE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87 DELETE POWER ASSOCIATION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88 DELETE POWER CHECK . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89 DELETE POWER DOMAIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91 DELETE POWER_GROUND PORT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92 DELETE POWER MODE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 93 DELETE POWER PIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94 DELETE POWER SWITCH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95 DELETE RETENTION CELL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96 DELETE RETENTION RULE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97 DELETE SWITCH RULE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98 DIAGNOSE POWER CHECK . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99 READ MACRO POWER_INFORMATION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100 READ POWER INTENT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101 RENAME GROUND DOMAIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104 RENAME POWER DOMAIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105 REPORT ALWAYS_ON CELL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106

October 2010

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual

REPORT CLAMP CELL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . REPORT CPF LOGIC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . REPORT DECAP CELL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . REPORT DOMAIN MAPPING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . REPORT EQUIVALENT PINS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . REPORT GROUND PIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . REPORT GROUND SWITCH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . REPORT ISOLATION CELL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . REPORT ISOLATION RULE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . REPORT LOWPOWER FILTER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . REPORT LEVEL SHIFTER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . REPORT MACRO POWER_INFORMATION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . REPORT OPEN_SOURCE PINS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . REPORT PATH RULE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . REPORT PIN DRIVER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . REPORT PIN LOAD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . REPORT POWER ASSOCIATION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . REPORT POWER CHECK . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . REPORT POWER DOMAIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . REPORT POWER_GROUND PORT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . REPORT POWER MODE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . REPORT POWER PIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . REPORT POWER SWITCH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . REPORT RETENTION CELL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . REPORT RETENTION RULE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . REPORT SWITCH RULE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SET LOWPOWER OPTION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . UPDATE POWER DOMAIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . VALIDATE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . VALIDATE PATH RULE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . VALIDATE TRANSISTOR STRUCTURE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . WRITE POWER_GROUND PORTS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . WRITE POWER INTENT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 123 125 127 129 131 132 133 134 135 136 137 138 145 147 148 149 151 152

October 2010

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual

3 Low Power Rule Checks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .


Introduction to Low Power Messages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Power Domains . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PDM1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PDM1a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PDM1b . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PDM2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PDM2a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PDM2b . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PDM2c . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PDM3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PDM3a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PDM4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PDM4a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PDM4b . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PDM4c . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PDM4d . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PDM4e . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PDM4f . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PDM5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PDM6 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PDM7 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PDM7a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PDM7b . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PDM7c . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PDM8 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PDM8a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PDM8b . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PDM8c . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PDM_BUF1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PDM_BUF2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PDM_BUF3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Power Switches . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PSW1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

155 156 157 159 160 161 162 163 164 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190

October 2010

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual

PSW1a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PSW2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PSW3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PSW4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PSW4a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PSW5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PSW6 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PSW7.1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PSW7.2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PSW7.3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PSW7.4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PSW7.5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PSW7.6 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PSW7.7 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PSWRULE1.1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PSWRULE1.2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PSWRULE1.3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PSWRULE1.4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PSWRULE1.5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PSWRULE1.6 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PSWRULE1.7 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Ground Switches . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . GSW1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . GSW1a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . GSW4a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . GSW6 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . GSW7.1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . GSW7.2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . GSW7.3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . GSW7.4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . GSW7.5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . GSW7.6 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . GSW7.7 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . GSWRULE1.1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . GSWRULE1.2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . GSWRULE1.4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

191 192 193 194 195 196 197 198 199 200 201 202 203 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 225 226 227 228

October 2010

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual

GSWRULE1.5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . GSWRULE1.6 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . GSWRULE1.7 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Isolation Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO1a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO3.1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO3.1a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO3.1b . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO3.2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO3.3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO3.4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO4a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO4b . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO4c . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO4d . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO4e . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO4f . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO5.1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO5.2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO5.3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO6.1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO6.2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO6.3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO6.4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO6.5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO6.5a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO6.6 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO7 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO7a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO7b . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO8 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO9 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO10 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

229 230 231 232 234 235 236 237 239 240 242 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268

October 2010

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual

ISO11 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO12 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO14 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO_BUF1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO_BUF2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISORULE1.1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISORULE1.2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISORULE1.3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISORULE1.4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISORULE1.5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISORULE1.5a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISORULE1.6 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISORULE1.6a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISORULE1.7 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISORULE1.8 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISORULE1.9 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISORULE1.10 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISORULE1.11 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Always-on Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AON1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AON2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AON3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AON3a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AON4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Retention Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . RET1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . RET1a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . RET2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . RET2a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . RET3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . RET4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . RET5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . RET6 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . RET7.1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . RET7.2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . RETRULE1.1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

269 270 271 272 273 274 275 276 277 278 280 281 282 283 285 286 287 288 289 290 292 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308

October 2010

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual

RETRULE1.2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . RETRULE1.3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . RETRULE1.4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . RETRULE1.5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . RETRULE1.6 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . RETRULE1.7 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . RETRULE1.8 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Level Shifter Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LSH1.1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LSH1.2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LSH1.3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LSH1.4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LSH2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LSH2a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LSH2b . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LSH2c . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LSH2d . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LSH2e . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LSH3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LSH4.1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LSH4.1a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LSH4.1b . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LSH4.1c . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LSH4.2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LSH4.3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LSH5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LSH6 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LSH7 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LSH8 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LSH9 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Structural . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CLP_STRC1.1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CLP_STRC1.2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CLP_STRC2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . STRUCT1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Decoupled Capacitors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 330 331 332 334 335 337 338 339 340 341 342 343 344 345 346 347

October 2010

10

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual

DECAP1.1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DECAP1.2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Path Rules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PATHRULE1.1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PATHRULE1.2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PATHRULE1.3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Clamp Rules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CLAMP1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CLAMP1a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CLAMP2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Circuit Rules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CIR1a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CIR1b . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CIR2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CIR3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CIR4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CIR7 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CIR8 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SPICE Rules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SPI1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SPI2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SPI3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SPI4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SPI5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Macro Rules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MACRO1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MACRO2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MACRO3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MACRO4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Low Power Cell Rules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LPC09 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LPC10 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LPC11 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LPC12 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LPC13 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LPC14 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

348 349 350 351 352 353 354 355 356 357 358 359 360 361 362 363 364 366 367 368 370 371 373 374 376 377 378 379 380 381 382 383 384 385 386 387

October 2010

11

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual

4 Common Power Format Rule Checks . . . . . . . . . . . . . . . . . . . . . . . . .


Introduction to Common Power Format Messages . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_DES1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_DES2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_DES4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_DES5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_DES7 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_DES8 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF Ground Switched Domain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_GD2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_GD3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF Hierarchical . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_HIER_MAP3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_HIER_MAP4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_HIER_MAP5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_HIER_MAP6 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_HIER_MAP6a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_HIER_MAP7 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_HIER_MAP8 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ................................................... CPF_HIER_MAP8a CPF_HIER_MAP9 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_HIER_MAP10 ................................................... CPF_HIER_MAP11 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_HIER_MAP12 .................................................. CPF_HIER_MAP13 ................................................... CPF_HIER_MAP14 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_HIER_MODE1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_HIER_MODE2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_HIER_MODE3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_HIER_CHK6 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_HIER_CHK7 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF Isolation Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_ISO1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

389 390 391 392 393 394 396 397 398 399 400 401 402 403 404 405 406 407 408 409 410 411 412 413 414 415 416 417 419 420 422 423 424 426

October 2010

12

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual

CPF_ISO1a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_ISO1b . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_ISO2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_ISO3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_ISO4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_ISO5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_ISO6 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_ISO7 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_ISO8 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_ISO13 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_ISO13a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_ISO15 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_ISO17 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_ISO18 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_ISO19 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_ISO20 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_ISO21 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_ISO22 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_ISO23 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_ISO24 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_ISO25 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_ISO26 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_ISO27 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_ISO28 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_ISO29 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_ISO30 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_ISO32 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_ISO33 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_ISO34 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_ISO35 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_ISO37 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_ISO39 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_ISO40 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_ISO41 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF Level Shifter Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LS1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

427 428 429 430 431 432 433 434 435 436 437 438 440 441 442 443 444 445 446 447 448 449 450 451 452 453 454 455 456 457 458 459 460 461 462 463

October 2010

13

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual

CPF_LS1a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LS2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LS3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LS4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LS5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LS7 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LS9 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LS10 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LS12 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LS13 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LS14 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LS15 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LS18 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LS19 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LS21 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LS22 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LS23 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LS24 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF Library . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LIB1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LIB2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LIB3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LIB3a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LIB4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LIB5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LIB6 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LIB7 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LIB8 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LIB9 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LIB10 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LIB11 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LIB12 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LIB13 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LIB14 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LIB15 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LIB16 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

464 465 466 468 469 470 471 472 473 474 475 476 477 478 479 480 481 482 483 484 485 486 488 489 491 492 494 496 498 500 502 503 505 506 507 509

October 2010

14

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual

CPF_LIB17 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LIB18 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LIB19 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LIB20 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LIB21 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LIB21a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_LIB22 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF Macro . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_MACRO1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_MACRO2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_MACRO3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_MACRO4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_MACRO5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_MACRO6 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_MACRO7 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_MACRO8 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_MACRO9 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_MACRO10 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_MACRO11 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_MACRO12 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_MACRO15 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_MACRO16 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_MACRO17 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF Power and Ground Nets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_PGN1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_PGN2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_PGN3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_PGN4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_PGN5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_PGN11 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_PGN13 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF Power Domains . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_PD1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_PD2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_PD3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_PD4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

510 511 512 513 514 515 516 517 518 519 520 521 522 523 524 526 527 528 529 530 531 532 533 534 535 536 537 539 540 541 542 543 544 545 546 547

October 2010

15

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual

CPF_PD7 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_PD8 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_PD9 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_PD10 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_PD11 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_PD12 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_PD12a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_PD13 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_PD14 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_PD15 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF Power Shut Off . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_PSO1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_PSO1a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_PSO1b . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_PSO2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_PSO3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_PSO4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_PSO5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_PSO6 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF Power Switches . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_PSW3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_PSW4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_PSW5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_PSW6 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_PSW7 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF Retention Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_RET1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_RET2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_RET3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_RET5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_RET6 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_RET7 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_RET8 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_RET9 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_RET10 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_RET11 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

548 549 551 552 553 554 555 556 557 558 559 560 561 562 563 564 566 567 568 569 570 571 572 573 574 575 576 577 578 579 580 581 582 583 584 585

October 2010

16

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual

CPF_RET12 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_RET13 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_RET14 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_RET21 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_RET22 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_RET23 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF Power Mode Rule . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_PM1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF Gen Rules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_GEN1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPF_GEN2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

586 587 588 589 590 591 592 593 594 595 596

October 2010

17

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual

October 2010

18

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual

1
About This Manual
This manual documents commands and low power rule checking messages for the Encounter Conformal Low Power software.

Audience
This manual is written for experienced designers of digital integrated circuits who must be familiar with RTL, synthesis, and design verification; as well as having a solid understanding of UNIX and Tcl/Tk programming.

Related Documents
For more information about the Conformal family of products, see the following documents. You can access these and other Cadence documents with the CDSDoc online documentation system. For a complete list of documents provided with this release, see the CDSDoc library.

Encounter Conformal Equivalence Checking User Guide Describes how to install, configure, and use Conformal to verify RTL, gate, or transistorlevel designs.

October 2010

19

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual About This Manual

Conventions
Convention Bold Case UPPERCASE < > [ ] | \ ... * Definition Indicates the command name. Indicates the required minimum character entry. Indicates required arguments. Do not type the angle brackets. Indicates optional arguments. Do not type the square brackets. Indicates a choice among alternatives. Do not type the vertical bar. The backslash character (\) at the end of a line indicates that the command you are typing continues on the next line. Indicates multiple entries of an argument. Indicates that the entry can use the wildcard (*) to represent zero or more characters.

October 2010

20

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual

2
Low Power Command Reference
This chapter describes the Conformal Low Power commands. The commands are presented in alphabetical order. This chapter also includes the following sections:

Command Syntax on page 22 Wildcards on page 22 Using UNIX Commands with Conformal on page 25

October 2010

21

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

Command Syntax

Conformal commands are not case sensitive. For every Conformal ADD command, there are corresponding DELETE and REPORT commands. For example: ADD ISOLATION CELL DELETE ISOLATION CELL REPORT ISOLATION CELL

Conformal commands adhere to the 3-2-1 rule, which reduces the number of characters you must type.

3: Type the leading three characters of the first term. 2: Then type the leading two characters of the second term. 1: End with the leading character of the third term.

In some cases, you must use more characters to resolve ambiguity. In this manual, the minimal sets of characters you must type are shown as uppercase letters in the syntax. When you use the 3-2-1 rule in conjunction with the syntax guide to resolve any possible ambiguity, you reduce the number of characters in a command, as the following example shows: REPort ISolation Rule becomes rep is r

Wildcards
On an as-needed basis, Cadence adds wildcard pattern-matching support to Conformal commands. The syntax convention that alerts you to wildcard support is the asterisk (*). If you use a pattern where a filename or design object is expected, Conformal Low Power expands the pattern using the same conventions as in the UNIX shell.

Triggering pattern matching for filenames To trigger pattern matching for filenames, a string must include at least one asterisk (*), question mark (?), or a pair of square brackets ( [ ] ).

October 2010

22

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

Triggering pattern matching for design objects To trigger pattern matching for design objects, a string must include at least one asterisk (*) or question mark (?).

In arguments that are considered patterns, the following characters have special meaning: ^, {, }, [, ], ?, *. The dash (-) also has special meaning when it falls between square brackets. Note: When you use wildcards for design objects , a wildcard can match a string that includes the hierarchical delimiter (/). For example, the pattern *[10] matches the design object a/b/c[10]. When you use wildcards for filenames , every wildcard applies to part of a single directory or filename (this convention is the normal UNIX convention). For example, the pattern *.v does not match the filename a/b/c.v.

Special Characters for Filename and Design Object Pattern-Matching Wildcard Character ? Definition Match any single character. Example a?c matches: aac, abc, a4c, a?c * Match any (possibly empty) string. a*c matches the following: ac, abc, a*c

October 2010

23

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

Special Characters for Filename and Design Object Pattern-Matching Wildcard Character [ ] Definition Match any single character listed between the square brackets: [ and ]. Example For filenames: a[145] matches the following: a1 a4 a5 For design objects: a*[145] matches the following:

That is, [ followed by characters and If the first character is ^, Conformal Low Power matches any ] single character not listed between the brackets. If the list shown between the brackets includes x-y, Conformal Low Power matches all characters in the range xy.

ab1 a34 To match square brackets, you must at5 include the escape character a*\[145\] matches the following: immediately preceding the square ab[145] bracket. a3[145] To be matched, the characters - at[145] and ] must appear first in the list (possibly after ^). Note: For design objects, recall that a string triggers pattern matching with an asterisk or question mark. In those cases, this convention applies. ^ At the beginning of the pattern, the character ^ negates the result of the match: Matches only the character that follows the \ character. ^a* matches any name that does not begin with a. a\[10] matches the following: a[10] But it does not match: a1 a0

October 2010

24

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

Special Characters for Filename and Design Object Pattern-Matching Wildcard Character {p1,p2,} Definition Example

Matches any string matched by any design/{top,sub{5,11}}/*.v of the sub-patterns listed. matches the following: design/top/a.v design/sub5/b.v design/sub11/c.v Braces can nest. a/{d{e,f},g{h,i}}_0 matches the following: a/de_0 a/df_0 a/gh_0 a/gi_0

Using UNIX Commands with Conformal


To execute a UNIX command from within the tools or a command script, start the line with an exclamation point ! or with the SYSTEM command. When you execute commands in this way, they display to the standard output, and are recorded to a log file, if one is active.

Using the -all Option


This option applies within the given defaults. For example, the syntax for this example command is as follows:
REPort ISolation Cell [-ALL | <name*>...] [-SUMmary | -VERbose [-NOINStance | -INStance]]

In the above syntax, -summary is a default. Therefore, if you type the command with the -all option, but no other option, this command outputs a reports the in the Summary format.

October 2010

25

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

Saving the Commands Output to a File


To save the commands output to a file, Cadence recommends using the command line > operator. This works for all Conformal commands. For example, to save the default output of the REPORT POWER DOMAIN command to a file named pd.out, you would run the following:
report power domain> pd.out

You can also use the >> operator to append output text to an existing file. Note: Although some commands include a -file <filename> type option to save the commands output to a file, Cadence recommends using the command line > operator.

October 2010

26

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

ADD ALWAYS_ON CELL


ADD ALways_on Cell <module_name> <-ALWAYS_on_power_pin <power_pin> [-ALWAYS_ON_Ground_pin <ground_pin>] | -ALWAYS_ON_Ground_pin <ground_pin> [-ALWAYS_on_power_pin <power_pin>]> -ACTIVE_input <pin> (Setup Mode )

Defines a special cell as an always-on cell. The always on cell should have its power and ground connected to always on power and ground, such that even when it is placed in an OFF domain, it receives constant power and ground. In physical netlists, the power domain of the always-on cell instance is derived from the power and ground connections to its power and ground pins. In logical netlists, if the power domain of the always-on instance is switchable, this assumes that the always-on cell instance is powered by a domain that is an always-on domain and has the same voltage as the switchable domain in which it is placed. CPF Command Equivalent : define_always_on_cell

Parameters
<module_name> Specifies the module name of the always-on cell.

-ALWAYS_on_power_pin <power_pin> Specifies the power pin of the always-on cell. -ALWAYS_ON_Ground_pin <ground_pin> Specifies the ground pin of the always-on cell. -ACTIVE_input <pin> Specifies the data input pin of the always-on cell.

Related Commands
DELETE ALWAYS_ON CELL REPORT ALWAYS_ON CELL

October 2010

27

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

ADD CELL PORT


ADD CEll POrt < [-POWer <port_name> ...] [-GROund <port_name> ...] > <-MODule <module_name*> ... > (Setup Mode )

Adds definitions for power and ground ports.

Parameters
-POWer <port_name> ... Specifies the names of power ports to be added. -GROund <port_name> ... Specifies the names of ground ports to be added. -MODule <module_name*> ... Specifies the module, or modules, to which the power and ground port information applies. This accepts wildcards.

Example
The following command creates a new port VDD, VSS to the module INV and make VDD the power port and VSS the ground port:
add cell port -power VDD -ground VSS -module INV

Related Commands
ADD POWER_GROUND PORT DELETE POWER_GROUND PORT REPORT POWER_GROUND PORT

October 2010

28

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

ADD CLAMP CELL


ADD CLamp Cell <cell_name> -DATa <data_pin> ... -TYPe [POWer | GROund | BOTH ] [-VDD <power_pin>] [-VSS <ground_pin>] (Setup Mode )

Specifies a cell as an antenna diode clamp type, and specifies its power and ground connections. A diode clamp to VDD cannot be driven high when VDD is turned off so when the driving domain is ON and the diode clamp VDD domain is OFF, the diode clamp input must be isolated to a LOW value. In addition, the voltage of the clamp input driver can not be higher than the voltage of the diode clamp power domain. For checking:

The power pin should be connected to a power domain with a voltage equal to or greater than the voltage of the power domain of the driver of data pin. The power pin domain can be off when power domain of the driver is off. When the power pin domain is off and power domain of the driver is on, then the driver output must be 0, in effect, isolate to zero for a ON to OFF crossing. The ground pin must connect to a net connected to a ground pin that is identified with the ADD GROUND PIN command when the -ground option is specified. If not specified then the cell is only a clamp to power, not to ground also.

CPF Command Equivalent : define_power_clamp_cell

Parameters
<cell_name> -DATa <data_pin> -TYPe Specifies the name of the clamp cell. Specifies the name of the data pin. Specifies the type of the clamp cell.

October 2010

29

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

POWer

Specifies a power clamp cell type. Use this type if the clamp cell clamps the signal voltage to the power net. This is the default . For a power clamp, the power pin must also be specified with the -VDD option.

GROund

Specifies a ground clamp cell type. Use this type if the clamp cell clamps the signal voltage to the ground net. For a ground clamp, the ground pin must also be specified with the -VSS option.

BOTH

Specifies both power and ground clamp cell types. Use this type if the cell is used to clamp the signal voltage to both power and ground nets. For both power and ground clamps, the power and ground pins must also be specified with the -VDD and -VSS options, respectively.

-VDD <power_pin> -VSS <power_pin>

Specifies the name of the power pin. Specifies the name of the ground pin.

Related Commands
DELETE CLAMP CELL REPORT CLAMP CELL

October 2010

30

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

ADD DECAP CELL


ADD DECap Cell <cell_name> -VDD <power_pin> -VSS <ground_pin> (Setup Mode )

Specifies a cell as a decoupled capacitor type, and specifies its power and ground connections.

Parameters
<cell_name> -VDD <power_pin> -VSS <power_pin> Specifies the name of the decoupled capacitor cell. Specifies the name of the power pin. Specifies the name of the ground pin.

Related Commands
DELETE DECAP CELL REPORT DECAP CELL

October 2010

31

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

ADD DOMAIN MAPPING


ADD DOmain Mapping -INStance <instance_pathname*> ... -MAPping <<domain> <local_domain>> ... (Setup Mode )

Defines how the domains within the macro are connected to domains outside the macro. This is required only for logical netlists because they do not have power and ground connections. In physical netlists, the software automatically identifies such relationships through the power and ground net connectivity. CPF Command Equivalent : set_instance

Parameters
-INStance <instance_pathname*> ... Specifies instance(s) of a macro instance to which the domain mappings are to be applied. This accepts wildcards. -MAPping <<domain> <local_domain>> Specifies mapping for the local domain defined within the macro instance to higher-level power domain.

Related Commands
DELETE DOMAIN MAPPING REPORT DOMAIN MAPPING

October 2010

32

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

ADD EQUIVALENT PINS


ADD EQuivalent Pins -MASter <master_pin> -PINs <pin> ... <-DOMain <domain> | -RULes <rule> ...> (Setup Mode )

Defines multiple pins to be equivalent for low power structural checks. These equivalent pins are used in the following:

Isolation control signal checks against user-specified isolation rules Power or ground switch control signal checks against user-specified switch rules Retention control signal checks against user-specified retention rules

CPF Command Equivalent : set_equivalent_control_pins

Parameters
-MASter <master_pin> Specifies the master pin in this group of equivalent pins. -PINs <pin> ... -DOMain <domain> -RULes <rule> ... Specifies other pins that are equivalent to the master pin. Specifies the domain for which these pins should be treated as equivalent. Specifies the rule names for which these pins should be treated as equivalent.

Example
There is an isolation rule r1, where the -isolate is specified to be pin I0/OUT. However, in the design, there is an isolation cell for which this rule is applicable, but its control signal is driven by pin i1/OUT. This would result in the following error:
ISORULE1.1 - Isolation cell control pin is not connected to the specified signal

However, if you define the two pins i0/OUT and i1/OUT to be equivalent by running the command:
add equivalent pins -master i0/OUT -pins i1/OUT -rule r1

then those two pins will be considered equivalent, and the violation is suppressed.

October 2010

33

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

Related Commands
REPORT EQUIVALENT PINS

October 2010

34

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

ADD GROUND PIN


ADD GRound Pin <domain_name> <primary_pin* ...> [-NOStandy | -Standby <expression>] > ... (Setup Mode )

Defines the primary ground pins. This command is only valid for physical netlists. CPF Command Equivalent : create_ground_nets This command should not be issued before the ADD POWER DOMAIN or the ADD POWER PIN commands. For example:
add add add add power domain PD1 ... power domain PD2 ... power pin ... ground pin ...

Parameters
<domain_name> <primary_pin*...> -NOStandby -Standby <expression> Specifies the standby expression for this ground domain. Note: The Conformal Low Power software assumes all ground domains to be at zero voltage. The expression specified denotes the condition for disabling this ground pin based on a logical expression of top-level input pins. This expression supports parenthesis, (), logical AND, &&, logical OR, ||, and logical NOT, !. Spaces can be used in the expression if the whole expression is quoted by double quotes. The variables of the expression are in terms of inputs pins of the module. Specifies the name to represent the ground domain of the ground pins. Specifies the name of the primary pin(s). This accepts wildcards. Specifies that the ground pins are always-on. This is the default .

October 2010

35

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

Related Commands
DELETE GROUND PIN REPORT GROUND PIN

October 2010

36

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

ADD GROUND SWITCH


ADD GRound Switch <module_name> <groundinpin> <groundoutpin> <-STAGE_1_ENABLE <pin | !pin>" [-STAGE_1_OUTPUT <pin>]" [-STAGE_2_ENABLE <pin | !pin>] [-STAGE_2_OUTPUT <pin>]] | -STANDBY <expression> > (Setup Mode )

Defines a ground switch. This command is only valid for physical netlists. CPF Command Equivalent : define_power_switch_cell

Parameters
<module_name> <groundinpin> <groundoutpin> Specifies the module name of the ground switch. Specifies the input ground pin of the ground switch. Specifies the output ground pin of the ground switch.

-STAGE_1_ENABLE (-STAGE_2_ENABLE) <pin | !pin> Specifies when the transistor inside the switch cell driven by this input pin is turned on (enabled) or off. If only stage 1 is specified, the switch is fully turned on when the expression for the stage_1_enable option evaluates to true. If both stages are specified, the switch is fully turned on when the expressions for both enable options evaluate to true. The expression is a pin with an optional polarity value. -STAGE_1_OUTPUT (-STAGE_2_OUTPUT) <pin> Specifies the output pin of the switch that is a direct connection or a buffered derivative of the stage_x_input pin of the switch. Note: Both of these options can be omitted if there is no stage output from the switch.

October 2010

37

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

-Standby <expression> Specifies the condition in which the output ground pin is switched off. The expression is given in terms of the input pin(s) of the ground switch cell. The expression supports parenthesis, (), logical AND, &&, logical OR, ||, and logical NOT, !. Spaces can be used in the expression if the whole expression is quoted by double quotes. The variables of the expression are in terms of inputs pins of the module.

Related Commands
DELETE GROUND SWITCH REPORT GROUND SWITCH

October 2010

38

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

ADD ISOLATION CELL


ADD ISolation Cell <module_name> [-DEDicated [-VDD <power_pin> [-VDDISO <power_pin>] -VSS <ground_pin> [-VSSISO <ground_pin>] ] [-EXTRA_power_pin <power_pin>...] <-ISOPIN <pin>... | -ISOlate <expression>> | -NONDedicated [-VDD <power_pin> -VSS <ground_pin>] ] (Setup Mode )

Defines an isolation cell. CPF Command Equivalent : define_isolation_cell

Parameters
<module_name> -DEDicated -VDD <power_pin> -VDDISO <power_pin> Specifies the module name of the isolation cell. Defines a dedicated low power isolation cell. This is the default. Specifies the power pin of the isolation cell. Specifies the second power pin of the isolation cell. The domain of the output pin(s) of the isolation is determined by this power pin. Specifies the ground pin of the isolation cell. Specifies the second ground pin of the isolation cell. The domain of the output pin(s) of the isolation is determined by this ground pin.

-VSS <ground_pin> -VSSISO <ground_pin>

-EXTRA_power_pin <power_pin> ... Specifies the power pins that are in the cells but are not for isolation (for example, combined isolation and level-shifter cells).

October 2010

39

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

-ISOPIN <pin> ...

Specifies a list of isolation control pins. The Conformal Low Power software will determine the isolation condition based on the specified control pins. However, if the isolation condition is complex and the software is not able to make a determination, it will request the isolation condition to be specified explicitly with -ISOLATE option. Note: Specifying both -ISOPIN and -ISOlate in the same command is not allowed. Cadence recommends using -ISOPIN first and using -ISOlate if necessary.

-ISOlate <expression>

Specifies the condition in which the data input(s) of isolation cell is isolated. <expression> is given in terms of the input pin(s) of the isolation cell. The expression specified denotes the condition for enabling the isolation cell. This supports parenthesis, (), logical AND, &&, logical OR, ||, and logical NOT, !. Spaces can be used in the expression if the whole expression is quoted by double quotes. The variables of the expression are in terms of inputs pins of the module. Note: Specifying both -ISOPIN and -ISOlate in the same command is not allowed. Cadence recommends using -ISOPIN first and using -ISOlate if necessary.

Important If you specify -ISOPIN, the software attempts to find out the correct isolation expression for this cell. If it cannot, the command is rejected with an error message. If you specify -ISOlate, the isolation expression is taken as is and accepts the command without further checking. -NONDedicated Defines a cell that can be used as an isolation cell as well as regular logic. This allows the isolation to control any input pin. The isolation structural and functional checking will ignore any such cells that are not used as isolation, and will not report these as redundant. Note: For physical netlists, you must specify power and ground pins.

October 2010

40

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

Related Commands
DELETE ISOLATION CELL REPORT ISOLATION CELL

October 2010

41

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

ADD ISOLATION RULE


ADD ISolation Rule <rule_name> [ [-SOURce [-ALL | <power_domain> ...] ] [-DESTination [-ALL | <power_domain> ...] ] [-TYPE [AUTO | ALL | LOw | HIgh | LATch | TRIstate] ...] [-LOCAtion [DESTination | SOURce | OTHer | ALL] ...] ] [-ISOlate <pin | !pin> ] [ [-PIN <pin*> ...] [-EXClude <pin*> ...] | [-INSTance <inst_name*> ...] ] [-OFF_to_on | -ON_to_off] [-CELL <cell_name> ...] (Setup Mode )

Defines additional rules that must be checked for isolation cells. CPF Command Equivalent : create_isolation_rule

Parameters
<rule_name> Specifies the name of the isolation rule.

-SOURce [-ALL | <power_domain> ...] Specifies the source power domain(s) where this isolation rule is applying. The default is all power domains. -DESTination [-ALL | <power_domain> ...] Specifies the destination power domain(s) where this isolation rule is applying. The default is all power domains.

October 2010

42

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

-TYPE [AUTO | ALL | LOw | HIgh | LATch | TRIstate] ... Specifies the valid isolation cell type(s) for this isolation rule. By default, Conformal Low Power will determine the valid isolation cell type automaticallythat is, there is no restriction when the isolation cell is in the destination or global (always-on) domain but only low type is allowed in the source domain. AUTO Automatically determines the valid type based on the location of the isolation cell. This is the default . LOw specifies that Iso-Lo is the valid isolation cell type. High specifies that Iso-Hi is the valid isolation cell type. LATch specifies that Iso-Latch is the valid isolation cell type. TRIstate specifies that Tristate is the valid isolation cell type. -LOCAtion [DESTination | SOURce | OTHer | ALL] ... Specifies the valid location of the isolation cell. DESTination specifies that the isolation cell must be placed in the destination domain. This is the default . SOURce specifies that the isolation cell must be placed in the source domain. OTHer specifies that the isolation cell must be placed in another domain that is not the source or destination. This domain must be ON whenever either the source or the destination domain is ON. ALL specifies that the isolation cell can be placed in source, destination, or global domain.

October 2010

43

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

-ISOlate <pin | !<pin> Specifies which pin will control the isolation cells for the crossings covered by this rule. Specifying a pin name means that isolation control is active high. Using an exclamation point (!) before the pin name means that isolation control is active low. Specifying enable condition, active high or low, allow you to determine which isolation cells defined by the ADD ISOLATION CELL command are valid to be used in this crossing. For example, an AND type isolation would be valid to use for the active low isolation enable, while an OR type would be valid for active high isolation enable. -PIN <pin*> ... Defines the ports which need to be isolated. Used with the -LOCAtion option, this option specifies whether the ports are from the source or destination domain. This accepts wildcards.

-EXClude <pin*> ... Defines the ports which will be excluded from this isolation rule check. This accepts wildcards. -INSTance <inst_name*> ... Defines the instances which need to be isolated. This accepts wildcards. -OFF_to_on Specifies that this rule is only applied to power domain crossings where the source domain can be switched OFF while the destination domain is ON. This is the default . Specifies that this rule is only applied to power domain crossings where the source domain is ON while the destination domain is switched OFF.

-ON_to_off

-CELL <cell_name> ... Specifies that the cell of the isolation instance matching this rule is one of the specified cells in this list.

Related Commands
DELETE ISOLATION RULE REPORT ISOLATION RULE

October 2010

44

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

ADD LEVEL SHIFTER


ADD LEvel Shifter <module_name> <in_voltage> [:<in_voltage_max> [ :<step_size>] ] <out_voltage> [:<out_voltage_max>[ :<step_size>] ] [-VDD_FROM <pin>] [-VDD_TO <pin>] [-PATH <-INPUT <pin*> ...> <-OUTPUT <pin*> ...> [-ENABLE_PIN <pin> | -ENABLE_EXPression <expr>] [-NOREVerse_direction | -REVerse_direction] ] ... [-OUTPUT_VOLTAGE_LEVEL_INPUT <pin*> ...] [-LOCAtion [ | SOURce | DESTination] ] [-DIRection [BIdir | UP | DOWN] (Setup Mode )

Defines the cells that are used to shift voltage signal levels and specifies the input and output voltage-level power pin of the level shifter cell. CPF Command Equivalent : define_level_shifter_cell

Parameters
<module_name> Specifies the module name of the level shifter cell.

in_voltage [:<in_voltage_max> [ :<step_size> ] ] Specifies the input voltage value. To specify a range of input voltage values, use the :<in_voltage_max> option. For example, 1.0:1.2 specifies any voltage from 1.0 to 1.2. Use <step_size> to specify a step size. If no step size is specified, the voltage is assumed to be a continuous voltage range. If a step size is specified, only the voltages at those steps are included. For example: 0.2 is a fixed voltage value of 0.2. 0.2:0.6 includes all voltages in the range 0.2 to 0.6, including 0.2 and 0.6. 0.2:0.6:0.2 includes the voltages 0.2, 0.4, and 0.6.

October 2010

45

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

out_voltage [:<out_voltage_max> [ :<step_size> ] ] Specifies the output voltage value. To specify a range of output voltage values, use the :<in_voltage_max> option. For example, 1.0:1.2 specifies any voltage from 1.0 to 1.2. Use <step_size> to specify a step size. If no step size is specified, the voltage is assumed to be a continuous voltage range. If a step size is specified, only the voltages at those steps are included. For example: 0.2 is a fixed voltage value of 0.2. 0.2:0.6 includes all voltages in the range 0.2 to 0.6, including 0.2 and 0.6. 0.2:0.6:0.2 includes the voltages 0.2, 0.4, and 0.6. -VDD_FROM -VDD_TO -PATH -INPUT -OUTPUT -ENABLE_PIN -ENABLE_EXPression Specifies the input-voltage-level power pin of the level shifter cell. Specifies the output-voltage-level power pin of the level shifter cell. Specifies the detail of level shifting path. Multiple level shifting paths can be specified for the same level shifter cell. Specifies the input pins of the level shifting path. Specifies the output pins of the level shifting path. Specifies the enable pin of this level shifting path. Specifies the enable condition of this level shifting path. Use this option if the Conformal Low Power software is not able to derive the enable condition from enable pin. Specifies this level shifting path is shifting from input voltage to output voltage, as specified by <in_voltage> and <out_voltage>, respectively. Specifies that the input voltage level of this level shifting path is set by <out_voltage> and output voltage level is set by <in_voltage>.

-NOREVerse_direction

-REVerse_direction

-OUTPUT_VOLTAGE_LEVEL_INPUT Specifies the additional input pins which should be driven by logic which operates at output voltage level (for example, <out_voltage>).
October 2010 46 Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

-LOCAtion

Specifies the valid location of the level shifter cell. Choose SOURce to specify only source domains, or DESTination to specify only destination domains. By default, this option specifies locations for both source and destination domains.

-DIRection [BIdir | UP | DOWN] Specifies the direction in which the added level shifter cell can shift voltage values. BIdir shifts lower to higher, as well as higher to lower voltages. UP shifts lower to higher voltages. DOWN shifts higher to lower voltages.

Examples

The following command defines a typical level shifter cell:


add level shifter LevSh 1.2 0.8 -vdd_from VH -vdd_to VL -path \ -input A -output Y

The following command defines a level shifter cell with an enable pin:
add level shifter LevSh 1.2 0.8 -vdd_from VH -vdd_to VL -path \ -input A -output Y -enable_pin EN

The following command defines a level shifter cell with multiple level shifting paths where the path from A1 to Y1 has an enable pin and the path A2 to Y2 does not have an enable pin but its direction is reversed:
add level shifter LevSh 1.2 0.8 -vdd_from VH -vdd_to VL \ -path -input A1 -output Y1 -enable_pin EN -path -input A2 -output Y2 -reverse_direction

Related Commands
DELETE LEVEL SHIFTER REPORT LEVEL SHIFTER

October 2010

47

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

ADD LOWPOWER FILTER


ADD LOwpower Filter <filter_name> [-TYPe <isolation | crossing | retention> ... ] [-FROm <from_domain>] [-TO <to_domain>] [-MODule <module_name*> ...> ... ] [-INStance <instance_name*> ... ] (Setup Mode )

Defines filters for running functional checks from the list of previously added functional checks.

Parameters
<filter_name> -TYPe Specifies the name of the filter. Specifies the type of checks that should not be performed on the cell type. Choose one of the following: isolationdoes not perform isolation checks on the specified instances. crossingdoes not perform crossing checks on the specified instances. retentiondoes not perform retention checks on the specified instances. -FROm -TO -MODule -INStance Applies the filter only to crossings whose source power domain is <from_domain>. Applies the filter only to crossings whose destination power domain is <to_domain>. Applies the filter to all the instances of the modules <module_name*>. Applies the filter to all the instances <instance_name*>.

Related Commands
DELETE LOWPOWER FILTER REPORT LOWPOWER FILTER
October 2010 48 Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

ADD OPEN_SOURCE PINS


ADD OPEN_source Pins -MODules <module_name*>... -PINs <pin_name> ... (Setup Mode )

Defines the open source input pins of cells.

Parameters
-MODules <module_name*> ... Specifies one or more module. This accepts wildcards. -PINs <pin_name> ... Specifies one or more pins of the module(s) that are open source.

Related Commands
REPORT OPEN_SOURCE PINS

October 2010

49

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

ADD PATH RULE


ADD PAth Rule <rule_name> <-STArt <pin_pathname> ... > <-END <-MODule <module_name*> ... -PIN <pin_name*> ... | -INStance <instance_path> ... -PIN <pin_name*> ... > ... > [ | -PASS_through < <module_name*> ... [-IN <name> -OUT <name>]> | -BUFfer | -INVerter > ... | -EXClude <module_name*> ... | -INVERSION ] [-VALidate [BOTH | STArt | END] (Setup / Verify Mode )

Defines a path rule. A path rule defines legal paths between starting and ending point and the design objects that are allowed on the paths. For a given path rule, the following rule checks can be performed:

PATHRULE1.1 PATHRULE1.2

Parameters
<rule_name> -STArt -END Specifies the name of the path rule to be added. Specifies a list of hierarchical pins to be the starting points of the paths. Specifies a list of hierarchical pins to be the ending points of paths. If -MODule is followed by -PIN, it specifies pins of all instantiations of the modules are ending points. If -INStance is followed by -PIN, only pins of the specified instances are the ending points.

October 2010

50

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

-PASS_through

Specifies which design objects are allowed on the path. If module names are specified without input and output ports specifications, the path is allowed to go through any input/output port combination. If input and output ports are also specified (with -IN and -OUT), then only the path is only allowed to go through the specified input/output port combinations. If -BUFfer is specified, the path can go through Conformal synthesized buffer primitives; likewise if -INVerter is specified, it can go through Conformal synthesized inverter primitives. Note: This option cannot be used together with the -EXClude or -INVERSION options.

-EXClude

Specifies a list of modules which are not allowed along the path. Note: This option cannot be used together with the -PASS_through or -INVERSION options.

-INVERSION

Specifies that end point must be inverted from the start point. Note: This option cannot be used together with the -PASS_through or -EXClude options. When using this option, the software will also ignore the -VALidate option, as it will only check the end points. The violations for rules with the -INVERSION option are either PATHRULE1.1 or PATHRULE1.3.

-VALidate

Specifies either starting points (STArt), ending points (END) or both (BOTH) to be validated. The default is to validate both starting and ending point (BOTH).

Related Command
DELETE PATH RULE REPORT PATH RULE VALIDATE PATH RULE

October 2010

51

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

ADD POWER ASSOCIATION


ADD POwer Association <module_name> -POWer <power_pin> <data_pin*> ... [-DEFAULT_POWer <power_pin>] | <mod_name> -GROund <ground_pin> <data_pin*> ... [-DEFAULT_GROund <ground_pin>] | -PIN <pin> ... -DOMain <domain_name> | -INSTance <instance_path> <pin*>... -DOMain <domain_name> | -ALLINSTance <module_name> <pin*>... -DOMain <domain_name> > (Setup Mode )

Defines the power association of input and output pins to power pins of a module. CPF Command Equivalent : define_related_power_pins

Parameters
<module_name> Specifies the name of the module where this association is to be applied.

-POWer <power_pin> <data_pin*> ... Specifies the associate data pins to a specific power pin in a module. -DEFAULT_POWer <power_pin> Specifies the power domain of pins which are not in the power association. <power_pin> must be a power pin of the module. -GROund <ground_pin> <data_pin*> ... Specifies to associate data pins to a specific ground pin in a module. -DEFAULT_GROund <ground_pin> Specifies the ground association of data pins which are not already associated to a ground pin in this command. <ground_pin> must be a ground pin of the module. -PIN <pin>... Specifies the power association for primary pins. The -pin and -domain options are order dependent. The -pin option must be used before the -domain option.

October 2010

52

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

-DOMain <domain_name> Associates the primary pins to an existing (defined) power domain. The -pin and -domain options are order dependent. The -pin option must be used before the -domain option. -INSTance <instance_path> <pin*>... -DOMain <domain_name> Associates the pins of an instance to predefined power domains. Note: These options are available only for logical netlist. -ALLINSTance <module_name> <pin*>... -DOMain <domain_name> Associates the pins of all instances of the module <module_name> to predefined power domains. Note: These options are available only for logical netlist.

Related Command
ANALYZE POWER ASSOCIATION DELETE POWER ASSOCIATION REPORT POWER ASSOCIATION

October 2010

53

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

ADD POWER CHECK


ADD POwer Check [-ALL | -ISOlation [-ASSERT] [-ALL | <name*> ... | -Crossing [-SOURce [-ALL [-DESTination [-ALL | -RETention [-CLOCK_value [-ALL | <name*> ... | -LEVel_shifter [-POWER] [-ALL | <name*> ... ] (Verify Mode )

[-MODule | -INStance]] | <power_domain> ...]] | <power_domain> ...]] | -ASSERT | -ALL_RET_CHECK] [-MODule | -INStance]] [-MODule | -INStance]]

Defines which power checks will be performed.

Parameters
-ALL -ISOlation Adds all low power functional checks. This is the default. Adds isolation cell functional check(s). If you use -ALL after -ISOlation, this adds all isolation cell checks. This is the default. You can also add specific isolation cell checks by specifying either isolation cell module names or instance names. -ASSERT (for -ISOlation) -Crossing Specifies the isolation control assert check to make sure the isolation cell control pin must be asserted properly to isolate the floating signal from the receiving power domain. Adds power domain crossing functional checks. A power domain crossing functional check is a check for proper isolation where no isolation cell is present between the power domain crossing. By default, all power domain crossing checks are added. You can add specific power domain crossing checks by specifying either source power domains or destination power domains or both. Specifies the source power domain. Specifies the name of the power domain. Specifies the name power domain(s).

-SOURce -DESTination <power_domain>

October 2010

54

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

-RETention

Adds retention cell functional check(s). If you use the -ALL option after -RETention, this adds all retention cell checks. This is the default. You can add specific retention cell checks by specifying either retention cell module names or instance names. Adds the clock value functional check for retention cells. This ensures that the clock is set to the correct value (based on the clock off expression in the command), while retention control is asserted or de-asserted. Specifies the retention control assert check to make sure retention control pin must be asserted when its retention power is on but the regular power is off. Includes all retention cell related power checks. Adds level shifter cell functional check(s). If you use the -ALL option after -LEVel_shifter, this adds all level shifter cell checks. This is the default. Specifies the level shifter power pin check to make sure either of the following two conditions must hold true: When the output voltage level power pin is on the input voltage level power pin must be on If this is a level shifter with enable pin cell, when input voltage level power pin is off and output voltage power pin is on, the enable pin must be asserted.

-CLOCK_value

-ASSERT (for -RETention) -ALL_RET_CHECK -LEVel_shifter

-POWER

Related Commands
DELETE POWER CHECK REPORT POWER CHECK

October 2010

55

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

ADD POWER DOMAIN


ADD POwer Domain <domain_name> <-VOLtage <voltage_value> | <-VOLtage <voltage_value> -Condition <expression>> ... > > [-NOStandby | -EXTernal_standby | -Standby [<expression> [-PIN | -SIGnal]]]> ... [<-INStance | -MODule> <module_name*> ...] ... [-POWER <power_pin_name>] [-GROUND <ground_pin_name>] (Setup Mode )

Defines the power domain of a specified instance or module. If you specify a module, Conformal Low Power will define a different power domain for each instantiation of this module. For physical netlists, you should only use this command to define power domains for blackboxed instances. For logical netlists, you can use this command to define power domains for all instances. Note: This command defines a virtual power domain if neither -INStance nor -MODule is specified. Important Specifying an instance path name with the domain name implies that the outputs of the instance have the same domain as the domain name. All the sub-instances of the instance path name have the domain name unless overridden by running the ADD POWER DOMAIN command again. Note: When a power switch is a blackbox, do not use this command to assign the power domain to the pins of the blackbox. Instead, use the ADD POWER SWITCH switch command. This is because blackbox pins should be associated to a power pin of the blackbox. CPF Command Equivalent : create_power_domain

Parameters
<domain_name> Specifies the name to represent the power domain.

voltage <voltage_value> Specifies the voltage value of the power domain.

October 2010

56

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

-Condition <expression> Specifies that the condition in which the power domain is operated at the specified voltage value. Multiple voltage and condition pairs can be specified for a given power domain. Conditions must be specified if the power domain is expected to operate at different voltage values. -NOStandby -EXTernal_standby Specifies that the power domain is always-on. This is the default . Specifies that the power domain being defined is an external switchable power domain.

-Standby <expression> [-PIN | -SIGnal] Specifies the condition in which the power domain is turned off. If a domain can operate at multiple voltages, there can be multiple -voltage options specified for a single ADD POWER DOMAIN command, and each voltage must have a standby condition, since two different voltages are not allowed for a domain at the same time. The standby expression is a logic function of inputs pins of the instance or module associated with this power domain. The module or instance is considered to be powered OFF when the condition of this expression is valid. This expression supports parenthesis, (), logical AND, &&, logical OR, ||, and logical NOT, !. Spaces can be used in the expression if the whole expression is quoted by double quotes. -PIN specifies that the expression is based on the pins of the specified modules or instances. This is the default for the -Standby <expression> option. -SIGnal specifies that the expression is any signal in the design. -INStance <name*> ... Specifies the name(s) of all the instances that belong to this domain. This accepts wildcards. -MODule <module_name*> ... Specifies the name(s) of all the modules that belong to this domain. This accepts wildcards.

October 2010

57

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

-POWer <power_pin_name> Specifies the power pin of the specified instance or module. You can use this option only for physical netlists. -GROund <ground_pin_name> Specifies the ground pin of the specified instance or module. You can use this option only for physical netlists.

Related Commands
DELETE POWER DOMAIN SET LOWPOWER OPTION REPORT POWER DOMAIN UPDATE POWER DOMAIN

October 2010

58

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

ADD POWER_GROUND PORT


ADD POwer_ground POrt <-DEFault | <module_names*> ...> <-POWER <port_name*> ...> <-GROUND <port_name*> ...> [-IGNORE <power_port_name*> ...] (Setup Mode )

Defines the power and ground port information of a module or modules. This command takes precedence over the power and ground ports defined with the LEF file.

Parameters
-DEFAULT Specifies that the power and ground port information set with this command applies to every module if there is no specific power and ground port information defined for that module.

<module_names*> ... Specifies the modules to which the power and ground port information applies. You can specify multiple modules. This accepts wildcards. -POWER <port_name*> ... Specifies that the modules are the names of power ports. This accepts wildcards. -GROUND <port_name*> ... Specifies that the modules are the names of ground ports. This accepts wildcards. -IGNORE <power_port_name*> ... Specifies that the modules are the names of power cells (defined after -POWER), but they must be ignored from determining the power domain of the module. This accepts wildcards.

Related Command
DELETE POWER_GROUND PORT REPORT POWER_GROUND PORT

October 2010

59

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

ADD POWER MODE


ADD POwer Mode <mode_name> <-ON_domain_list <domain>[@<voltage>]>... | -OFF_domain_list <domain>...> (Setup Mode )

Defines the various power modes in which the circuit will be operated. If there are power domains in your design that can be shut off, the power modes can specify which domains will be ON in any mode. The tool will then check for failures only in those modes. If there are no power modes specified, the tool assumes that the various domains can be shut off independently, unless they are powered through dependent switches. This can result in false failures, especially for domain on-off conditions that might never happen in the actual circuit operation. Specifying the power modes in which the circuit will operate can eliminate many such false errors. CPF Command Equivalent : create_power_mode

Parameters
<mode_name> Specifies the power mode name.

-ON_domain_list <domain1>[@voltage]> ... Specifies the names of all the powered on domains. If this domain operates at multiple voltages, then please specify the voltage value at which it operates in this mode. The voltage is optional for domains that operate only at a single voltage. But for domains that can operate at multiple voltages, this is mandatory. -OFF_domain_list <domain1> ... Specifies the names of all the powered off domains.

Example
If there are three domains in the design: A, B and C, and the power of A and B can be shut down, and B operates at either 1.0 or 1.2 volts, the following modes can be specified:
add power mode m1 -on_domain_list A B@1.2 add power mode m2 -on_domain_list B@1.0 add power mode m3 -off_domain_list A B
October 2010 60 Product Version 10.1

C C

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference Then the software will not check for isolation failures when C is ON and B is OFF, as that is not one of the specified power modes.

Related Commands
DELETE POWER MODE REPORT POWER MODE

October 2010

61

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

ADD POWER PIN


ADD POwer Pin <domain_name> <primary_pin* ...> <-VOLtage <voltage_value> [-NOStandy | -Standby <expression>] > ... (Setup Mode )

Defines the primary power pins. This command is only valid for physical netlists. CPF Command Equivalent : create_power_nets

Parameters
<domain_name> <primary_pin* ...> Specifies the name to represent the power domain of the power pins. Specifies the name of the primary pin(s). This accepts wildcards.

-VOLtage <voltage_value> Specifies the voltage value at which this domain can operate. The next standby condition specifies when this voltage is turned off for this domain. -NOStandby Specifies that the power pins are always-on. This is the default .

October 2010

62

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

-Standby <expression> Specifies the standby condition for the immediately preceding voltage value. If a domain can operate at multiple voltages, there can be multiple -voltage options specified for a single ADD POWER DOMAIN command, and each voltage must have a standby condition, since two different voltages are not allowed for a domain at the same time. The expression specified denotes the condition for disabling this power pin based on a logical expression of top-level input pins. This expression supports parenthesis, (), logical AND, &&, logical OR, ||, and logical NOT, !. Spaces can be used in the expression if the whole expression is quoted by double quotes. The variables of the expression are in terms of inputs pins of the module.

Related Commands
DELETE POWER PIN REPORT POWER PIN

October 2010

63

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

ADD POWER SWITCH


ADD POwer Switch <module_name> <powerinpin> <poweroutpin> <-STAGE_1_ENABLE <pin | !pin>" [-STAGE_1_OUTPUT <pin>]" [-STAGE_2_ENABLE <pin | !pin>] [-STAGE_2_OUTPUT <pin>]] | -STANDBY <expression> > [-CONtrol_power <ctrl_power_pin>] [-ENAble_pin_bias <threshold_voltage> ] (Setup Mode )

Defines a power switch. Note: When a power switch is a blackbox, use this commandnot the ADD POWER DOMAIN commandto assign the power domain to the pins of the blackbox. This is because blackbox pins should be associated to a power pin of the blackbox. CPF Command Equivalent : define_power_switch_cell

Parameters
<module_name> <powerinpin> <poweroutpin> Specifies the module name of the power switch. Specifies the input power pin of the power switch. Specifies the output power pin of the power switch.

-STAGE_1_ENABLE (-STAGE_2_ENABLE) <pin | !pin> Specifies when the transistor inside the switch cell driven by this input pin is turned on (enabled) or off. If only stage 1 is specified, the switch is fully turned on when the expression for the stage_1_enable option evaluates to true. If both stages are specified, the switch is fully turned on when the expressions for both enable options evaluate to true. The expression is a pin with an optional polarity value.

October 2010

64

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

-STAGE_1_OUTPUT (-STAGE_2_OUTPUT) <pin> Specifies the output pin of the switch that is a direct connection or a buffered derivative of the stage_x_input pin of the switch. Note: Both of these options can be omitted if there is no stage output from the switch. -Standby <expression> Specifies the condition in which the output power pin is switched off. The expression is given in terms of the input pin(s) of the power switch cell. The expression supports parenthesis, (), logical AND, &&, logical OR, ||, and logical NOT, !. Spaces can be used in the expression if the whole expression is quoted by double quotes. The variables of the expression are in terms of inputs pins of the module. -CONtrol_power <ctrl_power_pin> Specifies the power pin for powering the switch control logic inside the switch. -ENAble_pin_bias <threshold_voltage> Sets a higher range of allowable voltage on the enable input pin(s) of the power switch. For example, if the input voltage to the switch is 1.2 V, and enable pins can connect up to 1.7 V, specify this threshold as 0.5.

Related Commands
DELETE POWER SWITCH REPORT POWER SWITCH

October 2010

65

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

ADD RETENTION CELL


ADD Retention Cell <module_name> <-RETention <expression> | -SLEep <pin | !pin> -WAKe <pin | !pin> > <-CLOck_off <expression>> [-POWer <vdd_pin> <vret_pin>] [-DATa <data_pin ...>] (Setup Mode )

Defines a retention cell. You can either specify the retention pin, or the sleep and wake pins. Functional checks are not performed on retention cells having both sleep and wake pins. CPF Command Equivalent : define_state_retention_cell

Parameters
<module_name> -RETention <expression> Specifies the retention cell module name. Specifies a single control for both saving and restoring the data value. The expression supports parenthesis, (), logical AND, &&, logical OR, ||, and logical NOT, !. Spaces can be used in the expression if the whole expression is quoted by double quotes. The variables of the expression are in terms of inputs pins of the module. -SLEep <pin | !pin> Specifies the condition when the data should be retained if there are separate controls to save and restore the retained data value. Specifies the condition when the data should be restored if there are separate controls to save and restore the retained data value.

-WAKe <pin | !pin>

October 2010

66

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

-CLOck_off <expression>

Specifies the expression for the off state of the clock in terms of the module input pins of the retention cell. The expression supports parenthesis, (), logical AND, &&, logical OR, ||, and logical NOT, !. Spaces can be used in the expression if the whole expression is quoted by double quotes. The variables of the expression are in terms of inputs pins of the module.

-POWer <vdd_pin vret_pin> Specifies the VDD and retention power pin of the retention-register cell. -DATa <data_pin ...> Specifies the data pin for the retention-register cell.

Related Commands
DELETE RETENTION CELL REPORT RETENTION CELL

October 2010

67

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

ADD RETENTION RULE


ADD RETention Rule <rule_name> <-DOMain <power_domain>] | -INSTance <instance_path> ... [-REcursive | -NONREcursive] > > [-EXClude_instance <instance_path> ...] [-RETention <pin | !pin> | -SLEep <pin | !pin> -WAKe <pin | !pin>] [-CHEck_retention | -DONt_check_retention] (Setup Mode )

Defines valid rules for checking retention rules. CPF Command Equivalent : create_state_retention_rule

Parameters
<rule_name> -DOMain <power_domain> -INStance <instance_path> Specifies the name of the rule. If a power domain is specified, the rule applies to all retention cell instances within that power domain. Specifies the instance(s) on which the rule should be applied.

-EXClude_instance <instance_path> ... Excludes specified instances from the rule. This activates the rule for all retention instances in the domain specified by the -domain option or the instances specified by the -instance option, except the ones excluded by this option. -REcursive Searches for all DFF and DLAT types recursively within that instance hierarchy, and flags violations if any of them are not state retention flops. This is the default. Does not search for all DFF and DLAT types recursively. This checks only the specified instances and flags violations if any of them are not state retention flops.

-NONREcursive

October 2010

68

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

-RETention <pin | !pin>

Specifies the control signal that should connect to the retention pins of retention cells, as specified by the ADD RETENTION CELL commands -retention option. Specifies the condition when the data should be retained, as specified by the ADD RETENTION CELL commands -sleep option. Specifies the condition when the data should be restored, as specified by the ADD RETENTION CELL commands -wake option. Checks that all state elements within the specified instances or the specified power domain are retention flops. This is the default. Does not check that all state elements specified by the -domain or -instance options are retention flops.

-SLEep <pin | !pin>

-WAKe <pin | !pin>

-CHEck_retention

-DONt_check_retention

Examples
The following command applies the retention rule to all instances with names i* except instance i0, and specifies to check that their retention control is connected to the hierarchical pin A/B/C:
add retention rule r0 -INSTance i* -EXClude_instance i0 -sleep A/B/C

Note: You must specify all the instances to be controlled by a particular retention control signal in the same rule. Each rule is checked independently, so if you specify multiple rules with the same retention control signal, you might get spurious RETRULE1.2 errors. For example, if you have two retention rules as follows:
add retention rule r1 -instance reg1 -retention pg add retention rule r2 -instance reg2 -retention pg

Combine them into one:


add retention rule r1 -instance reg1 reg2 -retention pg

Related Commands
DELETE RETENTION RULE REPORT RETENTION RULE

October 2010

69

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

ADD SWITCH RULE


ADD SWitch Rule <rule_name> <-DOMain <output_domain> | -POWER_OUT <out_net> | -GROUND_OUT <net>> <-ENAble <polarity_signal_pin> | -STAGE_1_enable <polarity_signal_pin> [-STAGE_2_enable <polarity_signal_pin>] > [-POWER_IN <in_power_net> | -GROUND_IN <net>] [-CELL <cell_name*>... ] (Setup Mode )

Defines a switch rule to be verified for the specified output domain. The switch rule specifies the enabling condition of the switches driving the specified domain or the output power or ground net. CPF Command Equivalent : create_power_switch_rule

Parameters
<rule_name> Specifies the name of the switch rule.

-DOMAIN <output_domain> Specifies a domain. This rule is applied on all the power or ground switches that drive that domain. -POWER_OUT <net> Specifies an internal power net. If the internal power net is specified by this option, the rule applies to all the power switches that drive that net. Specifies an internal ground net. If the internal ground net is specified by this option, the rule applies to all the ground switches that drive that net.

-GROUND_OUT <net>

-ENAble <polarity_signal_pin> Specifies the hierarchical instance pin that should connect to the enable input of the switches that match this rule. If there is an exclamation (!) at the beginning of this path, an odd number of inversions must happen before the signal reaches the enable pins of the switches.

October 2010

70

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

-STAGE_1_enable <polarity_signal_pin> Specifies the enable signal that should connect to the stage 1 enable pin of the power or ground switches that match this rule. If the switch has only one enable pin, the single enable pin is taken as the stage 1 enable pin -STAGE_2_enable <polarity_signal_pin> Specifies the enable signal that should connect to the stage 2 enable pin of the power or ground switches that match this rule. This does not apply to any switch that has only one enable pin. If STAGE_2_enable is not specified in the rule, but there are switches matching this rule that have stage 2 enable pin, then the tool checks that STAGE_1_enable also drives all the stage 2 enable pins of such switches. -POWER_IN <net> Specifies a power net that is connected to the power inputs of the power switches. If this is specified, the rule is applied on all the power switches that drive the specified output domain or output power net, and whose input power pins connect to the specified POWER_IN net. Specifies a ground net that is connected to the ground inputs of the ground switches. If this is specified, the rule is applied on all the power switches that drive the specified output domain or output ground net, and whose input ground pins connect to the specified GROUND_IN net.

-GROUND_IN <net>

-CELL <cell_name*>... Specifies cell names of the switch instances to which this rule should apply. If the cell name is specified, the rule is matched with only those switch instances that have the same cell name.

Related Commands
DELETE SWITCH RULE REPORT SWITCH RULE

October 2010

71

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

ANALYZE INSTANCE CONNECTIVITY


ANAlyze INstance Connectivity <instance_name> ... (Setup / Verify Mode )

Shows the connectivity of instances along with their power and ground domains to help you debug low power violations. If the instance is a special low power cell, this also shows the low power cell specific information to help debug low power structural errors.

Parameters
<instance_name> ... Specifies the name of the instance(s).

Related Commands
REPORT PIN DRIVER REPORT PIN LOAD

October 2010

72

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

ANALYZE LIBRARY
ANAlyze LIbrary [-LOWPOWER] [-USED] [-SUMmary | -VERbose] (Setup Mode )

Analyzes library cells for power and ground pin consistency among their LEF, Verilog or Liberty, and CPF definitions.

Parameters
-LOWPOWER Checks the consistency between library views for information such as ports, cell names, and power/ground port attributes. The Library views supported are CPF, which is the reference for all the checks (and is required for this check), LEF, and Liberty or Library Verilog simulation models. Applies library consistency checking to the cells used in the design instead of checking every library cell that was read in. Prints summary results for each library at the end of the analysis. This is the default. Prints detailed information for each library cell at the end of the analysis.

-USED

-SUMmary -VERbose

October 2010

73

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

ANALYZE POWER ASSOCIATION


ANAlyze POwer Association [-Module <module_name*> ...>] [-OUT_Dofile <dofile_name> | -OUT_CPF <filename>] [-REPlace] (Setup / Verify Mode )

Analyzes the modules SPICE netlist and identifies the power pin each input and output pin with which it is associated. Before using this command, make sure the LEF, Library Verilog, and SPICE files have been read in. Notes:

The power pin definition of a module comes from its LEF file. Each input or output pin can have only one associated power pin. Multiple power pin association are ignored with a warning.

Parameters
-Module <module_name*> ...> Specifies the module(s) to be analyzed. Without this option, all modules in the library are analyzed. This accepts wildcards. -OUT_Dofile <dofile_name> Specifies the file to output the ADD POWER ASSOCIATION command. -OUT_CPF <filename> Specifies the output CPF file. -REPlace Replaces the specified -OUT_Dofile file if it already exists.

Related Commands
ADD POWER ASSOCIATION DELETE POWER ASSOCIATION REPORT POWER ASSOCIATION

October 2010

74

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

ANALYZE POWER DOMAIN


ANAlyze POwer Domain [-FIX_PIN_DIRECTION] (Setup / Verify Mode )

Performs power domain analysis. This command calculates the power domain information for the design and performs structural and rule checks afterward.

Parameters
-FIX_PIN_DIRECTION Before power domain analysis, this changes the pin direction to the bi-directional (inout) type when an output port of a module is not driven by any logic inside the module, or when an input port of a module is driven by some logic inside the module.

Related Commands
ADD POWER DOMAIN REPORT POWER DOMAIN

October 2010

75

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

COMMIT POWER INTENT


COMmit POwer Intent [-FUNCTIONAL_INSERTION] (Setup Mode )

Applies power intent.

Parameters
-FUNCTIONAL_INSERTION Retention cells and isolation cells for OFF-->ON crossings only will be inserted for functional verification. Structural checks are disabled. Level shifters or power/ground switches will not be inserted.

Related Command
COMMIT POWER INTENT READ POWER INTENT WRITE POWER INTENT

October 2010

76

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

DELETE ALWAYS_ON CELL


DELete ALways_on Cell <-ALL | <name> ...> (Setup Mode )

Deletes always-on cell(s) defined by ADD ALWAYS_ON CELL command.

Parameters
-ALL <name> Deletes all defined always-on cells. Specifies the defined always-on cell name, or names, to delete.

Related Commands
ADD ALWAYS_ON CELL REPORT ALWAYS_ON CELL

October 2010

77

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

DELETE CLAMP CELL


DELete CLamp Cell <-ALL | <name> ...> (Setup Mode )

Deletes the specified antenna diode clamp cells that were originally added using the ADD CLAMP CELL command.

Parameters
-ALL <name> Deletes all antenna diode clamp cells. Specifies the antenna diode clamp cell name, or names, to delete.

Related Commands
ADD CLAMP CELL REPORT CLAMP CELL

October 2010

78

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

DELETE DECAP CELL


ADD DECap Cell <-ALL | <name> ...> (Setup Mode )

Deletes the specified decoupled capacitor cells that were originally added using the ADD DECAP CELL command.

Parameters
-ALL <name> Deletes all decoupled capacitor cells. Specifies the decoupled capacitor cell module name, or names, to delete.

Related Commands
ADD DECAP CELL REPORT DECAP CELL

October 2010

79

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

DELETE DOMAIN MAPPING


DELete DOmain Mapping <-ALL | <instance_pathname*> ... (Setup Mode )

Deletes the domain mappings for an instance of a macro cell added with the ADD DOMAIN MAPPING command.

Parameters
-ALL Deletes all domain mapping information for all macro instances.

<instance_pathname*> Specifies one or more instances of a macro instance to which the domain mappings are to be applied. This accepts wildcards.

Related Commands
ADD DOMAIN MAPPING REPORT DOMAIN MAPPING

October 2010

80

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

DELETE GROUND PIN


DELete GRound Pin <name* ...> [-Domain | -Pin] | -ALL]] (Setup Mode )

Deletes ground pin(s) that were defined with the ADD GROUND PIN command. This command is only valid for physical netlists.

Parameters
<name* ...> Specifies the name of ground domain or ground pin. By default, this is treated as the symbolic ground domain name unless you specify the -Pin option. When this is a ground domain name, this command deletes all ground pins in the specified power domain. Deletes the defined ground pins with ground domain names that match <name>. Deletes the defined ground pins with pin names that match <name>. Deletes all defined ground pins.

-Domain -Pin -ALL

Related Commands
ADD GROUND PIN REPORT GROUND PIN

October 2010

81

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

DELETE GROUND SWITCH


DELete GRound Switch <-ALL | <name> ...> (Setup Mode )

Deletes ground switches that were defined with the ADD GROUND SWITCH command. This command is only valid for physical netlists.

Parameters
-ALL <name> ... Deletes all defined ground switches. Specifies the name(s) of the defined ground switch(es) to delete.

Related Commands
ADD GROUND SWITCH REPORT GROUND SWITCH

October 2010

82

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

DELETE ISOLATION CELL


DELete Isolation Cell <-ALL | <name> ...> (Setup Mode )

Deletes isolation cells that were originally added with the ADD ISOLATION CELL command.

Parameters
-ALL <name> ... Deletes all isolation cells. Specifies the isolation cell module name, or names, to delete.

Related Commands
ADD ISOLATION CELL REPORT ISOLATION CELL

October 2010

83

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

DELETE ISOLATION RULE


DELete ISolation Rule <-ALL | <rulename>* ...> (Setup Mode )

Deletes isolation rules defined with the ADD ISOLATION RULE command. This command is only valid for logical netlists.

Parameters
-ALL <rulename>* ... Deletes all defined isolation cells. Specifies the defined isolation rule name(s) to delete. This accepts wildcards.

Related Commands
ADD ISOLATION RULE REPORT ISOLATION RULE

October 2010

84

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

DELETE LOWPOWER FILTER


DELete LOwpower Filter <<filter_name>... | -ALL> (Setup Mode )

Deletes filters that were defined with the ADD LOWPOWER FILTER command.

Parameters
<filter_name> -ALL Specifies the name of the filter to delete. Delete all low power filters.

Related Commands
ADD LOWPOWER FILTER REPORT LOWPOWER FILTER

October 2010

85

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

DELETE LEVEL SHIFTER


DELete LEvel Shifter <-ALL | <name> ...> (Setup Mode )

Deletes level shifters that were defined with the ADD LEVEL SHIFTER command.

Parameters
-ALL <name> Deletes all level shifters. Specifies the name of a defined level shifter.

Related Commands
ADD LEVEL SHIFTER REPORT LEVEL SHIFTER

October 2010

86

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

DELETE PATH RULE


DELete PAth Rule <-ALL | <rulename*> ...> (Setup / Verify Mode )

Deletes path rules defined by the ADD PATH RULE command.

Parameters
-ALL <rulename*> ... Deletes all path rules. Specifies the name(s) of the path rule(s) to be deleted. This accepts wildcards.

Related Command
ADD PATH RULE REPORT PATH RULE VALIDATE PATH RULE

October 2010

87

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

DELETE POWER ASSOCIATION


DELete POwer Association < -ALL | <module_name> [-POWer <power_pin> | <data_pin*>] ... | -PIN [ -DOMain <domain_name> | <primary_pin*>] ... > (Verify Mode )

Deletes power associations defined by the ADD POWER ASSOCIATION command.

Parameters
-ALL <module_name> Deletes all power associations. This option is the default. Specifies the name of the module where this association is to be deleted.

-POWer <power_pin> <data_pin> Deletes the associate data pins for a specific power pin in a module. -PIN Specifies the power association for the primary pins to be deleted.

-DOMain <domain_name> <primary_pin> Deletes the associate primary pins of an existing (defined) power domain.

Related Command
ADD POWER ASSOCIATION ANALYZE POWER ASSOCIATION REPORT POWER ASSOCIATION

October 2010

88

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

DELETE POWER CHECK


DELete POwer Check <-ALL | -ISOlation [-ASSERT] [-ALL | <name*> ... [-MODule | -INStance]] | -Crossing [-SOURce [-ALL | <power_domain> ...]] [-DESTination [-ALL | <power_domain> ...]] | -RETention [[-CLOCK_value | -ASSERT | -ALL_RET_CHECK] [-ALL | <name*> ... [-MODule | -INStance]] | -LEVel_shifter [-POWER] [-ALL | <name*> ... [-MODule | -INStance]] ] (Verify Mode )

Deletes the low power functional checks added with the ADD POWER CHECK command.

Parameters
-ALL -ISOlation Deletes all low power functional checks. This is the default. Deletes isolation cell functional check(s). If you use -ALL after -ISOlation, this adds all isolation cell checks. This is the default. You can also add specific isolation cell checks by specifying either isolation cell module names or instance names. Deletes isolation control assert checks. Deletes power domain crossing functional checks. A power domain crossing functional check is a check for proper isolation where no isolation cell is present between the power domain crossing. By default, all power domain crossing checks are deleted. You can delete specific power domain crossing checks by specifying either source power domains or destination power domains or both. Specifies the source power domain. Specifies the name of the power domain. Specifies the name power domain(s).

-ASSERT (for -ISOlation) -Crossing

-SOURce -DESTination <power_domain>

October 2010

89

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

-RETention

Deletes retention cell functional check(s). If you use the -ALL option after -RETention, this deletes all retention cell checks. This is the default. You can delete specific retention cell checks by specifying either retention cell module names or instance names. Deletes the clock value functional check for retention cells. Deletes retention control assert checks. Deletes all retention cell related power checks. Deletes level shifter cell functional check(s). If you use the -ALL option after -LEVel_shifter, this deletes all level shifter cell checks. This is the default. Deletes the level shifter power pin check.

-CLOCK_value -ASSERT (for -RETention) -ALL_RET_CHECK -LEVel_shifter

-POWER

Related Commands
ADD POWER CHECK REPORT POWER CHECK

October 2010

90

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

DELETE POWER DOMAIN


DELete POwer Domain <<name* ...> [-Domain | -Instance] | -ALL> (Setup Mode )

Deletes the power domain(s) defined by the ADD POWER DOMAIN command.

Parameters
<name* ...> Specifies the of power domain or instance. By default, this is treated as the symbolic power domain name unless you specify the -Instance option. When this is a power domain name, this command deletes all instances in the specified power domain. Deletes all defined power domains that match <name>. Deletes specific instances in the defined power domain. Deletes all defined power domains.

-Domain -Instance -ALL

Related Commands
ADD POWER DOMAIN REPORT POWER DOMAIN

October 2010

91

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

DELETE POWER_GROUND PORT


DELete POwer_ground POrt <-ALL | -DEFault | <module_name>* ...> (Setup Mode )

Deletes the power and ground ports information defined by the ADD POWER_GROUND PORT command.

Parameters
-ALL -DEFAULT <module_name*> ... Deletes all power and ground port information for all modules and default settings. Deletes the power and ground ports information for the default settings. Deletes the power and ground ports information for modules. This accepts wildcards.

Related Command
ADD POWER_GROUND PORT REPORT POWER_GROUND PORT

October 2010

92

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

DELETE POWER MODE


DELete POwer Mode <-ALL | <mode_name*> ...> (Setup Mode )

Deletes the power modes defined by the ADD POWER MODE command.

Parameters
-ALL <mode_name*> ... Deletes all power modes. Specifies the power mode name(s) to delete. This accepts wildcards.

Related Commands
ADD POWER MODE REPORT POWER MODE

October 2010

93

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

DELETE POWER PIN


DELete POwer Pin <name* ...> [-Domain | -Pin] | -ALL]] (Setup Mode )

Deletes power pin(s) that were defined with the ADD POWER PIN command. This command is only valid for physical netlists.

Parameters
<name* ...> Specifies the name of power domain or power pin. By default, this is treated as the symbolic power domain name unless you specify the -Pin option. When this is a power domain name, this command deletes all power pins in the specified power domain. Deletes the defined power pins with power domain names that match <name>. Deletes the defined power pins with pin names that match <name>. Deletes all defined power pins.

-Domain -Pin -ALL

Related Commands
ADD POWER PIN REPORT POWER PIN

October 2010

94

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

DELETE POWER SWITCH


DELete POwer Switch <-ALL | <name> ...> (Setup Mode )

Deletes power switches that were defined with the ADD POWER SWITCH command. This command is only valid for physical netlists.

Parameters
-ALL <name> ... Deletes all defined power switches. Specifies the name(s) of the defined power switch(es) to delete.

Related Commands
ADD POWER SWITCH REPORT POWER SWITCH

October 2010

95

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

DELETE RETENTION CELL


DELete Retention Cell <-ALL | <name*> ...> (Setup Mode )

Deletes retention cell(s) defined by the ADD RETENTION CELL command.

Parameters
-ALL <name*> ... Deletes all retention cells. Specifies the retention cell(s) to delete. This accepts wildcards.

Related Commands
ADD RETENTION CELL REPORT RETENTION CELL

October 2010

96

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

DELETE RETENTION RULE


DELete RETention Rule <-ALL | <name*> ...> (Setup Mode )

Deletes retention rule(s) defined by the ADD RETENTION RULE command.

Parameters
-ALL <name*> ... Deletes all retention rules. Specifies the retention rule(s) to delete. This accepts wildcards.

Related Commands
ADD RETENTION RULE REPORT RETENTION RULE

October 2010

97

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

DELETE SWITCH RULE


DELete SWitch Rule [-ALL | <rulename*> ...] (Setup Mode )

Deletes switch rules that were defined with the ADD SWITCH RULE command.

Parameters
-ALL <rulename*> ... Deletes all switch rules. Specifies the name(s) of the switch rule(s) to delete. This accepts wildcards.

Related Commands
ADD SWITCH RULE REPORT SWITCH RULE

October 2010

98

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

DIAGNOSE POWER CHECK


DIAgnose POwer Check <-ISOlation [-ASSERT] <instance_name> | -CROssing <from_instance> <to_instance> | -RETention <instance_name> [-ASSERT] [-CLOCK_value] > (Verify Mode )

Diagnoses failed low power functional checks.

Parameters
-ISOlation <instance_name> Specifies the failed isolation cell functional check. -ASSERT Specifies that when the source domain is OFF, the isolation cell control pin must be asserted.

-CROssing <from_instance> <to_instance> Specifies the failed power domain crossing. -RETention <instance_name> Specifies the failed retention cell functional check. -ASSERT -CLOCK_value Specifies that when the source domain is OFF, the retention cell control pin must be asserted. Specifies the clock value functional check for retention cells.

Related Commands
ADD POWER CHECK DELETE POWER CHECK REPORT POWER CHECK

October 2010

99

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

READ MACRO POWER_INFORMATION


REAd MAcro Power_information <macro.cpf> [-NOREPlace | -REPlace] (Setup Mode )

Reads a macro model definition for a blackboxed hard macro. The macro model definition is consistent with the macro definition in CPF 1.0e, and has the same syntax and semantics for consistency. Each macro model definition should be contained in separate files. CPF Command Equivalents: set_macro_model , end_macro_model

Parameters
<macro.cpf> -NOREPlace -REPlace Specifies the name of the macro model file. Does not replace existing macro model definitions. This is the default. Replace existing macro models with new definitions.

Related Commands
REPORT MACRO POWER_INFORMATION

October 2010

100

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

READ POWER INTENT


REAd POwer Intent <filename> [-CPF] [-PRE_SIMULATION | -PRE_SYNTHESIS | -POST_SYNTHESIS | -PRE_ROUTE | -POST_ROUTE] [-NAME_MAPPER_FILE <nmf_filename>] [-LEVEL <number>] [-REPlace][-APPend] [-NOELABORATE] [-LIBrary] (Setup Mode )

Note: This is a Conformal Low Power command. Reads and elaborates design power intent. When reading in power intent, all library objects in the intent file cause the library intent to be appended.

Parameters
<filename> -CPF -PRE_SIMULATION Specifies the name of the power intent file. Specifies that the file format is CPF. Check the quality of the power intent specification before RTL level simulation. Library related quality control checkers are disabled. Check quality of power intent specification and Library (Liberty) before gate synthesis. Check quality of synthesized gate netlist based on power intent specification. Power intent rules for domain crossings are disabled in the quality check stage. Quality checks for the crossing rules are not needed. The crossing and implementation per rule is checked by the ANALYZE POWER DOMAIN STAGE. Check quality of power intent specification and library (Liberty) before place and route.

-PRE_SYNTHESIS -POST_SYNTHESIS

-PRE_ROUTE

October 2010

101

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

-POST_ROUTE

Checks the quality of the place-and-route gate netlist based on the power intent specification. Power intent rules for domain crossings are disabled in the quality check stage. Quality checks for the crossing rules are not needed. The crossing and implementation per rule is checked by the ANALYZE POWER DOMAIN STAGE. Defines the number of hierarchical design scope levels in the CPF file Use this option to overwrite elaborated data. This option overwrites hard IP and design power intent. This option does not overwrite library power intent. If library power intent is defined in a power intent file, this option will overwrite existing objects, append new objects, and preserve existing objects that are not in conflict.

-LEVEL <number> -REPlace

-NAME_MAPPER_FILE <nmf_filename> Specifies the name mapper file. During creation of the gate-level netlist, the synthesis tool might change the names of the design objects from their RTL name. The synthesis tool records all the name changes in a name mapper file. Conformal Low Power can use the name mapper file to link between the original design object names specified in the CPF and their new names in the gate-level netlist. -APPend Appends elaborated data. This option appends hard IP and design power intent. Individual objects can be replaced by new objects, without resetting existing objects that are not being updated -NOELABORATE Does not elaborate power intent. When this option is not specified, power intent is elaborated by default. -LIBrary When the design is not read in, the command only checks the power intent syntax. Use this option to read the power intent library definitions into the database for library checking when no design is read in.

October 2010

102

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

Related Commands
COMMIT POWER INTENT READ POWER INTENT WRITE POWER INTENT

October 2010

103

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

RENAME GROUND DOMAIN


REName GRound Domain <old_domain_name> <new_domain_name> (Setup Mode )

Renames an existing ground domain. This command is useful for overriding the automatically generated names of ground switch output domains. Normally, the automatically generated name is the hierarchical net name of the net connected to the output of the ground switch. You can run the REPORT POWER SWITCH command to see the Power Switch Report that shows the automatically generated name for the input and output ground domains. Note: The new power domain name cannot be an existing ground domain name.

Parameters
<old_domain_name> <new_domain_name> Specifies the existing name of the ground domain. Specifies the new name of the ground domain.

Related Commands
REPORT GROUND SWITCH

October 2010

104

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

RENAME POWER DOMAIN


REName POwer Domain <old_domain_name> <new_domain_name> (Setup Mode )

Renames an existing power domain. This command is useful for overriding the automatically generated names of power switch output domains. Normally, the automatically generated name is the hierarchical net name of the net connected to the output of the power switch. You can run the REPORT POWER SWITCH command to see the Power Switch Report that shows the automatically generated name for the input and output power domains. Note: The new power domain name cannot be an existing power domain name.

Parameters
<old_domain_name> <new_domain_name> Specifies the existing name of the power domain. Specifies the new name of the power domain.

Related Commands
ADD POWER DOMAIN REPORT POWER SWITCH

October 2010

105

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

REPORT ALWAYS_ON CELL


REPort ALways_on Cell [-ALL | <name*> ...] [-SUMmary | -VERbose [-NOINStance | -INStance]] (Setup / Verify Mode )

Reports the always-on cells defined by the ADD ALWAYS_ON CELL command.

Parameters
-ALL <name*> ... -SUMmary -VERbose Reports all defined always-on cells. Specifies the name of the defined always-on cell(s). The wildcard is accepted. Reports defined always-on cell(s) in a summary table. This is the default. Reports details of each defined always-on cell. The instantiation of each always-on cell is not report unless you specify the -INStance option. Does not report the instantiation of each always-on cell. This is the default. Reports the instantiation of each always-on cell.

-NOINStance -INStance

Related Commands
ADD ALWAYS_ON CELL DELETE ALWAYS_ON CELL

October 2010

106

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

REPORT CLAMP CELL


REPort CLamp Cell [-ALL | <name*> ...] [-SUMmary | -VERbose [-NOINStance | -INStance]] (Setup / Verify Mode )

Reports the antenna diode clamp cells defined by the ADD CLAMP CELL command.

Parameters
-ALL <name*> ... -SUMmary -VERbose Reports all defined antenna diode clamp cells. Specifies the name of the defined antenna diode clamp cell(s). This accepts wildcards. Reports defined antenna diode clamp cell(s) in a summary table. This is the default. Reports details of each defined antenna diode clamp cell. The instantiation of each antenna diode clamp cell is not reported unless you specify the -INStance option. Does not report the instantiation of each antenna diode clamp cell. This is the default. Reports the instantiation of each antenna diode clamp cell.

-NOINStance -INStance

Related Commands
ADD CLAMP CELL DELETE CLAMP CELL

October 2010

107

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

REPORT CPF LOGIC


REPort CPf Logic [-ISOlation] [-Level_shifter] [-RETention] [-Verbose] (Setup / Verify Mode )

Reports the low power cells that were inserted by the Conformal Low Power software.

Parameters
-ISOlation -Level_shifter -RETention -VERbose Reports the inserted isolation cells only. Reports the inserted level-shifter cells only. Reports the inserted state retention cells only. Reports detailed information of each defined CPF cell, including cell types and rules that triggered this cell to be inserted in the design.

Note: By default, this command reports all inserted low power cell types.

Example
The following commands read the lib.cpf and design.cpf files, performs low power cell insertion, and reports only the inserted isolation and level-shifter cells:
read power intent lib.cpf design.cpf commit cpf -insert report cpf logic -isolation -level_shifter

Related Commands
COMMIT POWER INTENT READ POWER INTENT

October 2010

108

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

REPORT DECAP CELL


ADD DECap Cell [-ALL | <name*> ...] [-SUMmary | -VERbose [-NOINStance | -INStance]] (Setup / Verify Mode )

Reports the decoupled capacitor cells defined by the ADD DECAP CELL command.

Parameters
-ALL <name*> ... -SUMmary -VERbose Reports all defined decoupled capacitor cells. Specifies the name of the defined decoupled capacitor cell(s). This accepts wildcards. Reports defined decoupled capacitor cell(s) in a summary table. This is the default. Reports details of each defined decoupled capacitor cell. The instantiation of each decoupled capacitor cell is not reported unless you specify the -INStance option. Does not report the instantiation of each decoupled capacitor cell. This is the default. Reports the instantiation of each decoupled capacitor cell.

-NOINStance -INStance

Related Commands
ADD DECAP CELL DELETE DECAP CELL

October 2010

109

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

REPORT DOMAIN MAPPING


REPort DOmain Mapping [-ALL | <instance_pathname*> ... ] (Setup Mode )

Reports the domain mappings for instances of macro cells added with the ADD DOMAIN MAPPING command.

Parameters
-ALL Reports all domain mapping information for all macro instances.

<instance_pathname*> ... Specifies one or more macro instances whose domain mapping information are to be reported.

Related Commands
ADD DOMAIN MAPPING DELETE DOMAIN MAPPING

October 2010

110

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

REPORT EQUIVALENT PINS


REPort EQuivalent Pins (Setup Mode )

Reports the previously defined groups of equivalent pins defined with the ADD EQUIVALENT PINS command.

Related Commands
ADD EQUIVALENT PINS

October 2010

111

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

REPORT GROUND PIN


REPort GRound Pin (Setup / Verify Mode )

Reports all ground pins defined by the ADD GROUND PIN command. This command is only valid for physical netlists.

Related Commands
ADD GROUND PIN DELETE GROUND PIN

October 2010

112

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

REPORT GROUND SWITCH


REPort GRound Switch [-DOMain] (Setup / Verify Mode )

Reports the ground switches defined by the ADD GROUND SWITCH command. This command is only valid for physical netlists.

Parameters
-DOMain Reports only one switch per switched output domain. Use this option to output a concise report of the ground domains that are driven by parallel switches.

Related Commands
ADD GROUND SWITCH DELETE GROUND SWITCH

October 2010

113

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

REPORT ISOLATION CELL


REPort ISolation Cell [-ALL | <name*> ...] [-SUMmary | -VERbose [-NOINStance | -INStance]] (Setup / Verify Mode )

Reports the isolation cells defined by the ADD ISOLATION CELL command.

Parameters
-ALL <name*> ... -SUMmary -VERbose Reports all defined isolation cells. Specifies the name of the defined isolation cell(s). This accepts wildcards. Reports defined isolation cell(s) in a summary table. This is the default. Reports details of each defined isolation cell. The instantiation of each isolation cell is not reported unless you specify the -INStance option. Does not report the instantiation of each isolation cell. This is the default. Reports the instantiation of each isolation cell.

-NOINStance -INStance

Related Commands
ADD ISOLATION CELL DELETE ISOLATION CELL

October 2010

114

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

REPORT ISOLATION RULE


REPort ISolation Rule [-ALL | <rule_name*> ...] (Setup / Verify Mode )

Reports isolation rules defined by the ADD ISOLATION RULE command. This command is only valid for logical netlists.

Parameters
-ALL <rule_name*> ... Reports all defined isolation rules. Specifies the name of the defined isolation rule(s). This accepts wildcards.

Related Commands
ADD ISOLATION RULE DELETE ISOLATION RULE

October 2010

115

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

REPORT LOWPOWER FILTER


REPort LOwpower Filter <<filter_name> ... | -ALL> (Setup / Verify Mode )

Reports filters that were defined with the ADD LOWPOWER FILTER command.

Parameters
<filter_name> ... -ALL Specifies the name of the filter(s) to report. Reports all low power filters.

Related Commands
ADD LOWPOWER FILTER DELETE LOWPOWER FILTER

October 2010

116

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

REPORT LEVEL SHIFTER


REPort LEvel Shifter [-ALL | <name*> ...] [-SUMmary | -VERbose [-NOINStance | -INStance]] (Setup / Verify Mode )

Reports level shifters defined by the ADD LEVEL SHIFTER command.

Parameters
-ALL <name*> ... -SUMmary -VERbose Reports all defined level shifter. Specifies the name of the defined level shifter(s). This accepts wildcards. Reports defined level shifter(s) in a summary table. This is the default. Reports details of each defined level shifter. The instantiation of each level shifter is not report unless you specify the -INStance option. Does not report the instantiation of each level shifter. This is the default. Reports the instantiation of each level shifter.

-NOINStance -INStance

Related Commands
ADD LEVEL SHIFTER DELETE LEVEL SHIFTER

October 2010

117

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

REPORT MACRO POWER_INFORMATION


REPort MAcro Power_information (Setup Mode )

Reports the macro model definitions added with the READ MACRO POWER_INFORMATION command.

Related Command
READ MACRO POWER_INFORMATION

October 2010

118

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

REPORT OPEN_SOURCE PINS


REPort OPEN_source Pins [-ALL | <module_name*> ...] (Setup / Verify Mode )

Reports open source pins defined by the ADD OPEN_SOURCE PINS command.

Parameters
-ALL <module_name*> ... Reports all defined open source pins. This is the default. Specifies the name(s) of the module(s) whose open source pins are to be reported. This accepts wildcards.

Related Commands
ADD OPEN_SOURCE PINS

October 2010

119

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

REPORT PATH RULE


REPort PAth Rule <-ALL | <rule_name*> ...> (Setup / Verify Mode )

Reports path rules defined by the ADD PATH RULE command.

Parameters
-ALL <rule_name*> ... Reports all path rules. Specifies the name(s) of the path rule(s) to be reported. This accepts wildcards.

Related Command
ADD PATH RULE DELETE PATH RULE VALIDATE PATH RULE

October 2010

120

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

REPORT PIN DRIVER


REPort PIn DRiver <<-CELL <cell_name> <pin_name> | -INStance <instance_name> <pin_name>> ... [-PASS <cell_name> <in_pin> <out_pin>]... [-SKIP <BBOX | DFF | PI | PO | PIO | LS | ISO | RET | <cell_name*> > ...] [-PATH [-VERbose | -SUMmary] [-LIMIT <number>] ] (Setup / Verify Mode )

Reports drivers of cell pins or instance pins.

Parameters
-CELL -INSTance -PASS -SKIP Reports the drivers of the pins <pin_name> of all the instances of this <cell_name>. Reports the drivers of the pins <pinname> of a specific instance <instance_name>. Specifies the names of cells through which the path tracing should continue. Does not report the drivers that match these types. The type can be either some cell name, or certain types of cells or pins, which can be one of the following: -BBOX -DFF -PI -PO -PIO -LS -ISO -RET <cell_name*> -PATH black box pins D flip-flops primary input pins primary output pins primary inout pins level-shifter cell pins isolation cell pins retention cell pins Specifies the cell name.

Reports paths that end at the specified pin. -VERbose -SUMmary Reports the entire path. This is the default. Reports only the staring points that drive the specified pin
121 Product Version 10.1

October 2010

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

-LIMIT <number> Specifies the number of paths to be reported.

Related Commands
REPORT PIN LOAD

October 2010

122

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

REPORT PIN LOAD


REPort PIn LOad <<-CELL <cell_name> | -INStance <instance_name> > <pin_name>>... [-PASS <cell_name> <in_pin> <out_pin>] ... [-SKIP <BBOX | DFF | PI | PO | PIO | LS | ISO | RET | <cell_name*> >...] [-PATH [-VERbose | -SUMmary] [-LIMIT <number>] ] (Setup / Verify Mode )

Reports loads of cell pins or instance pins.

Parameters
-CELL -INSTance -PASS -SKIP Reports the loads of the pins <pin_name> of all the instances of this <cell_name>. Reports the loads of the pins <pin_name> of a specific instance <instance_name>. Specifies the names of cells through which the path tracing should continue. Does not report the loads that match these types. The type can be either some cell name, or certain types of cells or pins, which can be one of the following: -BBOX -DFF -PI -PO -PIO -LS -ISO -RET <cell_name*> -PATH black box pins D flip-flops primary input pins primary output pins primary inout pins level-shifter cell pins isolation cell pins retention cell pins Specifies the cell name.

Reports paths that start from the specified pin. -VERbose -SUMmary Reports the entire path. This is the default. Reports only the ending points that are driven by the specified pin
123 Product Version 10.1

October 2010

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

-LIMIT <number> Specifies the number of paths to be reported.

Related Commands
REPORT PIN DRIVER

October 2010

124

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

REPORT POWER ASSOCIATION


REPort POwer Association [-UNassociated] [-ALL | -PIN | | -INStance [<instance_name>...] | <module_name*>...] (Setup / Verify Mode )

Reports power associations defined by the ADD POWER ASSOCIATION command.

Parameters
-UNassociated -ALL Reports objects that have no power association. Reports all power associations for primary pins and modules. If you also specify the -unassociated option, the command reports all primary pins and black box module pins that have no power association. Reports only power associations related to primary pins. If you also specify the -unassociated option, the command reports only primary pins that have no power association.

-PIN

-INStance [<instance_name>...] Reports the direct domain associations for pins of specified instances, as added with the command:
add power association {-instance | -allinstance}

If no instance name is specified, this command option reports all existing direct power domain associations. <module_name*> ... Reports only power associations related to specified module(s). If you also specify the -unassociated option, the command reports pins of the specified black box module(s) that have no power association. This accepts wildcards.

Example
The following command reports all primary pins that have no power domain associations:
report power association -unassociated -pin

October 2010

125

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

Related Command
ADD POWER ASSOCIATION ANALYZE POWER ASSOCIATION DELETE POWER ASSOCIATION

October 2010

126

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

REPORT POWER CHECK


REPort POwer Check [-ALL | -ISOlation [-ASSERT] [-ALL | <name*...> ... [-MODule | -INStance]] | -Crossing [-SOURce [-ALL | <power_domain> ... ]] [-DESTination [-ALL | <power_domain ...]> ...] ] | -RETention [-CLOCK_value | -ASSERT | -ALL_RET_CHECK] [-ALL | <name*> ... [-MODule | -INStance]] | -LEVel_shifter [-POWER] [-ALL | <name*>... [-MODule | -INStance]] ] [-SUMmary | -VERbose | -UNIque] (Verify Mode )

Reports the information specified by the ADD POWER CHECK command.

Parameters
-ALL -ISOlation Reports all low power functional checks. This is the default. Reports isolation cell functional check(s). If you use -ALL after -ISOlation, this reports all isolation cell checks except those have been verified as redundant structurally. This is the default. You can also report specific isolation cell checks by specifying either isolation cell module names or instance names. Reports isolation control assert checks. Reports power domain crossing functional checks. A power domain crossing functional check is a check for proper isolation where no isolation cell is present between the power domain crossing. By default, all power domain crossing checks are reported. You can report specific power domain crossing checks by specifying either source power domains or destination power domains or both. Specifies the source power domain. Specifies the name of the power domain. Specifies the name power domain(s).

-ASSERT (for -ISOlation) -Crossing

-SOURce -DESTination <power_domain>

October 2010

127

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

-RETention

Reports retention cell functional check(s). If you use the -ALL option after -RETention, this reports all retention cell checks. This is the default. You can report specific retention cell checks by specifying either retention cell module names or instance names.

-CLOCK_value -ASSERT (for -RETention) -ALL_RET_CHECK -LEVel_shifter

Reports the clock value functional check for retention cells. Reports retention control assert checks. Reports all retention cell related power checks. Reports level shifter cell functional check(s). If you use the -ALL option after -LEVel_shifter, this reports all level shifter cell checks. This is the default. Reports the level shifter power pin check. Reports low power functional check(s) in a summary table. This is the default. Reports details of each low power functional check. Reports unique low power functional check(s) in a summary table.

-POWER -SUMmary -VERbose -UNIque

Related Commands
ADD POWER CHECK DELETE POWER CHECK

October 2010

128

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

REPORT POWER DOMAIN


REPort POwer Domain [-DOMain <domain_name> ... ] [ | -LIB | -PIN <path_name> ... [-DRIver | -LOAd] | -NET <path_name> ... [-DRIver | -LOAd] | -MODule <module_name> ... | -INSTance <instance_pathname> ... [-NORECursive | -RECursive [ <-MATch| -UNMATch> <power_domain> [-EXClude <instance_pathname> ... ] ] ] (Setup / Verify Mode )

Reports the power domains specified by the ADD POWER DOMAIN command or reports the power domains found in the design by analyzing the power and ground connectivity of instances. You can also use this command to report specific power domains, or power domains of library cells, nets, or instances. When reporting the power domain of instances, additional options are available to report only those instances that match or do not match a specified domain. If no argument is specified, this command reports all the power domains in the design. Note: If you specify any arguments, you must run ANALYZE POWER DOMAIN before running this command.

Parameters
-DOMain <domain_name> Reports the details of one or more specified power domains. -LIB -PIN <path_name> -NET <path_name> -DRIver Reports the power domain of a library cell after the power domain has been analyzed. Reports the power domains of the specified hierarchical instance pins after the power domain has been analyzed. Reports the power domains of the specified hierarchical nets after the power domain has been analyzed. Reports the power domain of instance pins driving the specified pins (with the -PIN option) or specified nets (with the -NET option).

October 2010

129

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

-LOAd

Reports the power domain of the instance pins that is being driven by the specified pins (with the -PIN option) or specified nets (with the -NET option). Reports the number of occurrences of <modulename> in each power domain.

-MODule

-INSTance <instance_pathname> Specifies the instance pathname. -NORECursive -RECursive -MATch -UNMATch <power_domain> Reports only the power domains of named instances. Reports the power domains of all instances inside the named instance. Reports only instances whose power domains match <power_domain>. Reports only instances whose power domains do not match <power_domain>. Specifies the name of the power domain.

-EXClude <instance_pathname> When using -RECursive with either -MATch or -UNMATch, this option reporting the domain of specified instances.

Examples

The following command reports the power domains of pin VDD1 of instance inst1 and pin VDD2 of instance inst2:
report power domain -pin inst1/VDD1 inst2/VDD2

The following command reports the power domains of net abar in the root module and net netA in the instance inst1. In addition, the -load option causes the reporting of the power domains of the instance pins that these nets drive.
report power domain -net abar inst1/netA -load

Related Commands
ADD POWER DOMAIN ANALYZE POWER DOMAIN DELETE POWER DOMAIN
October 2010 130 Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

REPORT POWER_GROUND PORT


REPort POwer_ground POrt <-ALL | -DEFault | <module_name>* ...> (Setup Mode )

Reports the power and ground ports information specified with the ADD POWER_GROUND PORT command.

Parameters
-ALL -DEFAULT <module_name>* ... Reports all power and ground port information for all modules and default settings. Reports the power and ground ports information for the default settings. Reports the power and ground ports information for modules. This accepts wildcards.

Related Command
ADD POWER_GROUND PORT DELETE POWER_GROUND PORT

October 2010

131

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

REPORT POWER MODE


REPort POwer Mode [-All | <mode_name*> ...] (Setup Mode )

Reports the power modes defined by the ADD POWER MODE command.

Parameters
-All <mode_name*> ... Reports all power modes. This option is the default. Specifies the power mode name(s) to report. This accepts wildcards.

Related Commands
ADD POWER MODE DELETE POWER MODE

October 2010

132

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

REPORT POWER PIN


REPort POwer Pin (Setup / Verify Mode )

Reports all power pins defined by the ADD POWER PIN command. This command is only valid for physical netlists.

Related Commands
ADD POWER PIN DELETE POWER PIN

October 2010

133

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

REPORT POWER SWITCH


REPort POwer Switch [-DOMain] (Setup / Verify Mode )

Reports the power switches defined by the ADD POWER SWITCH command. This command is only valid for physical netlists.

Parameters
-DOMain Reports only one switch per switched output domain. Use this option to output a concise report of the power domains that are driven by parallel switches.

Related Commands
ADD POWER SWITCH DELETE POWER SWITCH

October 2010

134

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

REPORT RETENTION CELL


REPort Retention Cell <-ALL | <name*> ...> [-SUMmary | -VERbose [-NOINStance | -INStance] ] (Setup / Verify Mode )

Displays the list of retention-register cells that were created with the ADD RETENTION CELL command.

Parameters
-ALL <name*> -SUMmary -VERbose Reports all defined retention cells. Specifies the defined retention cell(s) to report. This accepts wildcards. Reports defined retention cell(s) in a summary table. This is the default. Reports details of each defined retention cell. The instantiation of each retention cell is not report unless you specify the -INStance option. Does not report the instantiation of each retention cell. This is the default. Reports the instantiation of each retention cell.

-NOINStance -INStance

Related Commands
ADD RETENTION CELL DELETE RETENTION CELL

October 2010

135

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

REPORT RETENTION RULE


REPort RETention Rule [-ALL | <rule_name*> ...] (Setup / Verify Mode )

Displays the list of retention rules that were created with the ADD RETENTION RULE command.

Parameters
-ALL <rule_name*> ... Reports all defined retention rules. Specifies the name of the defined retention rule(s). This accepts wildcards.

Related Commands
ADD RETENTION RULE DELETE RETENTION RULE

October 2010

136

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

REPORT SWITCH RULE


REPort SWitch Rule [-ALL | <rule_name*> ...] (Setup Mode )

Displays switch rules that were defined with the ADD SWITCH RULE command.

Parameters
-ALL <rule_name*> ... Displays all switch rules. Specifies the name(s) of the switch rule(s) to display. This accepts wildcards.

Related Commands
ADD SWITCH RULE DELETE SWITCH RULE

October 2010

137

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

SET LOWPOWER OPTION


SET LOwpower Option [-NETLIST_STYLE <PHYsical | LOGical | HYBrid>] [-ISOLATION_LOCATION [AUTO | SOURCE]] [-RETENTION_LIMIT <limit>] [-ISOLATION_LIMIT <limit>] [-LEVEL_SHIFTER_CHECK [COMMAND_value | CONNECTivity]] [-IGNORE_HIGH_TO_LOW [<tolerance>] ] [-IGNORE_LOW_TO_HIGH [<tolerance>] ] [-REPort_file <filename>] [-NO_SWITCH_CHAIN_checks | -SWITCH_CHAIN_checks] [-MAX_PMOS_stack_depth <<number> | OFF>] [-MAX_NMOS_stack_depth <<number> | OFF>] [-NO_COALESCE_STACK_VIOLATIONS | -COALESCE_STACK_VIOLATIONS] [-LP_CTRL_TREE_CHECK | -NO_LP_CTRL_TREE_CHECK] [-NO_LOCAL_constants | -LOCAL_constants] [-NO_CLONE_check | -CLONE_check] [-PASS_DATA_BUF] [-POWER_VOLTAGE_TOLERANCE <min:max>] [-GROUND_VOLTAGE_TOLERANCE <min:max>] [-CHECK_FLOATING_OUTPUT_INSTANCE | -NO_CHECK_FLOATING_OUTPUT_INSTANCE] [-NO_SKIP_LIB_CELL_VOLTAGE_CHECK | -SKIP_LIB_CELL_VOLTAGE_CHECK] (Setup Mode )

Specifies the tool environment or global behavior of low power checks.

October 2010

138

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

Parameters
-NETLIST_STYLE <PHYsical | LOGical | HYBrid> Specifies the design netlist. PHYsical (the default ) indicates that the design is a physical netlist (netlists with power connectivity). LOGical indicates that the design is a logical netlist. HYBrid indicates that the design is a hybrid physical and logical netlist. Conformal Low Power supports the hybrid netlist style only if the LEF definitions of cells used in the physical netlist portion of the design are also available. The LEF definitions allow Conformal Low Power to identify the power and ground ports of these cells so it can transform the hybrid netlist style into a pure logical netlist by removing the power and ground ports of these cells. The transformation will be performed automatically after running the READ DESIGN command, so the hybrid netlist style must be specified before READ DESIGN in this case. -ISOLATION_LOCATION [AUTO | SOURCE] Controls the valid location of the isolation cell. AUTO (the default ) automatically determines the valid location of the isolation cell based on the structure of the cell and the surrounding domains where the isolation cell is used. SOURCE specifies that the isolation cell can only be used in the source domains. -RETENTION_LIMIT <limit> Specifies the global limit on the number of retention control pins driven by the same signal. For more information on how this option works, see the description for RET6. -ISOLATION_LIMIT <limit> Specifies the global limit on the number of isolation control pins driven by the same signal.

October 2010

139

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

-LEVEL_SHIFTER_CHECK [COMMAND_value | CONNECTivity] Specifies how level shifter structural checks are performed. COMMAND_value (the default ) checks the voltage values that are connected to the input/output port of the level shifter against the voltage values specified by the ADD LEVEL SHIFTER command. CONNECTivity checks that the power that is connected to the input voltage power pin is the one that supplies the power to the logic gate that drives the data input pin. Similarly, this will also check that the power which connected to the output voltage power pin is the one that supplies the power to the logic gate which is driven by the output pin. -IGNORE_HIGH_TO_LOW [<tolerance>] If no tolerance is specified, no check for level shifters from high to low will be done. If a tolerance is specified, only voltage crossings where the difference is greater than the tolerance will be checked for level shifters. -IGNORE_LOW_TO_HIGH [<tolerance>] If no tolerance is specified, no check for level shifters from low to high will be done. If a tolerance is specified, only voltage crossings where the difference is greater than the tolerance will be checked for level shifters. -REPort_file <filename> Reports additional information related to statistics of power shutoff related logic, power domain crossings, or any issues encountered when processing the design. -NO_SWITCH_CHAIN_checks Does not activate additional structural checks on the power and ground switch networks. This is the default. -SWITCH_CHAIN_checks Activates additional structural checks on the power and ground switch networks.

October 2010

140

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

-MAX_PMOS_stack_depth <<number> | OFF> Note: This is only used by transistor level checks when running the Conformal Low Power GXL license. <number> specifies the maximum allowed length of PMOS transistor stacks comprised of source-drain series connections until they reach a power net. Specifying OFF disables the check for the PMOS transistors. PMOS stacks exceeding the specified length are reported as SPI3 violations. -MAX_NMOS_stack_depth <<number> | OFF> Note: This is only used by transistor level checks when running the Conformal Low Power GXL license. <number> specifies the maximum allowed length of NMOS transistor stacks comprised of source-drain series connections until they reach a ground net. Specifying OFF disables the check for the NMOS transistors. NMOS stacks exceeding the specified length are reported as SPI3 violations. -NO_COALESCE_STACK_VIOLATIONS Specifies that multiple stack length violations that are fully contained within the same library cell will be reported as multiple SPI3 violations for the same cell, one for each instance of the cell. This is the default. -COALESCE_STACK_VIOLATIONS Specifies that multiple stack length violations that are fully contained within the same library cell will be reported as a single SPI3 violation. -LP_CTRL_TREE_CHECK Checks all buffers in the path between two power domain functions and flags all buffers that can be OFF or ON when not expected. This is the default. -NO_LP_CTRL_TREE_CHECK Disables the path buffer checking.

October 2010

141

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

-NO_LOCAL_constants Specifies that the constants, such as 1b0 and 1b1, be considered to be connected in the instance they appear. For example, if a net is assigned 1b1 in an instance I0, the power domain of the signals driver is considered to be the power domain of instance I0. This option is the default for physical netlists, which have power and ground connectivity. -LOCAL_constants Specifies that the constant will be moved to its load. As a result, the physical wiring of this signal will virtually disappear. Its load pins will be connected to the power or ground rail of the load instance. This way, the constant is available when it is needed. This is more efficient as it avoids unnecessary routing and sometimes, unnecessary isolation cells to isolate such signals, when they cross power domains. This option is the default for logical netlists. -NO_CLONE_check -CLONE_check Disables checking for cloned isolation or level-shifter cells. This is the default. Enables checking for cloned isolation or level-shifter cells. Two isolation cell instances are considered to be clones if they have the same driving net, branch out to the same destination power domain, have the same isolation control signal, and are of the same isolation type. In this case, you can replace a pair of cloned isolation cells with a single isolation cell. Two level-shifter cells instances are considered to be clones if they have the same driving net, and branch out to the same destination power domain. In this case, you can replace a pair of cloned level shifter cells with a single level shifter cell.

October 2010

142

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

-PASS_DATA_BUF

Enables data path buffer checking. Buffers might be inserted in power domains that are not compatible with the driver or receiving power domains. Incorrect buffer insertion can cause subsequent unnecessary insertion of isolation cells and unnecessary isolation cell insertion can change the desired design function. This check is designed to catch incorrect buffer insertion. It checks functional crossing (same as before insertion), checks buffering path independently, and makes domain crossing checking compatible between pre- and post- place and route netlists.

-POWER_VOLTAGE_TOLERANCE <min:max> Specifies that for crossings where the driver power voltage minus the receiver power voltage is below the specified <min>, it requires a shifter which supports low to high power shifting. Crossings where the driver power voltage minus the receiver power voltage is above the specified <max>, it requires a shifter that supports high-to-low power shifting -GROUND_VOLTAGE_TOLERANCE <min:max> Specifies that for crossings where the driver ground voltage minus the receiver power voltage is below the specified <min>, it requires a shifter which supports low to high ground shifting. Crossings where the driver ground voltage minus the receiver ground voltage is above the specified <max>, it requires a shifter that supports high-to-low ground shifting. -CHECK_FLOATING_OUTPUT_INSTANCE Enables low power checking on the low power instances with floating outputs. Without this option, the software gives a warning message for the low power instance with a floating output, (for example, ISO3.3 for the floating isolation instance) instead of checking the electrical issues on those instances. This is the default. -NO_CHECK_FLOATING_OUTPUT_INSTANCE Report low power instances with floating outputs as a warning message without checking the electrical issues on those instances. -NO_SKIP_LIB_CELL_VOLTAGE_CHECK

October 2010

143

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

Checks the voltage of library cells while doing low power cell insertion. This is the default . -SKIP_LIB_CELL_VOLTAGE_CHECK Skips checking the voltage of library cells while doing low power cell insertion.

Example
With the following command, any crossing from 1.2 to 1.0 will be ignored, but a crossing from 1.2 to 0.8 will be flagged:
set lowpower option -ignore_high_to_low 0.2

Related Commands
ADD ISOLATION CELL ADD LEVEL SHIFTER ADD RETENTION CELL

October 2010

144

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

UPDATE POWER DOMAIN


UPDate POwer Domain <domain_name> [-APPend <-INStance | -MODule> <name*> ... ] [-POWer_net <power_net_name>] [-GROund_net <ground_net_name>] (Setup Mode )

Modifies a power domain previously defined with the ADD POWER DOMAIN command. CPF Command Equivalent : update_power_domain

Parameters
Note: You must specify at least one of the following options for this command to have any affect. Specifies the name of the power domain to modify.

<domain_name>

-APPend <-INStance | -MODule> <name*> ... Associate instances to this power domain. These instances will be assumed to be part of this power domain. -POWer_net <power_net_name> Specify the power net of this power domain. The power net can be an always-on power net or an internally switched power net. -GROund_net <ground_net_name> Specify the ground net of this power domain. The ground net can be an always-on ground net or an internally switched ground net.

Related Commands
ADD POWER DOMAIN DELETE POWER DOMAIN REPORT POWER DOMAIN
October 2010 145 Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

October 2010

146

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

VALIDATE
VALidate (Verify Mode )

For low power: Verifies low power functional checks.

October 2010

147

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

VALIDATE PATH RULE


VALidate PAth Rule (Setup / Verify Mode )

Validates path rules defined by the ADD PATH RULE command.

Related Command
ADD PATH RULE DELETE PATH RULE REPORT PATH RULE

October 2010

148

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

VALIDATE TRANSISTOR STRUCTURE


VALidate TRansistor Structure [-PRINTMAPping | -PRINTCELLPOWERPINS] (Verify Mode )

Checks several pre-defined rules at the transistor level. Definitions of these rules are:

Rule SPI1: Unbuffered input pin Reports any input pin of a cell which drives non-gate pin(s) of a MOS.

Rule SPI3: Max-stacking Reports any PMOS stack or NMOS stack whose length is greater than the user-specified limit. A PMOS stack is a pull-up (to power) PMOS stack can only consists of PMOS transistors, starting from power and ending at gate pin of a MOS. An NMOS stack is a pull-down (to ground) NMOS stack can only consists of NMOS transistors, starting from ground and ending at gate pin of a MOS.

You can use the following command to specify the stack length limit:

SET LOWPOWER OPTION


-MAX_NMOS_STACK_DEPTH <number> to specify the NMOS stack limit -MAX_PMOS_STACK_DEPTH <number> to specify the PMOS stack limit

Parameters
-PRINTCELLPOWERPINS -PRINTMAPping -PRINTCELLPOWERPINS Lists power pin connection of each instance by cell. Lists the mapping from design cells to spice cells. Lists power pin connection of each instance by cell.

Examples
The following shows an example output when the commands parameters are set:

-PRINTMAPping
// Map design module FOO to implementation module FOO // Map design module BAR to implementation module BAR

October 2010

149

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference
// Map design module XYZ_ver to implementation module XYZ_spi // PROGRESS: Mapping complete. Time = 0.00 sec.

-PRINTCELLPOWERPINS
// // // // ================= Power Pin Cell Connections ================= Module FOO instace /FOO_inst pin VSS is driven by power/ground net VSS. Module FOO instace /FOO_inst pin VDD is driven by power/ground net VDD. ==============================================================

The following is an example dofile:


set undefined cell black_box set spice option -nobulk read library -verilog foo_lib.v read des top_foo.v -root top read lib -SPICESPACE -spice foo_lib.spi add power pin VDD_GLOBAL 1.0 VDD add ground pin GROUND VSS set lowpower option -LIMIT_SPI3_PATHS set lowpower option -MAX_NMOS_STACK_DEPTH 4 -MAX_PMOS_STACK_DEPTH 3 analyze power domain validate transistor structure -printmapping -printcellpowerpins report rule check -lp -verbose

Related Command
SET LOWPOWER OPTION REPORT RULE CHECK -lp

October 2010

150

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

WRITE POWER_GROUND PORTS


WRIte POwer_ground Ports <filename> [-NOReplace | -REPlace] (Setup Mode )

Writes out the power and ground ports of library cells as defined by LEF, user commands, or as found by the software to a file. The power and ground ports are written using the ADD CELL PORT command, so this file can be read back into the software as an additional dofile to define the power and ground ports of library cells in subsequent runs of the software.

Parameters
<filename> -NOReplace -REPlace Specifies the name of the file. Does not overwrite the specified <filename> file if it exists. Overwrites the specified <filename> file if it exists.

Related Commands
ADD CELL PORT ADD POWER_GROUND PORT REPORT POWER_GROUND PORT

October 2010

151

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

WRITE POWER INTENT


WRITE POWER INTENT <filename> [-CPF [-DESign | -LIBrary | -MACRO_model] [-INTEGRATED [-NO_OPTIMIZATION] | -HIERarchical] [-MODule [<module_name >] [-REPlace] (Setup Mode )

Writes out power design intent to a specified output file.

Parameters
<filename> -CPF -DESign -LIBrary -MACRO_model -INTEGRATED Specifies the name of the output file. Specifies that the file is in Common Power Format (CPF). Writes out design models. This is the default. Writes out power intent for library cells. Writes out macro models. Results in a flattened output power intent file, using the power intent integrator. This is default when power intent design is written out. During integration, do not optimize unnecessary level shifters, isolation, or state retention rules when reconfiguring power modes. Note: Only valid with the -integrated option. -HIERARCHICAL Results in a hierarchical design power intent file.

-NO_OPTIMIZATION

[-MODule [<module_name>] Defines the module for which to write out power intent. Power intent must be defined for that module; otherwise, this option will not write out power intent for that module. -REPlace Replaces the specified <filename> file if it already exists.

October 2010

152

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

Related Commands
COMMIT POWER INTENT READ POWER INTENT WRITE POWER INTENT

October 2010

153

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Command Reference

October 2010

154

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

3
Low Power Rule Checks
Definitions for Conformal low power rules are explained in the following sections. This chapter includes the following topics:

Introduction to Low Power Messages on page 156

Rule Categories

Power Domains on page 157 Power Switches on page 189 Ground Switches on page 213 Isolation Cells on page 232 Always-on Cells on page 289 Retention Cells on page 297 Level Shifter Cells on page 316 Structural on page 342 Decoupled Capacitors on page 347 Path Rules on page 350 Clamp Rules on page 354 Circuit Rules on page 358 SPICE Rules on page 367 Macro Rules on page 376 Low Power Cell Rules on page 381

October 2010

155

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

Introduction to Low Power Messages


Low power messages indicate any errors encountered when running the Conformal Low Power software. Low Power Rule Checks are active as the system mode changes from Setup to Verify.

Violation Severity Levels


There are three levels of severity for rule violations. The severity levels are listed below from the most serious to the least serious:

Error: Conformal Low Power might not allow you to begin verification until you resolve the error. Warning: Conformal Low Power allows you to begin verification; however, it warns you of potential errors in the design. Note: Conformal Low Power provides information on how the software interpreted the command, and the actions that have been taken in response to the command.

You can run the REPORT RULE CHECK -LP command to view a summary or expanded report (using the -verbose option) of all low power rule violations. Additionally, you can specify the category of rules you would like to view with the following command. Use the asterisk (*) following a prefix to list rules of the specified category. For example:
report rule check STRC* -summary

To view information for a specific message, use the HELP command followed by the message ID.
HELp [message_id]

For example:
help PDM1

October 2010

156

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

Power Domains
The following lists the Power Domain (PDM) rule checks:

PDM1 on page 159 PDM1a on page 160 PDM1b on page 161 PDM2 on page 162 PDM2a on page 163 PDM2b on page 164 PDM2c on page 166 PDM3 on page 167 PDM3a on page 168 PDM4 on page 169 PDM4a on page 170 PDM4b on page 171 PDM4c on page 172 PDM4d on page 173 PDM4e on page 174 PDM4f on page 175 PDM5 on page 176 PDM6 on page 177 PDM7 on page 178 PDM7a on page 179 PDM7b on page 180 PDM7c on page 181 PDM8 on page 182 PDM8a on page 183

October 2010

157

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PDM8b on page 184 PDM8c on page 185 PDM_BUF1 on page 186 PDM_BUF2 on page 187 PDM_BUF3 on page 188

October 2010

158

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PDM1
Message
Power domain of the instance is unknown

Default Severity
Error

Description
The power domain of the instance cannot be determined after analyzing the power domain. In physical netlists, this is likely caused by incorrect power net connections. Check if the power pin of the instance is connected to a power net correctly. Also check if there are missing power pin or power switch definitions. In logical netlists, this is due to the instance not being covered by any power domain defined using the ADD POWER DOMAIN command. Check if there are any missing power domain definitions.

October 2010

159

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PDM1a
Message
Primary port has no power domain. Use power association to assign a power domain

Default Severity
Warning

Description
This error is issued when the power domain of a primary I/O port is not defined. Under such a condition, the low power checks cannot be performed accurately. To fix this issue, use the ADD POWER ASSOCIATION command to associate a power domain with each of the reported primary I/O ports. This is the power domain where the signal is connected outside the current design.

Example
In this example, dotted lines represent the portion of the circuit outside the design. Net N connects to an always on domain D_ON outside the design. However, this cannot be determined by the software since the portion outside the current design is not visible to the software. So, the output port O must be associated with D_ON for the software to catch the invalid power domain crossing, from switchable domain D_SW to D_ON, at output port O.
outside the design

i0 Domain: D_SW

net N

I1 Domain: D_ON

output port 0

To associate output port O with power domain D_ON, use the following command:
add power association -pin O -domain D_ON

Note: D_ON must be defined before using it in this command.

October 2010

160

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PDM1b
Message
Always on cell has multiple drivers from different domains

Default Severity
Error

Description
The input of a cell that is defined as an always on cell is driven by multiple drivers that have different domains. The cells domain is considered undefined when the netlist does not have power/ground connectivity, unless identify secondary domain is applied to the instance. Even if identify secondary domain is applied or the domain is derived from power/ground connecitivity, having multiple drivers on the same net can result in a serious electrical issues (such as a power to ground short) and should be analyzed by the designer for appropriate action. This rule applies to synthesized gate netlists with or without power/ground connectivity. This check is performed by the ANALYZE POWER DOMAIN command.

Example
None.

October 2010

161

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PDM2
Message
Instance with multiple power domains

Default Severity
Error

Description
The instance is powered by multiple power domains. This rule only applies to physical netlists. This error occurs if there are multiple power nets connected to an instance and the instance has not been defined as a special low power cell and is not a black box. To correct this problem, check if there is any missing low power cell definition. Also check if the netlists has modules with multiple power net connections and containing explicit primitive instantiations. In the later case those primitive instances will violate this rule.

Example
VDD VDD

OK

PDM2

October 2010

162

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PDM2a
Message
Black box instance pins have multiple power domains, use power association to assign power domains

Default Severity
Error

Description
The black box has multiple power domains, and there is no power association associating a single power to the pin. Note: PDM2 is not generated for black boxes. For black boxes, only PDM2a is generated. PDM2 is for library cells only.

October 2010

163

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PDM2b
Message
Net driven by multiple power domains

Default Severity
Error

Description
A net is driven by instances with different power domains. This means that there are more than one output or inout pins with different power domains driving the same net. To correct this, check if the net should be connected to the instance of different power domains. In some cases, if the net cannot be driven simultaneously by different domains, this error can be ignored.

Example
In the following example, net pin I0/Y and I1/Y are output pins of instances with power domains D0 and D1, respectively. So, net n is driven by two different power domains, and a PDM2b violation will be flagged for this net. ISO7 will also be flagged for the crossing from I1/Y to I2/Y.
I0 Domain: D0

Y n I I2 Domain: D0

I1 Domain: D1

October 2010

164

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks In the following example, net n is driven by two different power domains as in the previous example. However, there is no ISO7 error issued for this case. A PDM2b violation will be flagged for this net.

I0 Domain: D0

n I1 Domain: D1 Y

October 2010

165

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PDM2c
Message
Net or Pin tied to 1b0 or 1b1

Default Severity
Warning

Description
In a netlist with complete power and ground connectivity, there should be no connections to constant values. Each constant value should be replaced with a connection to a power or ground net, or alternatively to the output of a tie-high or tie-low cell.

Examples
Example 1 In a Verilog netlist, if you have:
wire k; assign k = 1b1 ;

You might get an error if net k net drives other logic, because it is not clear which power net will drive the net k. Example 2 In a Verilog netlist, if you have:
SC_AND2 andCellInst (.VDD(VDD), .VSS(VSS), .A(1b1), .B(bin), .C(out));

You will get this error because it is not clear which power will drive input pin A.

October 2010

166

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PDM3
Message
Power input pin of a power domain instance is not connected to a power pin

Default Severity
Error

Description
The power input pin specified by the ADD POWER DOMAIN -power command is not connected to a top-level power pin. The Conformal software looks for the power attribute in the ADD POWER DOMAIN command, and checks for the connectivity of the pin in the design to check that the power pin does not connect to any power signal. This rule only applies to physical netlists. To fix this problem, check the power pin connections of the instances specified in the ADD POWER DOMAIN command.

October 2010

167

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PDM3a
Message
Ground input pin of a power domain instance is not connected to a primary ground port

Default Severity
Error

Description
The ground input pin specified by the ADD POWER DOMAIN -ground command is not connected to a top-level power pin. The Conformal software looks for the ground attribute in the ADD POWER DOMAIN command, and checks for the connectivity of the pin in the design to check that the ground pin does not connect to any ground signal. This rule only applies to physical netlists. To fix this problem, check the ground pin connections of the instances specified in the ADD POWER DOMAIN command.

October 2010

168

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PDM4
Message
Power net connected to the data pin of a library cell powered by the same power net

Default Severity
Warning

Description
The power net tied directly to a library cell data input and a power net of a circuit inside a cell at that port is the same net. It is not through a tie off cell. To fix this problem, connect the data input pins to the output of a tie-high cell. For example, the PDM4 message is issued if VDD is the power net and it connects directly to the data pin.

Example
In the following diagrams, Figure 1 shows an incorrect connection where power net VDD1 is connected directly to the data input of the buffer. This will generate a PDM4 error. Figure 2 shows how to drive the data input high using a tie-high cell to fix this error. Figure 1
VDD1 TIE-HIGH CELL Data High

Figure 2

VDD1

October 2010

169

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PDM4a
Message
Ground net connected to the data pin of a library cell

Default Severity
Warning

Description
The ground net is tied directly to a data pin and not through a special tie cell. To fix this problem, connect the data input pins to the output of a tie-low cell. For example, the PDM4a message is issued if VSS is the ground net and it connects directly to the data pin.

October 2010

170

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PDM4b
Message
Power net driven by an output port of a regular library cell

Default Severity
Error

Description
The output port of a regular cell is connected to a power net. This can create functional failures and can potentially create static short circuit current between the power and ground nets through a transistor that is turned on. Only power switch output ports are allowed to drive a power net. To fix this issue, first check if the cell is a power switch. If it is a power switch, define the cell with the ADD POWER SWITCH command. If it is a regular cell, reconnect the output port properly.

October 2010

171

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PDM4c
Message
Ground net driven by an output port of a regular library cell

Default Severity
Error

Description
The output port of a regular cell is connected to a ground net. This can create functional issues and can potentially create static short circuit current between the power and ground nets through a transistor that is turned on.

October 2010

172

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PDM4d
Message
Power pin of a library cell is not connected to a power net

Default Severity
Error

Description
The power pin of a library cell is not connected to a power net. The cell might not function properly if it is not powered correctly. To fix this issue, see the reported instances power pin. Connect the power pin to a power net.

October 2010

173

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PDM4e
Message
Ground pin of a library cell is not connected to a ground net

Default Severity
Error

Description
The ground pin of a library cell is not connected to a power net. The cell might not function properly if its ground pin is not grounded correctly. To fix this issue, see the reported instances ground pin. Connect the ground pin to a ground net.

October 2010

174

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PDM4f
Message
Power net of a different domain connected to the data pin of a library cell

Default Severity
Warning

Description
The Power net tied to a library cell data input and a power net of a circuit inside a cell at that port are different.

October 2010

175

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PDM5
Message
Assigned power domain of instance is different from actual power domain

Default Severity
Warning

Description
The power domain of the power and ground nets, that are connected to a blackbox instance that is not defined as a macro model, is different than the power domain defined by create_power_domain -name <> -instances <bbox_inst_name>. This means that the functional and physical domain of that blackbox is different and can have issues if the blackbox contains state elements (flip flops or latches) Use the report rule check PDM5 -verbose command to view information on the instance and the conflicting power domains.

Applicability
This check is performed on a place-and-route gate netlist with power and ground connectivity.

October 2010

176

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PDM6
Message
External shutoff condition can be off

Default Severity
Error

Description
The domain of a driver to a shutoff condition of an externally-switched domain can be OFF when the base domain to the switched domain is ON in one or more power modes. Use the report rule check PDM6 -verbose command to view information on the power domain, shutoff condition driver, and to review the power modes. From this information, you can determine whether the domains are defined correctly, the power modes are correct, or the control driver is in the incorrect domain.

Applicability
Synthesized and place-and-route gate netlists with low power cells inserted

October 2010

177

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PDM7
Message
One or more crossings between 2 power domains have same nominal conditions but different ground. They do not have a level shifter inserted. The designer should check to see if a level shifter is required.

Default Severity
Warning

Description
A ground net from one domain drives into another domain. When the ground net of the driver is different than that of the receiver domain, you might need a ground level shifter to protect the crossing from ground-supply variations. Use report rule check PDM7 -verbose to review the domain crossing and the ground nets for those domains to see if a level shifter is required to protect the crossing.

Applicability
Analysis of design netlists with power and ground connectivity.

October 2010

178

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PDM7a
Message
One or more crossings between 2 power domains have same nominal conditions but different ground.

Default Severity
Warning

Description
A ground net from one domain drives into another domain and this crossing has a level shifter inserted. When the ground net of the driver is different than that of the receiver domain, you might need a ground level shifter to protect the crossing from ground-supply variations. Use report rule check PDM7a -verbose to review the domain crossing and the ground nets for those domains to see if the level shifter is required to protect the crossing.

Applicability
Analysis of design netlists with power and ground connectivity.

October 2010

179

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PDM7b
Message
One or more crossings between 2 power domains have same nominal conditions but different ground. Their source to tied to 0 and they do not have a level shifter inserted. The designer should check to see if a level shifter is required.

Default Severity
Warning

Description
A tied logic 0 from one domain drives into another domain. When the ground net of the driver is different than that of the receiver domain, you might need a ground level shifter to protect the crossing from ground-supply variations. Use report rule check PDM7b -verbose to review the domain crossing and the ground nets for those domains to see if a level shifter is required to protect the crossing.

Applicability
Analysis of design netlists without power and ground connectivity

October 2010

180

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PDM7c
Message
One or more crossings between 2 power domains have same nominal conditions but different ground.

Default Severity
Warning

Description
A tied logic 0 from one domain drives into another domain and this crossing has a level shifter inserted. When the ground net of the driver is different than that of the receiver domain, you might need a ground level shifter to protect the crossing from ground-supply variations. Use report rule check PDM7c -verbose to review the domain crossing and the ground nets for those domains to see if the level shifter is required to protect the crossing.

Applicability
Analysis of design netlists without power and ground connectivity

October 2010

181

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PDM8
Message
One or more crossings between 2 power domains have same nominal conditions but different power. They do not have a level shifter inserted. The designer should check to see if a level shifter is required.

Default Severity
Warning

Description
A power net from one domain drives into another domain. When the power net of the driver is different than that of the receiver domain, you might need a power level shifter to protect the crossing from power-supply variations. Use report rule check PDM8 -verbose to review the domain crossing and the power nets for those domains to see if a level shifter is required to protect the crossing.

Applicability
Analysis of design netlists with power and ground connectivity.

October 2010

182

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PDM8a
Message
One or more crossings between 2 power domains have same nominal conditions but different power.

Default Severity
Warning

Description
A power net from one domain drives into another domain and this crossing has a level shifter inserted. When the power net of the driver is different than that of the receiver domain, you might need a power level shifter to protect the crossing from power-supply variations. Use report rule check PDM8a -verbose to review the domain crossing and the power nets for those domains to see if the level shifter is required to protect the crossing.

Applicability
Analysis of design netlists with power and ground connectivity.

October 2010

183

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PDM8b
Message
One or more crossings between 2 power domains have same nominal conditions but different power. Their source is tied to 1 and they do not have a level shifter inserted. The designer should check to see if a level shifter is required.

Default Severity
Warning

Description
A tied logic 1 from one domain drives into another domain. When the power net of the driver is different than that of the receiver domain, you might need a power level shifter to protect the crossing from power-supply variations. Use report rule check PDM8b -verbose to review the domain crossing and the power nets for those domains to see if a level shifter is required to protect the crossing.

Applicability
Analysis of design netlists without power and ground connectivity

October 2010

184

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PDM8c
Message
One or more crossings between 2 power domains have same nominal conditions but different power. Their source is tied to 1.

Default Severity
Warning

Description
A tied logic 1 from one domain drives into another domain and this crossing has a level shifter inserted. When the power net of the driver is different than that of the receiver domain, you might need a power level shifter to protect the crossing from power-supply variations. Use report rule check PDM8c -verbose to review the domain crossing and the power nets for those domains to see if the level shifter is required to protect the crossing.

Applicability
Analysis of design netlists without power and ground connectivity

October 2010

185

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PDM_BUF1
Message
Power domains of a buffer tree between two functions are not compatible with source or receiving function power domain

Default Severity
Error

Description
A buffer or buffer chain between a driving function and a receiving function is not in a power domain that is consistent with the driving function domain. This can happen when buffer insertion on nets that feedthru domains that are unrelated to the driving domain is performed using normal buffers and not "always on" buffers. Check the verbose message to identify the instances of the buffers.

Example
In this example, two buffers are placed on a feedthru wire in a switchable domain that is between a driver and receiver in the "aon" domain, which is not switchable. The resulting verbose error report:
PDM_BUF1: Power domains of a buffer tree between two functions are not compatible with source or receiving function power domain. Severity: Error Occurrence: 1 1: Source power domain aon at x1/x01/Y -> Receiving power domain aon Leaf load: Y Incompatible buffers/inverters: x3/x01 x2/x01

October 2010

186

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PDM_BUF2
Message
Voltages of a buffer tree between two functions are not compatible with source or receiving function voltage.

Default Severity
Error

Description
Buffering happens in two unrelated domains (unless the buffers are always_on), when the nominal conditions of the unrelated domains are different than that of the driving and receiving domains nominal conditions. This can cause a domain crossing situation. Use report rule check PDM_BUF2 -verbose to get details on the buffer instances and the driver and receiving domains.

Applicability
Synthesized or place and route netlists with low power cells inserted.

October 2010

187

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PDM_BUF3
Message
Bad power domain crossing between buffers in a buffer tree

Default Severity
Error

Description
There is a bad power domain crossing between two buffers in a buffer tree. It could be that all the buffers are compatible with both the driving and receiving domain, but there is a condition where the domains are at different voltage conditions in one or more power modes; or, the driving buffer is OFF, but the receiving buffer(s) is/are ON. Review the verbose error report to identify the buffer (or inverter) instances.

October 2010

188

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

Power Switches
The following lists the Power Switch (PSW) rule checks:

PSW1 on page 190 PSW1a on page 191 PSW2 on page 192 PSW3 on page 193 PSW4 on page 194 PSW4a on page 195 PSW5 on page 196 PSW6 on page 197 PSW7.1 on page 198 PSW7.2 on page 199 PSW7.3 on page 200 PSW7.4 on page 201 PSW7.5 on page 202 PSW7.6 on page 203 PSW7.7 on page 205 PSWRULE1.1 on page 206 PSWRULE1.2 on page 207 PSWRULE1.3 on page 208 PSWRULE1.4 on page 209 PSWRULE1.5 on page 210 PSWRULE1.6 on page 211 PSWRULE1.7 on page 212

October 2010

189

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PSW1
Message
Power input pin of power switch is not connected to a primary power port

Default Severity
Error

Description
The input power pin of the power switch instance is not connected directly to a defined power pin. To fix this error, check the input power pin connectivity of the power switch and make sure all power pins are defined correctly.

October 2010

190

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PSW1a
Message
Ground pin of power switch is not connected to a non-switchable ground net

Default Severity
Error

Description
This error can occur when the ground net connected to the ground port of a power switch is connected to the output of a ground switch or a top-level ground port defined with a standby expression. The internal circuit controlling the power switch will malfunction and cause the power switch output to be unknown.

October 2010

191

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PSW2
Message
Power port supplying switch internal control logic can be OFF when the primary switch power input port is ON

Default Severity
Error

Description
This error occurs when the power net connected to the power switch power port defined by the ADD POWER SWITCH commands -control_power <power_port> option is OFF when the input power net of the power switch is ON.

October 2010

192

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PSW3
Message
Power port supplying switch internal control logic has a lower voltage that the switch input voltage

Default Severity
Error

Description
This error occurs when the power net connected to the power switch power port defined by the ADD POWER SWITCH commands -control_power <power_port> option has a voltage level higher or lower than the driver of the input port that controls the power switch.

October 2010

193

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PSW4
Message
Power switch enable pin can be OFF when input power is ON

Default Severity
Error

Description
The power domain of the power switch enable pin can be off when the switch input power is on. This means that the switch enable is driven by an instance whose power can be turned off when the input power of the switch is on. This is a serious issue and must be corrected. The switch enable must come from a power domain that is ON whenever the switch input power is ON. Typically, it should come from an always-on power domain.

October 2010

194

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PSW4a
Message
Power switch enable pin is tied to a constant value

Default Severity
Error

Description
The enable pin of the power switch is tied to a constant netthat is, a power or ground net, or it is tied to 1b0 or 1b1.

October 2010

195

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PSW5
Message
Voltage of the power switch enable driver exceeds maximum limit

Default Severity
Error

Description
Indicates that the voltage of the net connected to the power switch enable pin is higher than the voltage of the input power net plus the allowable threshold specified with the ADD POWER SWITCH commands -max_enable_bias option. If a higher voltage at the switch enable pin is acceptable for this switch, use the -max_enable_bias option to allow voltages higher than the input voltage up to the desired threshold. If the ADD POWER SWITCH commands -control_power option is specified when adding the power switch, the voltage of the net connected to the enable pin is compared against the voltage that is specified with the -control_power option, instead of the voltage of the input power net.

October 2010

196

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PSW6
Message
Power switch output is floating

Default Severity
Error

Description
The output of the power switch is not connected to any load. This is most likely an error in connection of the power switch output. To correct this problem, determine the power net that this switch must drive, and connect the power switch output pin to that power net.

October 2010

197

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PSW7.1
Message
Enable output of power switch fans out to enable pins of multiple power switches

Default Severity
Error

Description
The net connected to the enable output pin of the power switch drives enable pins of multiple power switches. The enable output net should drive only one enable pin in a daisy-chain. This is to ensure that the switches are turned ON one by one in a controlled manner. Note: This is checked only for the stage 2 enable output.

Example
In the following figure, the enable output from power switch i0 fans out to the enable inputs of power switches i1 and i2. As a result, the software will issue message PSW7.1:

Ei1 Ei2 Ei1 Eo1 i1 E02 Eo2 i0 Ei1 Ei2 i2

Eo1 Eo2

Eo1 Eo2

October 2010

198

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PSW7.2
Message
Enable input of power switch has multiple drivers

Default Severity
Error

Description
The signal connected to the enable input pin of the power switch has multiple drivers. The enable signal should have a single driver, coming from the power control module or the enable output pin of another power switch in the same switch network.

Example
In the following figure, the enable input of power switch i2 is driven by both enable outputs of power switches i0 and i1. As a result, the software will issue message PSW7.2:

Ei

Eo PSW i0 PSW i2

Ei

Eo

Ei

Eo PSW i1

October 2010

199

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PSW7.3
Message
First power switch in the network cannot be identified

Default Severity
Error

Description
The power switches in a switch network supplying power to a switchable power domain should be daisy chained. The head of such a switch network should have its switch enable connected to a control signal coming from the power control module. The enable pins of other switches in that network should be driven by the enable out pins of the previous switches in the network. This error indicates that there is a combinational loop in the enable signals, and so the head of the switch network could not be identified by the tool. To correct the error, explore the switches in the network, and connect the enable signal of one switch to a signal coming from the power control module that turns the power ON for the output domain of this switch network. This error is generated for a network of power switches that have the same input power net and the same output power net.

Example
In the following figure, the enable signals of power switches i0 and i1 form a loop, and there is no head of this power switch network. As a result, the software will issue message PSW7.3:

Ei

Eo PSW i0

Ei

Eo PSW i1

October 2010

200

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PSW7.4
Message
Power switch network has multiple stage1 heads

Default Severity
Error

Description
This error is generated for a network of power switches that have the same input power net and the same output power net. The heads of this network are switches whose enable signals are driven by the power control module. This error is issued if there are multiple heads for such a switch network.

Example
In the following figure, both power switches i0 and i1 have their enable (EN) signals coming from the power control module, so they are both identified as the heads of the power switch network comprised of power switches i0, i1, and i2. As a result, the software will issue message PSW7.4:
EN Power Control Module Ei Eo PSW i0 PSW i2 Ei Eo PSW i1

Ei

Eo

October 2010

201

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PSW7.5
Message
Enable pin of power switch is part of a feedback loop

Default Severity
Error

Description
This error indicates that the enable pin of the power switch is in a combinational loop with the other stage 1 or stage 2 enable pins of the same switch network. Note: This error can occur even when the head of the switch network can be identified.

Example
In the following figure, the enable signals of power switches i1 and i2 form a loop, even though the head of the power switch network i0 could be identified. As a result, the software will issue message PSW7.5 for i1 and i2:

Power Control Module

Ei

Eo PSW i0

Ei

Eo PSW i1

Ei

Eo PSW i2

October 2010

202

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PSW7.6
Message
Stage2 enable input of power switch is activated before its stage1 enable input

Default Severity
Error

Description
This error is issued when the stage2 enable pin of a power switch is activated before its stage1 enable pin. Note: This is checked only if a the control signal for the stage2 enable pin is not specified in a switch rule that matches this power switch network. The enable signal should pass through its stage1 enable pin, and then feed back into its stage2 enable pin, so that the stage1 switch is enabled prior to enabling the stage2 switch in the switch cell.

Example
In the following figure, the stage2 enable pin of power switch PSW2 is activated before its stage1 enable pin. As a result, the software will issue message PSW7.6:

Ei1 Ei2

Eo1 Eo2

Ei1 Ei2

Eo1 Eo2

PSW1

PSW2

October 2010

203

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks The connection should look like this:
Ei1 Ei2 Eo1 Eo2 Ei1 Ei2 Eo1 Eo2

PSW1

PSW2

October 2010

204

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PSW7.7
Message
Enable output of power switch drives enable input of a power switch in a different group

Default Severity
Error

Description
This error is generated when the enable output pin of a power switch drives the enable input pin of a power switch belonging to a switch group that supplies power to a different domain. The enable signal for the switch that is supplying power to a different switchable power domain should come from the power control module, or the stage enable output pin of some switch in its own switch network, supplying power to the same output power domain.

October 2010

205

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PSWRULE1.1
Message
Power switch does not match any switch rule

Default Severity
Error

Description
A power switch instance does not match any switch rule. The domain, external power net, and the enable conditions are used to identify the switches associated with a rule and when one or more do not match, this error can result. Use report rule check PSWRULE1.1 -verbose to get details on the power switch instance and check the switch rules associated with that domain. Use report pin driver -cell <name> <enable> -path -verbose to get a listing of the enable drivers, there might be a scan mux inserted in the control path.

Applicability
Place and route netlists with low power cells inserted.

October 2010

206

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PSWRULE1.2
Message
Power switch rule does not match any power switch

Default Severity
Error

Description
The switch rule does not match any switch instance in the design. This could mean that the switch rule is not implemented correctly.

October 2010

207

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PSWRULE1.3
Message
Power switch rule does not have consistent input power and output power

Default Severity
Error

Description
The internal switched domain specified in the switch rule does not have the consistent voltage value with the source power.

Example
In the following figure, PSWRULE1.3 is reported when power net VDD and power net VSW have inconsistent voltage values. The voltage setting for power net VDD which drives the switch cell input power port is 1.0, but power net VSW which is driven by the switch cell output power port voltage value is {1.0:1.2}:
VDD VIN Ri Ro

Ei

Eo

VOUT VSW Switched Domain

October 2010

208

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PSWRULE1.4
Message
Acknowledge receiver signal is not driven by the output pin of the last power switch instance

Default Severity
Error

Description
Update power switch rule specifies how the enable of the last switch in the chain is connected to the design through the acknowledge receiver option and the polarity. If the switch as two enables, the rule specifies how each or both is connected. Use report rule check PSWRULE1.4 -verbose to get a detailed report on the rule and the related design information. Use this command to check the design and switch rule. Use report pin load on the enable instances stage output and determine to which pin(s) it connects. One possible cause for the error could be a name change during the insertion of a scan mux in the acknowledge path.

Applicability
Place and route netlists with power switching

October 2010

209

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PSWRULE1.5
Message
Acknowledge receiver signal is driven by multiple power switches

Default Severity
Error

Description
An instance pin specified with the UPDATE POWER SWITCH RULE commands acknowledge receiver option is driven by more than one power switch enable output port. Use report rule check PSWRULE1.5 -verbose to get details on the receiving pin and the instances driving it.

Applicability
Place and route netlists with low power cells inserted.

October 2010

210

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PSWRULE1.6
Message
Acknowledge receiver and power switch output are inverted

Default Severity
Error

Description
Update power switch rule specifies how the enable of the last switch in the chain is connected to the design through the acknowledge receiver option and the polarity. If the switch as two enables, the rule specifies how each or both is connected. Use report rule check PSWRULE1.6 -verbose to get a detailed report on the rule and the related design information. Use this command to check the design and switch rule. The path between the switch output and the acknowledge pin might be unintentionally inverted or the update rule expression polarity might be incorrect.

Applicability
Place and route netlists with power switching

October 2010

211

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PSWRULE1.7
Message
Acknowledge receiver is driven through buffer/inverter which is not ON

Default Severity
Error

Description
Update power switch rule specifies how the enable of the last switch in the chain is connected to the design through the acknowledge receiver option and the polarity. If the switch as two enables, the rule specifies how each or both is connected. Use report rule check PSWRULE1.7 -verbose to get a detailed report on the rule and the related design information. Use this command to check the design and switch rule. The path between the switch output and the acknowledge pin has an inverter or buffer cell that can be OFF when the domain of the acknowledge receiver is ON.

Applicability
Place and Route netlists with power switching

October 2010

212

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

Ground Switches
The following lists the Ground Switch (GSW) rule checks:

GSW1 on page 214 GSW1a on page 215 GSW4a on page 216 GSW6 on page 217 GSW7.1 on page 218 GSW7.2 on page 219 GSW7.3 on page 220 GSW7.4 on page 221 GSW7.5 on page 222 GSW7.6 on page 223 GSW7.7 on page 225 GSWRULE1.1 on page 226 GSWRULE1.2 on page 227 GSWRULE1.4 on page 228 GSWRULE1.5 on page 229 GSWRULE1.6 on page 230 GSWRULE1.7 on page 231

October 2010

213

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

GSW1
Message
Ground input pin of a ground switch is not connected to a primary ground port

Default Severity
Error

Description
The input ground pin of the ground switch instance is not connected directly to a defined ground pin. To fix this error, check the input ground pin connectivity of the ground switch and make sure all ground pins are defined correctly.

October 2010

214

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

GSW1a
Message
Power pin of ground switch is not connected to a non-switchable power net

Default Severity
Error

Description
This error can occur when the power net connected to the power port of a ground switch is connected to the output of a power switch or a top-level power port defined with a standby expression. The internal circuit controlling the ground switch will malfunction and cause the ground switch output to be unknown.

October 2010

215

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

GSW4a
Message
Ground switch enable pin can be OFF when input ground is ON

Default Severity
Error

Description
The enable pin of the ground switch is tied to a constant netthat is, a power or ground net, or it is tied to 1b0 or 1b1.

October 2010

216

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

GSW6
Message
Ground switch output is floating

Default Severity
Warning

Description
The output of the ground switch is not connected to any load. This is most likely an error in connection of the ground switch output. To correct this problem, determine the ground net that this switch must drive, and connect the ground switch output pin to that ground net.

October 2010

217

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

GSW7.1
Message
Enable output of ground switch fans out to enable pins of multiple ground switches

Default Severity
Warning

Description
The net connected to the enable output pin of the ground switch drives enable pins of multiple ground switches. The enable output net should drive only one enable pin in a daisy-chain. This is to ensure that the switches are turned ON one by one in a controlled manner. Note: This is checked only for the stage 2 enable output.

Example
In the following figure, the enable output from ground switch i0 fans out to the enable inputs of ground switches i1 and i2. As a result, the software will issue message GSW7.1:

Ei

Eo

Ei

Eo

GSW i1

GSW i0 Ei Eo

GSW i2

October 2010

218

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

GSW7.2
Message
Enable input of ground switch has multiple drivers

Default Severity
Error

Description
The signal connected to the enable input pin of the ground switch has multiple drivers. The enable signal should have a single driver, coming from the power control module or the enable output pin of another ground switch in the same switch network.

Example
In the following figure, the enable input of ground switch i2 is driven by both enable outputs of ground switches i0 and i1. As a result, the software will issue message GSW7.2:

Ei

Eo GSW i0 GSW i2

Ei

Eo

Ei

Eo GSW i1

October 2010

219

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

GSW7.3
Message
First ground switch in the network cannot be identified

Default Severity
Error

Description
The ground switches in a switch network supplying power to a switchable power domain should be daisy chained. The head of such a switch network should have its switch enable connected to a control signal coming from the power control module. The enable pins of other switches in that network should be driven by the enable out pins of the previous switches in the network. This error indicates that there is a combinational loop in the enable signals, and so the head of the switch network could not be identified by the tool. To correct the error, explore the switches in the network, and connect the enable signal of one switch to a signal coming from the power control module. that turns the ground ON for the output domain of this switch network. This error is generated for a network of ground switches that have the same input ground net and the same output ground net.

Example
In the following figure, the enable signals of ground switches i0 and i1 form a loop, and there is no head of this ground switch network. As a result, the software will issue message GSW7.3:

Ei

Eo GSW i0

Ei

Eo GSW i1

October 2010

220

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

GSW7.4
Message
Ground switch network has multiple stage1 heads

Default Severity
Error

Description
This error is generated for a network of ground switches that have the same input ground net and the same output ground net. The heads of this network are switches whose enable signals are driven by the power control module. This error is issued if there are multiple heads for such a switch network.

Example
In the following figure, both ground switches i0 and i1 have their enable (EN) signals coming from the ground control module, so they are both identified as the heads of the ground switch network comprised of ground switches i0, i1, and i2. As a result, the software will issue message GSW7.4:
EN Power Control Module Ei Eo GSW i0 GSW i2 Ei Eo GSW i1

Ei

Eo

October 2010

221

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

GSW7.5
Message
Enable pin of ground switch is part of a feedback loop

Default Severity
Error

Description
This error indicates that the enable pin of the ground switch is in a combinational loop with other stage 1 or stage 2 enable pins of the same switch network. Note: This error can occur even when the head of the switch network can be identified.

Example
In the following figure, the enable signals of ground switches i1 and i2 form a loop, even though the head of the ground switch network i0 could be identified. As a result, the software will issue message GSW7.5 for i1 and i2:

Power Control Module

Ei

Eo GSW i0

Ei

Eo GSW i1

Ei

Eo GSW i2

October 2010

222

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

GSW7.6
Message
Stage2 enable input of ground switch is activated before its stage1 enable input

Default Severity
Error

Description
This error is issued when the stage2 enable pin of a ground switch is activated before its stage1 enable pin. Note: This is checked only if a the control signal for the stage2 enable pin is not specified in a switch rule that matches this ground switch network. The enable signal should pass through its stage1 enable pin, and then feed back into its stage2 enable pin, so that the stage1 switch is enabled prior to enabling the stage2 switch in the switch cell.

Example
In the following figure, the stage2 enable pin of ground switch GSW2 is activated before its stage1 enable pin. As a result, the software will issue message GSW7.6:

Ei1 Ei2

Eo1 Eo2

Ei1 Ei2

Eo1 Eo2

GSW1

GSW2

October 2010

223

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks The connection should look like this:
Ei1 Ei2 Eo1 Eo2 Ei1 Ei2 Eo1 Eo2

GSW1

GSW2

October 2010

224

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

GSW7.7
Message
Enable output of ground switch drives enable input of a ground switch in a different group

Default Severity
Error

Description
This error is generated when the enable output pin of a ground switch drives the enable input pin of a ground switch belonging to a switch group that supplies ground to a different domain. The enable signal for the switch that is supplying ground to a different switchable power domain should come from the power control module, or the stage enable output pin of some switch in its own switch network, supplying ground to the same output power domain.

October 2010

225

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

GSWRULE1.1
Message
Ground switch does not match any switch rule

Default Severity
Error

Description
The ground switch instance does not match any of the ground switch rules specified with the ADD SWITCH RULE commands. This could mean that the switch is extraneous or has incorrect connections.

October 2010

226

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

GSWRULE1.2
Message
Ground switch rule does not match any ground switch

Default Severity
Error

Description
The switch rule does not match any switch instance in the design. This could mean that the switch rule is not implemented correctly.

October 2010

227

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

GSWRULE1.4
Message
Acknowledge receiver signal is not driven by the output pin of the last ground switch instance

Default Severity
Error

Description
Update power switch rule specifies how the enable of the last switch in the chain is connected to the design through the acknowledge receiver option and the polarity. If the switch as two enables, the rule specifies how each or both is connected. Use report rule check GSWRULE1.4 -verbose to get a detailed report on the rule and the related design information. Use this command to check the design and switch rule. Use report pin load on the enable instances stage output and determine to which pin(s) it connects. One possible cause for the error could be a name change during the insertion of a scan mux in the acknowledge path.

Applicability
Place and route netlists with ground switching

October 2010

228

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

GSWRULE1.5
Message
Acknowledge receiver signal is driven by multiple ground switches

Default Severity
Error

Description
An instance pin specified with the UPDATE POWER SWITCH RULE commands acknowledge receiver option is driven by more than one ground switch enable output port. Use report rule check GSWRULE1.5 -verbose to get details on the receiving pin and the instances driving it.

Applicability
Place and route netlists with low power cells inserted.

October 2010

229

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

GSWRULE1.6
Message
Acknowledge receiver and power switch output are inverted

Default Severity
Error

Description
Update power switch rule specifies how the enable of the last switch in the chain is connected to the design through the acknowledge receiver option and the polarity. If the switch as two enables, the rule specifies how each or both is connected. Use report rule check GSWRULE1.6 -verbose to get a detailed report on the rule and the related design information. Use this command to check the design and switch rule. The path between the switch output and the acknowledge pin may be erroneously inverted or the update rule expression polarity may be incorrect.

Applicability
Place and route netlists with power switching

October 2010

230

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

GSWRULE1.7
Message
Acknowledge receiver is driven through buffer/inverter which is not ON

Default Severity
Error

Description
Update power switch rule specifies how the enable of the last switch in the chain is connected to the design through the acknowledge receiver option and the polarity. If the switch as two enables, the rule specifies how each or both is connected. Use report rule check GSWRULE1.7 -verbose to get a detailed report on the rule and the related design information. Use this command to check the design and switch rule. The path between the switch output and the acknowledge pin has an inverter or buffer cell that can be OFF when the domain of the acknowledge receiver is ON.

Applicability
Place and route netlists with power switching

October 2010

231

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

Isolation Cells
The following table lists the Isolation Cell (ISO) rule check numbers and messages:

ISO1 on page 234 ISO1a on page 235 ISO2 on page 236 ISO3.1 on page 237 ISO3.1a on page 239 ISO3.1b on page 240 ISO3.2 on page 242 ISO3.3 on page 244 ISO3.4 on page 245 ISO4 on page 246 ISO4a on page 247 ISO4b on page 248 ISO4c on page 249 ISO4d on page 250 ISO4e on page 251 ISO4f on page 252 ISO5.1 on page 253 ISO5.2 on page 254 ISO5.3 on page 255 ISO6.1 on page 256 ISO6.2 on page 257 ISO6.3 on page 258 ISO6.4 on page 259 ISO6.5 on page 260

October 2010

232

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO6.5a on page 261 ISO6.6 on page 262 ISO7 on page 263 ISO7a on page 264 ISO7b on page 265 ISO8 on page 266 ISO9 on page 267 ISO10 on page 268 ISO11 on page 269 ISO12 on page 270 ISO14 on page 271 ISO_BUF1 on page 272 ISO_BUF2 on page 273 ISORULE1.1 on page 274 ISORULE1.2 on page 275 ISORULE1.3 on page 276 ISORULE1.4 on page 277 ISORULE1.5 on page 278 ISORULE1.5a on page 280 ISORULE1.6 on page 281 ISORULE1.6a on page 282 ISORULE1.7 on page 283 ISORULE1.8 on page 285 ISORULE1.9 on page 286 ISORULE1.10 on page 287 ISORULE1.11 on page 288

October 2010

233

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO1
Message
Invalid power pin connection

Default Severity
Error

Description
The net connected to the power pin, specified by the ADD ISOLATION CELL command, is not a valid power domain. For example, this message is flagged if a power pin is floating or not connected to a power net (nets connected to power switches or top-level power pins).

October 2010

234

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO1a
Message
Isolation instance power pins have different voltages

Default Severity
Error

Description
You cannot use a cell defined as an isolation cell with two power pins when both power pins are ON, and at different voltages. This message indicates there is a power mode where the domains of the power nets connected to the power pins are ON and at different nominal conditions. Review the -verbose error message for the power modes and domain situations. If the power modes are correct, then the domain crossing needs to have both isolation and level shifter rules and the cell needs to be an enabled level shifter instead of an isolation cell.

Applicability
Applies to place-and-route gate netlists with power/ground connectivity.

October 2010

235

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO2
Message
Inputs of isolation cell have different power domains

Default Severity
Error

Description
Some isolation cells have a dedicated power pin which is powered on during the isolation. In such cases, the regular power pin must be connected to the same power net that powers the signals which drives the data input pin of the isolation cell.

Example

October 2010

236

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO3.1
Message
Isolation cell instance is not required in this path

Default Severity
Error

Description
The output of an isolation cell instance can fan out to either a single domain or multiple domains. If there is a path through the isolation cells data pin and output pin, where the isolation function is unnecessary, this message is issued. The isolation function in a particular path can be unnecessary due to various reasons. Two common scenarios are as follows:

It is not possible to have the destination domain ON while the source is OFF, and you did not specify to require ON-to-OFF isolation. This likely happens if the destination power domain is a switched domain derived from the source power domain. Power modes are defined and the isolation function is not needed in this path in any of the power modes. The software assumes that the defined power modes are the only modes in which the design operates. Tip The software will report more details in the verbose report of this violation (using the command report rule check ISO3.1 -verbose).

Example
The following diagram shows an isolation instance isoInst, whose output fans out to two logic gates i2 and i3 in domains D2 and D3, respectively. If domain D1 is switched and domain D3 is always on, and domain D2 is switched and derived from domain D1, the path i1 to isoInst to i2 does not require an isolation. The output of i1 could directly drive i2, bypassing the isolation instance.

October 2010

237

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks Note: The isolation instance is required in the path i1 to isoInst to i3; therefore, the isolation instance cannot be removed from the circuit. Instead, it might be possible to bypass the isolation cell in the path i1 to isoInst to i2, and drive i2 directly from i1.
domain D2

domain D1 data ISO i2 domain D3 i1 control isoInst

i3

October 2010

238

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO3.1a
Message
The isolation function of combination isolation and level shifter cell is unused in this path

Default Severity
Warning

Description
This is a combination isolation and level shifter cell whose isolation function is not used in a path, but its level shifting function is required in the same path. Note: The isolation function might be required in some other path through this isolation instance.

Example
In the following diagram, both domains D1 and D2 are always on, and isoInst is a combination isolation-level shifter cell. Because both D1 and D2 are always on, the isolation function is not required in the path i0 to isoInst to i1. However, because D1 is 1.0 V and D2 is 1.2 V, the level shifter function is in use. In this case, the isolation control should always be disabled so the data signal value is never isolated. This violation applies to a particular path through the isolation cell. If the isolation output fans out to multiple domains, the isolation function can be necessary in paths from i0 to other domains.
1.0 V domain D1 = 1.0 V 1.2 V domain D2 = 1.2 V

ISO-LS

i0

isoInst

i1

October 2010

239

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO3.1b
Message
Isolation instances with same driver and same destination domain

Default Severity
Warning

Description
This message is issued when multiple isolation instances are used for the same power domain crossing. This message is only issued after running the SET LOWPOWER OPTION -clone_check command. If a power domain crossing signal has the same driver, and has multiple fanouts in another domain, and isolation cells are inserted in the fanout branches rather than the trunk, then this message can be issued. The multiple isolation cells in the fanout branches must be of the same cell type, Sometimes different fanout target pins have different type of isolation requirement. In such cases, the multiple isolation cells in the branches cannot be combined. So, this message is flagged only for isolation instances in different branches that are also of the same cell type. To fix this issue, change the connections to move the branching in the signal after the isolation instance. Note: This is not possible when multiple isolation instances are required for providing additional drive strength.

October 2010

240

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

Example
In the following example, the output net N from instance i0 fans out to two instances i1 and i2, both in domain DEST. The two isolation instances iso1 and iso2 have the same control signal and same module for this signal crossing.
data control domain SRC net N iso1 data i0 isolation control control iso2 i2 ISO domain DEST i1 ISO domain DEST

In this case, it is better to combine the two isolation instances into one to save area and power, as shown in the following diagram:

domain DEST domain SRC net N data control i0 isolation control ISO i1 domain DEST i2

iso1

October 2010

241

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO3.2
Message
Source and destination domains in this path are the same

Default Severity
Error

Description
The source destination power domains are the same in a path through the isolation cell, so the isolation cell can be bypassed in this path, and the logic driven by the isolation cell output can be directly driven by the input signal of the isolation cell instance. In general, the isolation cell output can fan out to multiple domains, one of which is the source domain itself. In this case, the isolation cell might be required in some other paths through the isolation cell.

Example
In the following diagram, the path i0 to isoInst to i1 does not require the isolation function. In fact, i1 should be directly driven by i0 for timing reasons, and also for not clamping the

October 2010

242

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks signal value within the same domain. However, the path i0 to isoInst to i2 might still require the isolation cell.
domain D1

domain D1 data ISO i1 domain D2 i0 control isoInst

i2

October 2010

243

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO3.3
Message
Isolation cell output is floating

Default Severity
Warning

Description
The isolation cell output is floating.

October 2010

244

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO3.4
Message
Back to back isolation cells detected

Default Severity
Warning

Description
Indicates that back to back isolation cells were detected. An output pin of an isolation cell drives only one input, which is an input pin of another isolation cell.

October 2010

245

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO4
Message
Power of isolation control pin can be OFF while isolation cell is ON

Default Severity
Error

Description
This check ensures that the isolation control signal is powered ON whenever either the receiving domain is ON, or you have specified ON to OFF isolation and the source domain of the signal is ON. If both the source and receiving domains are turned off, the isolation control signal power can be off.

Example

October 2010

246

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO4a
Message
Both inputs of non-dedicated isolation cell can be OFF while isolation cell power is ON

Default Severity
Error

Description
At least one of the inputs of a non-dedicated isolation cell must be ON when the isolation instance power is ON. If both inputs can be OFF while the isolation instance power is ON, then none of the inputs are acting as the control input.

October 2010

247

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO4b
Message
Isolation control signal is tied to a constant value

Default Severity
Warning

Description
The isolation control pin of the isolation cell instance is connected to a constant value. This means that the isolation function of this instance is always enabled or always disabled. You can use a combination isolation and level-shifter cell as a level shifter only in this manner, by disabling the isolation function with a proper constant value. If this is the case, you can ignore this message. To allow signal flow through the isolation instance, the constant should disable the isolation function. If this instance is not performing as a level shifter, or is not a combination isolation and level-shifter cell, you can remove the isolation instance.

October 2010

248

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO4c
Message
Control pin is tied to inactive constant value of an isolation instance at the domain crossing

Default Severity
Error

Description
The enable of an isolation cell is tied to a value of inactive through a tied constant, a tie-off cell, or a power/ground net (for a crossing that requires that the isolation function be based on power-mode definitions). Check the verbose message to identify the isolation instance and to check the driving domains, the receiving domains, and the power modes. Correct as needed.

Applicability
Any design netlist that includes isolation cells.

October 2010

249

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO4d
Message
Control pin is tied to active constant value of an isolation instance with output load

Default Severity
Error

Description
The enable of an isolation cell is tied to a value of inactive through a tied constant, a tie-off cell, or a power or ground net (for a crossing). This means isolation is always ON. Check the verbose message to identify the isolation instance and the logic driving the isolation control. Correct as needed.

Applicability
Any design netlist that includes isolation cells.

October 2010

250

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO4e
Message
Control pin is tied to inactive constant value of an isolation instance whose driver and receiver are ON/OFF together

Default Severity
Warning

Description
The enable of an isolation cell is tied to a value of inactive through a tied constant, a tie-off cell, or a power or ground net (for a crossing). This means isolation is always OFF, but because the isolation function is not required in this path, it is not an electrical or functional error; it is only inefficient. Check the verbose message to identify the isolation instance and the logic driving the isolation control. Correct as needed.

Applicability
Any design netlist that includes isolation cells.

October 2010

251

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO4f
Message
Control pin is tied to inactive constant value of an output floating isolation instance

Default Severity
Error

Description
When the isolation control pin ties to an inactive value it can cause electrical issues in the isolation instance when this isolation instance is ON and its input is OFF. Check the -verbose message to identify the isolation instance and its control pin connection

Applicability
Applies to synthesized or place-and-route netlists with low power cells inserted.

October 2010

252

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO5.1
Message
Unable to determine isolation function based on isolation cell structure

Default Severity
Error

Description
The logic in the isolation cell cannot isolate properly. The isolation cell does not have logic gate whose value can be controlled directly by the isolation control pin.

Example

October 2010

253

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO5.2
Message
Isolation pin connects to invalid logic inside isolation cell

Default Severity
Error

Description
The isolation control pin is connected to a logic gate whose value cannot be determined by only setting the value at the isolation control pin. Note: This rule is flagged only if at least one valid isolation gate is found in the cell. If no valid isolation gates are found, ISO5.1 rule is flagged.

Example

October 2010

254

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO5.3
Message
Data pin connects to invalid logic inside isolation cell

Default Severity
Error

Description
A valid isolation gate in an isolation cell is a gate whose value can be determined by only setting the value at the isolation control pin. This check is flagged if the data input pin of the isolation cell is not connected to a valid isolation gate.

Example

October 2010

255

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO6.1
Message
Isolation cell domain can be OFF when it is required to be ON

Default Severity
Error

Description
The isolation cell instance is not in the source, destination or global (always-on) domain.

Example

October 2010

256

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO6.2
Message
Invalid instance for isolation because the control pin is buffered within the isolation cell

Default Severity
Error

Description
The isolation cell instance is in the OFF power domain, and isolation control pin is connected to buffers or inverters before reaching a valid isolation gate in the cell.

Example

October 2010

257

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO6.3
Message
Isolation instance in receiving domain with internal data pin buffered

Default Severity
Error

Description
The isolation cell instance is in the ON power domain, and the data pin is connected to buffers or inverters before reaching a valid isolation gate in the cell. The buffer or inverter in the ON domain is not protected against floating data input, and thus causes leakage problems. The buffer or inverter can be inside or outside the isolation cell and its domain is the same as the ON domain.

Example

October 2010

258

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO6.4
Message
Isolation cell output is buffered in switchable source domain

Default Severity
Error

Description
The isolation cell instance is in the OFF power domain and the output pin is driven by buffers or inverters.

Example

October 2010

259

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO6.5
Message
Isolation cell structure is invalid in the domain it is placed

Default Severity
Error

Description
The type of isolation cell (Iso-HI, Iso-LO, or Iso-Latch) is not valid based on user-specified isolation rules or the location of the isolation cell instance.

October 2010

260

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO6.5a
Message
Isolation cell structure is invalid in the domain it is placed

Default Severity
Error

Description
Reported when an isolation cell with valid location -from is placed in the wrong domaina domain that is different than that of the driver. Review the -verbose message for the isolation cell instance name and the related power domains. Review any isolation rules associated with the domain crossing to see if the insertion rule is incorrect or the insertion was not according to specification.

Applicability
Applies to synthesized or place-and-route netlists with low power cells inserted.

October 2010

261

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO6.6
Message
Isolation cell type is invalid for constant data input

Default Severity
Error

Description
Indicates that a constant data input is isolated to an opposite value. If the constant net is isolated to an incorrect value, it might result in incorrect operation of the destination domain when the domain driving the constant is OFF, and isolation is enabled. The constant is determined only by looking for any immediate constant drivers (such as power or ground nets, or tied signals) and by tracing back through buffers or inverters.

October 2010

262

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO7
Message
Invalid power domain crossing

Default Severity
Error

Description
There is a crossing between two power domains that does not have a user-defined isolation cell.

October 2010

263

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO7a
Message
ON-to-OFF power domain crossing with open-source input at receiver

Default Severity
Error

Description
This message is issued when there is a signal going from an ON domain to an OFF domain, and the receiving pin is an open source pin. The receiving pin must be specified as an open source with the ADD OPEN_SOURCE PINS command. This violation is equivalent to the SPI2 violation, except SPI2 is flagged when SPICE netlists are provided, and in that case, the open source pins are automatically determined from the SPICE netlist of the cells. To fix the issue, make sure that the signal is isolated properly when it goes to an OFF domain open-source pin. If the destination domain is power switched, use a low-type isolation. If the destination domain is ground switched, use a high-type isolation on this signal path.

October 2010

264

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO7b
Message
There is no isolation cell for a crossing that is excluded in an isolation rule for an ON domain to OFF domain crossing

Default Severity
Error

Description
An isolation rule for an ON->OFF crossing excludes one or more crossings and there is no isolation cell on that crossing. Use report rule check ISO7b -verbose to view the crossing name and determine if the crossing needs to be isolated or not.

Applicability
Synthesized or place and route netlists with low power cells inserted.

October 2010

265

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO8
Message
Isolation control signal fanout to isolation instances exceeds specified limit

Default Severity
Error

Description
The user-specified limit was exceeded for some isolation control signal. You can set a limit on the number of isolation instances that can be controlled by an isolation control signal with the SET LOWPOWER OPTION -isolation_limit command.

October 2010

266

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO9
Message
Cannot find keeper cell at the output of a tristate type isolation cell

Default Severity
Error

Description
Cannot find keeper cell at the output of a tristate type isolation cell.

October 2010

267

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO10
Message
Secondary domain of an isolation cell might be off when its receiving domain is on

Default Severity
Error

Description
A secondary domain of an isolation cell might be off when its receiving domain is on. You can specify secondary domain for an isolation cell for logical netlist by specifying an isolation rule with the following CPF command:
create_isolation_rule -name <rule_name> -secondary_domain <power_domain>

When receiving domain of the isolation cell is ON, the specified secondary domain should be ON. If you do not specify -secondary_domain for this rule, and the isolation cell has more than one power pin, the default secondary domain is the driving domain of the control pin.

October 2010

268

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO11
Message
Sequence of back to back isolation between domain boundaries is incorrect

Default Severity
Error

Description
A domain crossing has an isolation cell to protect the crossing in an OFF->ON state and an isolation cell to protect the crossing in an ON->OFF state, but the order of the isolation cells is not correct. Use report rule check ISO11 -verbose to get information about the isolation cells, the isolation rules, and the specified location.

Applicability
Synthesized or place and route netlists with low power cells inserted.

October 2010

269

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO12
Message
Valid location of back to back isolation cells is not consistent with domain crossing and isolation definition

Default Severity
Error

Description
A domain crossing has an isolation cell for protecting the crossing in an OFF->ON state and an isolation cell for protecting the crossing in an ON->OFF state, but the location of one or more of these cells is not valid based on the cell and the usage. Use report rule check ISO12 -verbose to get information about the isolation cells, the isolation rules, and the specified location.

Applicability
Synthesized or place and route netlists with low power cells inserted.

October 2010

270

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO14
Message
Isolation latch asynchronous set/reset pin driver can be OFF when latch cell is ON

Default Severity
Error

Description
When the power domain of the isolation latch cell is ON, the drivers of any asynchronous set or reset pins need to be ON; otherwise, you will have an unprotected OFF->ON domain crossing. Use report rule check ISO14 -verbose to get information about the cell instance and check the power domains of the set/reset logic related to the power domain the isolation latch is in and the power modes for those 2 domains to determine how to fix it.

Applicability
Synthesized or place and route netlists with low power cells inserted.

October 2010

271

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO_BUF1
Message
Special control buffer defined as isolation cell with no_enable option has the wrong type for the control polarity

Default Severity
Error

Description
This check is for a specific customer and is enabled with:
set lowpower option -iso_no_enable_is_control_buffer

This command specifies buffers that can drive active low or active high control signals in the domain that is switched OFF. This error means the type of buffer is not valid for the polarity of the control signal. Use report rule check ISO_BUF1 -verbose to get information about the cell. Review the isolation rule and the receiving isolation cells enable polarity. You might need to change the polarity or the buffer type.

Applicability
Synthesized or place and route netlists with low power cells inserted.

October 2010

272

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISO_BUF2
Message
Special control buffer defined as isolation cell with no_enable option is not buffering any defined power control signals

Default Severity
Error

Description
This check is for a specific customer and is enabled with
set lowpower option -iso_no_enable_is_control_buffer

This is used to specify buffers that can drive active low or active high control signals in the domain that is switched OFF. This error means the signal is not buffering the enable to an isolation cell. Use report rule check ISO_BUF2 -verbose to get information on the cell instance. Make sure the isolation rules that define isolation control signals are defined correctly. Also, if the buffered signal is not an isolation control, use another type of buffer.

Applicability
Synthesized or place and route netlists with low power cells inserted.

October 2010

273

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISORULE1.1
Message
Isolation cell control pin is not connected to the specified signal

Default Severity
Error

Description
This checks that the control pin of the specified isolation instances are connected to the specified instance port. The isolation control pin of an isolation instance specified by the ADD ISOLATION RULE commands -pins in an isolation rule does not connect to the instance port specified by the commands -isolate argument in the same isolation rule. This check is only applied in the presence of an isolation rule. To fix this problem, verify that the isolation rule reported in the message is correct. If so, reconnect the control input of the isolation instance correctly.

October 2010

274

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISORULE1.2
Message
Isolation cell does not match cells specified in the isolation rule

Default Severity
Error

Description
The isolation instance is not one of the cells specified by the ADD ISOLATION RULE commands -cell option of the matching isolation rule. To fix this problem, check that the rule is correct. If so, change the cell of the reported isolation instance.

October 2010

275

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISORULE1.3
Message
Domain crossing with isolation has multiple isolation rules specified

Default Severity
Warning

Description
There are multiple isolation rules that match the same isolation instance. There should be only one isolation rule that matches an isolation rule. To fix this problem, check that there is no overlap in the isolation rules.

October 2010

276

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISORULE1.4
Message
Isolation not found for domain crossing as specified by isolation rule

Default Severity
Error

Description
There is an isolation rule specified by the ADD ISOLATION RULE command for this domain crossing, and no isolation instance is found for this crossing. Every crossing that is specified by this command, and not excluded by the commands -exclude option in the same rule, must have an isolation instance associated with it. To fix this problem, check that the isolation rule is correct

October 2010

277

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISORULE1.5
Message
Isolation instance control polarity is different than that specified by isolation rule

Default Severity
Error

Description
This rule is violated only if there is an isolation rule that specified the isolation control pin for the isolation instances. If the isolation control is connected to the correct pin but with a wrong polarity, then this error is generated.

Example
The following isolation rule isolation rule implies that the isolation is asserted when iso_ctrl/O has a high value:
add isolation rule r0 -type low -isolate isoctrl/O

This means that:

The isolation control of the isolation cell used is active high and the isolate signal specified connects to the isolation control pin of the isolation instance in a non-inverted manner, or The isolation control of the isolation cell used is active low and the isolate signal specified connected to the isolation pin of the isolation instance in an inverted manner.

For the example rule, the following are good connections:


Data O iso_ctrl OR_ISO Active high isolation control O iso_ctrl Data

AND_ISO Active low isolation control

October 2010

278

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks But the following are not:
Data O iso_ctrl Data O iso_ctrl

Bad polarity Connected signal is inverted

Bad polarity Active high isolation connects to active low isolation cell

October 2010

279

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISORULE1.5a
Message
Macro model isolation control polarity error

Default Severity
Error

Description
The software detected a macro model isolation control polarity error. To resolve this, determine if the macro model or the higher scope isolation rule polarity is incorrect, and make the proper adjustments.

October 2010

280

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISORULE1.6
Message
Domain crossing with isolation does not have an isolation rule

Default Severity
Warning

Description
The isolation instance does not match any isolation rule. The rule-based checking cannot be performed for this isolation instance. To check for isolation type or isolation control connection and polarity, make sure that there is an isolation rule that applies to this instance.

October 2010

281

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISORULE1.6a
Message
Domain crossing to a macro model does not have any upper scope isolation rule

Default Severity
Warning

Description
Indicates that a domain crossing to a macro model does not have any upper scope isolation rule. If a crossing is between a macros pin and other pin outside the macro, specify an isolation rule outside the macro for this crossing.

October 2010

282

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISORULE1.7
Message
Isolation instance cell type does not match isolation rule

Default Severity
Error

Description
The type of isolation cell (Low or High) does not match the type specified in the isolation rule matching this domain crossing through the isolation instance. If an isolation cell has multiple source or destination domains, each pair of source and destination domains is checked separately. The type of the isolation cell must be the same in the matching isolation rule between each of these source-destination pairs.

Example
In the following example, isolation instance isoInst is of type Lowthat is, when the isolation control is asserted, the output of the isolation cell is clamped to logic level zero. If the following isolation rules are specified:
add isolation rule r0 -source D1 -destination D2 -type high add isolation rule r1 -source D1 -destination D3 -type low

the path i1 to isoInst to i2 matches rule r0, but the isolation type low does not match the specified type high in the rule. As a result, ISORULE1.7 is issued for this path through the isolation cell.

October 2010

283

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks The path i1 to isoInst to i3 matches rule r2, and the specified type low also matches the isolation cell type. Therefore, there is no ISORULE1.7 error issued for this path starting in domain D1 and ending in domain D3.
domain D2

domain D1 data ISO i2 domain D3 i1 control isoInst

i3

October 2010

284

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISORULE1.8
Message
Isolation instance location does not match isolation rule

Default Severity
Error

Description
The location of the isolation cell instance is not valid based on user-specified isolation rules.

October 2010

285

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISORULE1.9
Message
Invalid isolation type in isolation rule for constant signal

Default Severity
Error

Description
Indicates that the isolation rule matching a constant data input crossing power domains has an opposite type specified for the isolation cell. If the constant net is isolated to an incorrect value, it might result in incorrect operation of the destination domain when the domain driving the constant is OFF, and isolation is enabled. The constant is determined only by looking for any immediate constant drivers (such as power or ground nets, or tied signals) and by tracing back through buffers or inverters.

October 2010

286

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISORULE1.10
Message
Isolation rule specified with valid type for constant signal

Default Severity
Warning

Description
This message indicates that the isolation rule matching a constant data input crossing power domain has a correct type specified for the isolation cell. This is a warning because the destination domain operation will not be affected when the isolation is enabled. But the constant value could have been moved to the destination domain to eliminate this isolation instance. The constant is determined only by looking for any immediate constant drivers (such as power or ground nets, or tied signals) and by tracing back through buffers or inverters.

October 2010

287

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

ISORULE1.11
Message
Isolation instance is not directly in the specified instance or under the hierarchy which has the same domain of the specified instance

Default Severity
Error

Description
The insertion location of the isolation cell instance is not valid based on the specified isolation rule. The isolation cells can be placed in the specified instance or under the specified instance hierarchy which has the same domain as the specified instance. Review the verbose message to identify the name of the violated isolation instance, isolation rule, specified insertion hierarchy/domain, isolation instance placed hierarchy/domain.

Applicability
Synthesized and place and route gate netlists with low power cells inserted.

October 2010

288

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

Always-on Cells
The following lists the Always-on Cell (AON) rule checks:

AON1 on page 290 AON2 on page 292 AON3 on page 294 AON3a on page 295 AON4 on page 296

October 2010

289

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

AON1
Message
Supply power or ground pin of always-on cell instance can be OFF when its receiver power is ON

Default Severity
Error

Description
Indicates that the always-on power (ground) pin of the always-on instance is connected to a power (ground) net that can be off while any of the instances that receive the output of the always-on instance are powered ON. In such cases, the signal received by the load instances of the always-on instance can be invalid. To fix this problem, check the input power or ground pin connectivity of the always-on instance and make sure all power pins are defined correctly. You can also use the ANALYZE INSTANCE CONNECTIVITY command to look at the connections of this instance.

Example
The following diagram shows a portion of a physical netlist with an always-on instance aonInst. The always-on instance aonInst has an always-on power pin P and a switchable power pin PS. It drives instance destInst that is always on, and has its power and ground pins connected to VDD and GND respectively, that are always ON power and ground nets. However, the always-on power pin P of aonInst is connected to VDD_SW, which is switched.

October 2010

290

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks If VDD_SW is OFF. This results in an illegal power domain crossing from the output pin of aonInst to its load destInst. The software will issue an AON1 message for this situation.
VDD_SW VDD

PS I

P O n1

aonInst GND

destInst

Similar reasoning applies to netlists without power and ground connectivity. Instead of power and ground nets, the check is based on power domains of the instances. In the following diagram, power domain PD_SW is switched, whereas power domain PD is an always-on domain. The software will also issue an AON1 message for this situation.
PD_SW n1 PD

aonInst

destInst

October 2010

291

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

AON2
Message
Active input of always-on cell instance can be OFF when its supply power and ground is ON

Default Severity
Error

Description
An always-on cell can have input pins that are allowed to be turned off, and other input pins that must be driven by always on logic. The input pins of the always-on cell that should be driven by always-on logic are called the active inputs of the always-on cell. The signal that connects to the active input pins are called the active signals for this always-on instance. The logic driving the active input pins of an always on cell should always be powered on. This error indicates that the power (ground) of the active signal of the always-on instance is connected to a power (ground) that can be OFF while the power and ground of the always-on instance is ON. To fix this problem, check the power and ground of the logic driving the active input pin of the always-on instance and make sure those power and ground sources are ON whenever the always-on cell instance is ON.

Example
The following diagram show a portion of a physical netlist with an always-on instance aonInst. The always-on instance aonInst has an always-on power pin P and a switchable power pin PS. Its active input pin is I, which is driven by instance srcInst. The power net VDD_SW connected to instance srcInst is a switched power net. So, when the always-on power of aonInst is ON, the signal value of net n1 can become invalid if VDD_SW is switched

October 2010

292

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks OFF. This essentially results in an illegal power domain crossing at input I of the instance aonInst. The software will issue an AON2 message to flag this invalid condition.
VDD_SW VDD

PS n1 I

P O n2

srcInst GND

aonInst

destInst

Similar reasoning applies to netlists without power and ground connectivity. Instead of power and ground nets, the check is based on power domains of the instances. In the following diagram, power domain PD_SW is switched, whereas power domain PD is an always on domain. The software will issue an AON2 message for input I of instance aonInst.
PD_SW n1 destInst PD PD

srcInst

aonInst

October 2010

293

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

AON3
Message
Always-on cell instance with floating output(s)

Default Severity
Warning

Description
Indicates the output of the always-on instance is not driving any logic. To fix this problem, check if the floating output is intended.

October 2010

294

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

AON3a
Message
Always-on cell instance is used in an always-on domain

Default Severity
Note

Description
An always-on buffer should be placed in switchable power domains. Placing always on cells in switchable power domain area is inefficient. To fix the problem, replace the always-on buffer in the always-on domain with a regular buffer.

October 2010

295

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

AON4
Message
Always-on instance drives regular cell in switchable domain

Default Severity
Warning

Description
Always On buffers should not be used to drive logic that can be switched off. An always on cell driving a regular cell that is switched off can increase leakage. If you define buffer cells with two power pins (one for regular power grid routing via abutment and a special power pin for routing over the cell), the Conformal Low Power software will report any use of this cell if it is used to drive logic that can be powered down. The Conformal Low Power software will automatically determine and check the power domain of buffering to ensure power control signal buffering is ON when it is needed to be ON, such as when a receiving low power cell is ON or retention or isolation is asserted, and when it is driving any non low power cell that is powered ON.

October 2010

296

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

Retention Cells
The following lists the Retention Cell (RET) rule checks:

RET1 on page 298 RET1a on page 299 RET2 on page 300 RET2a on page 301 RET3 on page 302 RET4 on page 303 RET5 on page 304 RET6 on page 305 RET7.1 on page 306 RET7.2 on page 307 RETRULE1.1 on page 308 RETRULE1.2 on page 309 RETRULE1.3 on page 310 RETRULE1.4 on page 311 RETRULE1.5 on page 312 RETRULE1.6 on page 313 RETRULE1.7 on page 314 RETRULE1.8 on page 315

October 2010

297

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

RET1
Message
Retention power of state retention cell is not ON more often than its normal power

Default Severity
Error

Description
This rule check messages indicates that the VRET pin (specified with the ADD RETENTION CELL commands -power vret_pin option) is not connected to a top-level power pin power pin. This rule check message indicates that the connectivity of retention cell VRET pin is not connected to the global VDD signal. The VRET pin drives the retention flops when the power domain containing this instance is turned off. If this pin is not driven by an always on power, the retention function does not assert properly, and this will cause functional failure. Check netlist for proper connectivity.

October 2010

298

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

RET1a
Message
State retention cell retention power can be OFF when the receiving power domain is ON

Default Severity
Warning

Description
This rule check messages indicates that the state retention cell retention power can be OFF when the receiving power domain is ON.

October 2010

299

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

RET2
Message
State retention cell retention control signal power can be OFF when retention power is ON

Default Severity
Error

Description
This rule check messages indicates that the power control pin (pins specified in the ADD RETENTION CELL commands -retention <expression> option) is not driven by an always-on power domain. This rule check message indicates that the connectivity of retention cell RET pin is not connected to an always-on power domain signal. The RET pin drives the retention flops when the power domain containing this instance is turned off. If this pin is not driven by an always on domain, the retention function does not assert properly, and this will cause functional failure. Check netlist for proper connectivity.

October 2010

300

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

RET2a
Message
Retention signal is tied to a constant value

Default Severity
Warning

Description
This violation is flagged when the retention control pin of a retention instance is tied to a constant value, either directly or through a chain of buffers or inverters. In such cases, the retention functionality is either always enabled or always disabled. To correct the issue, connect the retention control signal to a power controller module, which should control when retention is activated.

October 2010

301

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

RET3
Message
State retention cell normal power and data driving power are from different domains

Default Severity
Error

Description
This rule check messages indicates that the power pin specified by the VDD pin (specified with the ADD RETENTION CELL commands -power vdd_pin option) has a different power domain than the power domain which drives the data pin. This rule check message indicates that the connectivity of retention cell A pin and the VDD pin are not connected to the same power domain. This check is similar to the ISO2 check, and having the inputs from different power domains will result in the wrong analysis of power domains. Make sure the connectivity is proper, and rerun the check again.

October 2010

302

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

RET4
Message
State retention cell non-control input power is ON whenever retention power is ON

Default Severity
Warning

Description
This rule check messages indicates that the non-power control inputs, such as pin A and the clk pins, are connected to an always on power domain. This rule is important because it checks for the condition when the retention cell should go off. If the non power control signals are connected to an always on power domain, the retention cell will not turn off, even if the module containing these instances are turned off, and this will cause erroneous results.

October 2010

303

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

RET5
Message
Output of retention cell is floating

Default Severity
Warning

Description
This is related to reporting cells with floating outputs as floating instead of redundant.

October 2010

304

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

RET6
Message
Retention control signal fanout to retention instances exceeds specified limit

Default Severity
Error

Description
The user-specified limit was exceeded for some retention control signal. You can set a limit on the number of retention instances that can be controlled by a single retention control signal through the SET LOWPOWER OPTION -retention_limit command. If the design has retention instances with separate sleep and wake pins, they are counted separately, as follows.

count of sleep pins on a net (number of retention pins plus number of sleep pins) driven by this net count of wake pins on a net (number of retention pins plus number of wake pins) driven by this net

Both counts are compared against the global limit set by -retention_limit. If the design does not have any retention instances with both sleep and wake pins, only one RET6 error is generated. Otherwise, both violations are reported separately.

October 2010

305

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

RET7.1
Message
Logic gate in set control for retention cell is in a switchable power domain

Default Severity
Error

Description
The set control signal of the sequential element in a retention cell is driven by an instance in a switchable power domain. If a state retention cell set control can be driven by a switchable domain without issue, use set rule handling RET7.1 -ignore command to disable this message.

Applicability
This check applies to synthesized and place-and-route gate netlists with low power cells inserted.

October 2010

306

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

RET7.2
Message
Logic gate in reset control for retention cell is in a switchable power domain

Default Severity
Error

Description
The reset control signal of the sequential element in a retention cell is driven by an instance in a switchable power domain. If a state retention cell reset control can be driven by a switchable domain without issue, use set rule handling RET7.2 -ignore to disable this message.

Applicability
This check applies to synthesized and place-and-route gate netlists with low power cells inserted.

October 2010

307

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

RETRULE1.1
Message
Retention cell control pin is not connected to the specified signal

Default Severity
Error

Description
This rule check message indicates that the retention cell control pin is not connected to the specified signal. To fix this problem, locate the retention instances that are reported, and see which signal controls their retention input pin, and verify that the specified retention connectivity rule is correct. If the rule is correct, reconnect the control pin of the retention instance to the correct signal. This error is flagged only if you specified a retention rule to check for this.

Example
If you specify a rule with the following command:
add retention rule rule1 -retention a1/Y -instance i0 i1

And there is a situation such as in the following illustration:


Y a0 RET i0 RET i1 RET i2

a1

the Conformal software will issue a RETRULE1.1 message for retention cell instance i1 because its retention control RET is not connected to a1/Y.

October 2010

308

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

RETRULE1.2
Message
Retention control signal controls unspecified retention cell instance

Default Severity
Error

Description
To fix this problem, verify if those extra retention instances are indeed to be controlled by this signal. If not, reconnect the retention instances. This error is flagged only if the you specified a retention rule to check the retention control connectivity.

Example
If you specify a rule with the following command:
add retention rule rule1 -power_gating a1/Y -instances i0 i1

And there is a situation such as in the following illustration:


Y a0 RET i0 RET i1 RET i2

a1

the Conformal software will issue a RETRULE1.2 message for retention cell instance i2 because it was not specified to be controlled by a1/Y.

October 2010

309

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

RETRULE1.3
Message
Retention control polarity is different than that specified by retention rule

Default Severity
Error

Description
The retention control pin of the retention cell instances does not match in polarity to its specification in the retention rule. The retention control pin of the cell is either the pin specified with the ADD RETENTION CELL commands -retention option, or one of the sleep or wake pins specified with the commands -sleep or -wake options. For example, if you added the following retention cell:
add retention cell C1 -retention !RET -clock_off CLK_OFF

And this rule:


add retention rule r1 -DOMain pd -retention x0/ret_ctrl_inst/Y

The software checks that the pin x0/ret_ctrl_inst/Y drives the RET pins of all instances of C1 in power domain pd, through a chain of buffers or inverters. This is flagged by violation RETRULE1.1. In addition, the software checks that the number of inversions (including the inversion in the retention control signals in the rule and the cell) is even. In this case,

Inversion for the RET signal of the cell C1 : 1 Inversion for the retention control signal in the rule: 0

So there should be an odd number of inversions between x0/ret_ctrl_inst/Y and the RET pins of all instances of C1 in power domain pd, to make the total number of inversions even.

October 2010

310

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

RETRULE1.4
Message
State element is not a retention cell as specified by retention rule

Default Severity
Error

Description
This rule checks that all the flip-flops and latches in the specified domain in a retention rule are mapped to retention instances. For example, if you have the following retention rule:
add retention rule r1 -domain pd

The software checks if all flip-flops and latches in power domain pd are retention cells. Note: At this point, retention rules of this form alone triggers this check. Retention rules with enable signals trigger checking for retention cell control signal connectivity checks. So to check for retention of each domain, this rule should be specified for each power domain.

October 2010

311

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

RETRULE1.5
Message
Overlapping retention rules for retention cell

Default Severity
Error

Description
This violation is flagged for retention cell instances that match multiple retention rules for checking the retention control signals. For example, if there are the following two retention rules:
add retention rule r1 -DOMain pd -retention x0/ret_ctrl/Y add retention rule r2 -instance ret_inst0 -retention x0/ret_ctrl1/Y

and instance ret_inst0 is in power domain pd, then both rules match this retention instance, and this violation will be flagged for this instance.

October 2010

312

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

RETRULE1.6
Message
Retention cell instance does not match any retention rule

Default Severity
Error

Description
An instance of a cell defined in Liberty or CPF format as a state retention cell cannot be matched to any power intent rule for inserting state retention cells. The cell instance needs to be defined by being defined directly by instance name, in the hierarchy of a defined instance, or in the domain defined in a rule. Causes of this can include but are not limited to the following: the name of the instance was changed by the implementation tool, the cell was hand instantiated and a rule did not exist, or the state retention cell was inserted incorrectly by the implementation tool. Check the verbose messages to identify all errors which share common information like domain or hierarchical instance name and correct the power intent specification.

October 2010

313

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

RETRULE1.7
Message
Retention cell does not match the cell type specified in retention rule

Default Severity
Warning (You can use set rule handling to change severity)

Description
An update to a state retention insertion rule specifies a list of cells to use for insertion and the cell inserted does not match any cell name in that list. Use the report rule check RETRULE1.7 -verbose to get information on the rule name and the inserted cell instance. Use this information to check whether you need to modify the cell or retention rule cell list.

Applicability
This rule applies to synthesized and place-and-route gate netlists with low power cells inserted.

October 2010

314

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

RETRULE1.8
Message
Retention cell is placed in a domain with multiple base domains and there is no secondary domain defined in the state retention rule for this cell

Default Severity
Error

Description
A retention rule is applied to a state element in a domain that has multiple base domains, but there is no -secondary_domain option specified to select one of the base domains as the domain for which the retention function resides.This can happen when a region has multiple power or ground switches with different input sources. Use the report rule check RETRULE1.8 -verbose command to retrieve the switched domain name and base domains. Then, add the base domain to the retention rue using the -secondary_domain option that is required.

Applicability
This rule applies to synthesized and place-and-route gate netlists with low power cells inserted

October 2010

315

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

Level Shifter Cells


The following lists the Level Shifter (LSH) rule checks:

LSH1.1 on page 317 LSH1.2 on page 318 LSH1.3 on page 319 LSH1.4 on page 320 LSH2 on page 321 LSH2a on page 322 LSH2b on page 323 LSH2c on page 324 LSH2d on page 325 LSH2e on page 326 LSH3 on page 327 LSH4.1 on page 328 LSH4.1a on page 330 LSH4.1b on page 331 LSH4.1c on page 332 LSH4.2 on page 334 LSH4.3 on page 335 LSH5 on page 337 LSH6 on page 338 LSH7 on page 339 LSH8 on page 340 LSH9 on page 341

October 2010

316

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

LSH1.1
Message
Level shifter input voltage value is different than specified by level shifter definition

Default Severity
Error

Description
The voltage level connected to the data input is not the same as that specified with the ADD LEVEL SHIFTER command. The voltage level is checked only if the voltage values are specified in the ADD LEVEL SHIFTER command.

October 2010

317

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

LSH1.2
Message
Level shifter output voltage value is different than specified by level shifter definition

Default Severity
Error

Description
The voltage level that the output pin is connected to is not the same as that specified with the ADD LEVEL SHIFTER command. The voltage level is checked only if the voltage values are specified in the ADD LEVEL SHIFTER command.

October 2010

318

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

LSH1.3
Message
Level shifter voltage connections are different than specified by level shifter definition

Default Severity
Error

Description
The input-output voltage combination is incorrect for the level-shifter cell.

Example
If you have specified voltages for a level-shifter as follows:
add level cell LH_LS 1.0 1.2 -Data_in A -Data_out Y -VDD_IN VL -VDD_OUT VH add level cell LH_LS 0.8 1.0 -Data_in A -Data_out Y -VDD_IN VL -VDD_OUT VH

Then an attempt to shift 0.8V to 1.2V using LH_LS will be flagged by LSH1.3. Note: This will not be flagged by LSH1.1 or LSH1.2 because the input and output voltages are valid on the input and output sides, respectively. It is the combination that is invalid in this case.

October 2010

319

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

LSH1.4
Message
Level shifter is not defined to shift in this direction

Default Severity
Error

Description
If the level shifter is specified to shift voltages in only one direction, such as low-to-high or high-to-low, and any instance of this level shifter has an input and output voltage combination that violates the specified direction, this error is reported.

Example
The following command specifies a level shifter that only shifts voltages from lower to higher values, as follows:
add level shifter LH_LS 1.0:1.5:0.1 1.0:1.5:0.1 -vdd_in VL \ -vdd_out VH -data_in A -data_out Y -direction up

If any instance of this level shifter has a source voltage of 1.5 and a destination voltage of 1.2, it violates the specified direction of up, because it is down shifting its input voltage. In such cases, this error will be reported.

October 2010

320

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

LSH2
Message
Incorrect level shifter power connectivity

Default Severity
Error

Description
The voltage domains of Pin A and Pin VDDIN pair, or the Voltage domains of Pin Y and Pin VDDOUT pairs do not match. Check the connectivity of these pins in the netlist. This check is similar to the ISO2 check, except that it checks the voltage domains instead of power domains.

October 2010

321

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

LSH2a
Message
Incorrect power domain for level shifter data pin

Default Severity
Error

Description
This error is issued for a level shifter data pin under the following conditions:

The level shifter cell is not an isolation cell as well. The power domains of the power pin and the data pin of the level shifter are different. The two power domains can be OFF to ON or ON to OFF structurally. If power modes are defined, these conditions are checked for each mode.

Each of the input and output sides of the level shifter are checked separately. This error catches a power domain crossing at the level shifter input or output pin because the signal changes its power domain at the level shifter cell due to a different power domain being connected to the level shifter cells power pin.

October 2010

322

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

LSH2b
Message
Power pin of level shifter can be OFF while the other power is ON

Default Severity
Error

Description
This error is triggered when one power pin of the level shifter can be OFF when the other power pin is ON, and the level shifter is not an isolation cell as well, or it is not a level shifter cell that has an enable pin to isolate data signals. If the level shifter cannot function as an isolation cell, then both of its power pins should be ON and OFF simultaneously.

October 2010

323

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

LSH2c
Message
Level shifters driving domain can be OFF when level shifters input domain is ON

Default Severity
Error

Description
Indicates that a level shifters input power domain, which is assigned by location in a preplace and route netlist or by explicit supply connections in a place and route netlist, can be off ON when the driving domain is OFF in one or more power modes. This creates an unprotected OFF->ON crossing. Use report rule check LSH2c -verbose to get details about the level shifter instance and review the driving and receiving domains. If the driving domain can be OFF when the receiving domain is ON, you need to place an enabled level shifter such that the driving supply can be connected to the level shifter input supply. If the receiving domain cannot be ON when the driving domain is OFF, then place the level shifter such that the driving supply can be connected to the level shifter input supply.

Applicability
Synthesized or place and route netlists with low power cells inserted.

October 2010

324

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

LSH2d
Message
Level shifters driving domain can be OFF when level shifters input domain is ON

Default Severity
Warning

Description
Indicates that a level shifters output power domain, which is assigned by location in a preplace and route netlist or by explicit supply connections in a place and route netlist, is different than one or more receiving domains. This is not a structural or functional problem, because the crossing is at the same nominal condition in all ON modes and the crossing is not defined to be in an OFF->ON state in any mode. Use report rule check LSH2d -verbose to get details on the level shifter instance if more analysis is desired.

Applicability
Synthesized or place and route netlists with low power cells inserted.

October 2010

325

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

LSH2e
Message
Driving domain of level shifter is ON when input domain of level shfiter is OFF and output domain of level shifter is ON

Default Severity
Error

Description
The input data of a level shifter is driven by a power domain that can be ON when the input domain of the level shifter is OFF and the output domain of the level shifter is ON. This will create both an electrical and a functional problem. The cause of this error is usually that the input power pin of the level shifter is connected to a power net that is different than the driving domain. Check the verbose message to identify the level shifter instance and for logical mode check the power domain of the instance the level shifter is in, it may be inserted in the wrong domain or if this is a physical netlist and the level shifter location is "to" domain, check the power net connection of the input power pin of the level shifter.

Example
In this example, a level shifter is defined with a valid_location of "from". It the level shifter is placed in a switched, low-voltage domain that is between an unswitched, low-voltage domain and an unswitched, high-voltage domain you will get an error message similar to the following:
LSH2e: Driving domain of level shifter is ON when input domain of level shfiter is OFF and output domain of level shifter is ON Severity: Error Occurrence: 1 1: Level shifter: x2/x2 Driving domain: dl Input domain: ds Output domain: dh

October 2010

326

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

LSH3
Message
Signal voltage is different than its receiver(s)

Default Severity
Error

Description
If you run the SET LOWPOWER OPTION command with -ignore_high_to_low, this is flagged only for voltage crossings from a lower voltage domain to a higher voltage domain. The signal comes from another voltage domain, and there is no defined level shifter cell detected between this domain and the source domain.

October 2010

327

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

LSH4.1
Message
Source and receiver signal voltages of level shifter cell are the same in this path

Default Severity
Error

Description
The voltage of the logic driving the data input pin of the level shifter is the same as the voltage of the logic in the destination domain of this level shifter. In such a case, the destination logic could be driven directly by the logic gate in the source domain, bypassing the level shifter. Note that there can be multiple paths through this level shifter, and the level shifter may be necessary for some other paths through this cell. The verbose report of this violation will report if it is required in some other path through the cell.

Example
In the following diagram, the source instance i0 is connected to 1.0 V, whereas the two destination instances i1 and i2 are connected to 1.0V and 1.2 V, respectively. The level shifter instance lsInst shifts the input data signal voltage from 1.0 V to 1.2 V. If all the power nets are always on, the level shifter is not required in the path i0 to lsInst to i1, so i1

October 2010

328

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks could be directly driven by i0. However, the level shifter is required in the path i0 to lsInst to i2, and so this level shifter instance cannot be removed from the circuit.
1.0 V

1.0 V

1.0 V

1.2 V

i1 LS 1.2 V

i0

lsInst

i2

To fix this issue, check if the path i0 to lsInst to i1 requires this level shifter. If not, bypass the level shifter and shorten this path to i0 to i1.

October 2010

329

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

LSH4.1a
Message
Level shifting function of combination isolation and level shifter cell is unused in this path

Default Severity
Warning

Description
The voltage of the logic driving the data input pin of the level shifter is the same as the voltage of the logic in the destination domain of this level shifter. So, the level shifting function is unnecessary in this path. However, this cell is a combination isolation-level shifter cell, and the source domain is switched whereas the destination domain is always on. So, the cell is functioning as an isolation cell only. Note: A combination isolation-level shifter cell has a larger area and higher delay and power consumption. If there are cells in the library that function only as isolation cells, this instance can be replaced with an isolation-only cell to reduce delay, area and power.

Example
In the following diagram, all power nets have the same voltage value of 1.0 V; however, the power net connected to instance i0 is switched. Although the level shifting function of lsInst is not required, its isolation function is in use.
1.0 V, switched 1.0 V, always on

ISO- LS

i0

lsInst

i1

October 2010

330

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

LSH4.1b
Message
Data pin of level shifter is connected to ground

Default Severity
Warning

Description
The data input of the level-shifter instance is connected to ground. This level shifter is redundant, and the cells driven by the level shifter can be connected directly to the ground net at the input of the level shifter. This does not hold if this cell is a combination isolation - level shifter cell and the design uses ground switching.

October 2010

331

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

LSH4.1c
Message
Level shifter instances with same driver and same destination domain

Default Severity
Warning

Description
This message is issued when multiple level shifter instances are used for the same power domain crossing. This message is only issued after running the SET LOWPOWER OPTION -clone_check command. If a power domain crossing signal has the same driver, and has multiple fanouts in another domain, and level shifter cells are inserted in the fanout branches rather than the trunk, then this message is issued. The multiple level shifter cells in the fanout branches must be of the same cell type. To fix this issue, change the connections to move the branching in the signal after the isolation instance. Note: This is not possible when the multiple level shifter instances are required for drive strength.

October 2010

332

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

Example
In the following example, the output net N from instance i0 fans out to two instances i1 and i2, both in domain DEST. There are two level shifter instances ls1 and ls2, of the same module type, for this signal crossing.
data LS domain SRC net N ls1 data i0 LS domain DEST i2 i1 domain DEST

ls2

In this case, it is better to combine the two level shifter instances into one to save area and power, as shown in the following diagram:

domain DEST domain SRC net N data LS i1 domain DEST i2

i0

ls1

October 2010

333

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

LSH4.2
Message
Output of level shifter is floating

Default Severity
Warning

Description
The output of the level shifter is floating.

October 2010

334

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

LSH4.3
Message
Back-to-back level shifters detected

Default Severity
Warning

Description
The output of a level shifter cell directly drives the input data pin of another level shifter cell. One or both could be redundant in some cases.

Example
In Figure 1, both LSH1 and LSH2 are redundant since net A and net O are both 1.2 V, and so net A could directly drive the loads of net O.

October 2010

335

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks If VDDOUT of LSH2 was connected to 1.4 V, then only one level shifter is redundant. The pair could be replaced by a single level shifter cell as shown in Figure 2.
1.2V VDDIN 1.2V A IN OUT LSH1 1.0V VDDOUT 1.0V IN OUT LSH2 VDDIN 1.0V 1.2V VDDOUT 1.2V O

Figure 1

1.2V VDDIN 1.2V A IN

1.4V VDDOUT 1.4V OUT O

LSH2

Figure 2

October 2010

336

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

LSH5
Message
Level shifter location is different than defined for this cell

Default Severity
Error

Description
A level shifter is placed in an instance with a voltage that is different than the voltage of the domain that is defined to be the valid location of the level shifter (driving domain for from valid location or receiving domain for to valid location). Check the verbose message to identify the level shifter instance, the level shifter cell name, the domain it is in, and the domain it is expected to be in based on the CPF definition of the valid location for that cell and the signal crossing.

Example
In this example, a level shifter is defined with valid_location of to, but is placed in the instance of the hi driving domain and therefore inherits the power domain of the from domain. This results in the following error report:
LSH5: Level shifter location is different than defined for this cell Severity: Error Occurrence: 1 1: Level shifter xh/x1 (LevLH) is in voltage domain 1.2V It is expected to be in source voltage domain 1V

October 2010

337

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

LSH6
Message
The power domain of the netlist placement of the level shifter is not correct based on the defined valid location of the level shifter cell

Default Severity
Error

Description
The CPF definition of a level shifter cell has a valid_location option to define if the level shifter should be placed in the driving domain of the signal or the receiving domain (from or to). This error flags level shifter instances that are not in correct location in the design netlist based on the CPF power domain definition and instance hierarchy defined to be in that domain. Check the verbose message to identify the level shifter instance, the level shifter cell name, the domain it is in, and the domain it is expected to be in based on the CPF definition of the valid location for that cell and the signal crossing.

Example
In this example, a level shifter is placed on a feedthrough in power domain 2 (dom2) for a crossing between power domain 1 and power domain 3 (dom3). Power domain 2 is not consistent with power domain 3 voltage and operating condition. This results in the following error report:
LSH6: The power domain of the netlist placement of the level shifter is not correct based on the defined valid location of the level shifter cell Severity: Error Occurrence: 1 1: Level shifter x2/x2 (LevHL) Domain dom2 It is expected to be in destination domain ( dom3 )

October 2010

338

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

LSH7
Message
Interface domain between back to back level shifters cannot be determined

Default Severity
Error

Description
A level shifter with a valid location from that drives a level shifter with valid location to creates a situation where the output domain of the driving level shifter and the input domain of the receiving level shifter cannot be determined. This type of level shifting placement is not supported (except for post place-and-route netlists). This check applies to pre place-and-route netlists.

October 2010

339

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

LSH8
Message
Level shifter input is driven by multiple sources in different domains

Default Severity
Error

Description
A netlist cannot have an input with multiple drivers, unless they are tristate drivers that are not simultaneously enabled. When a design has different power domains, you should not have multiple drivers from different domains for tristate drivers; this can create a leakage path from the driving domains if the power domains are at different nominal conditions in any power moderegardless whether the driver is on or off. Use circuit analysis (available with Conformal Low Power GXL) to verify the correctness of open drain and open source connections at power domain boundaries. Use report rule check LSH8 -verbose to get details on the level shifter instance and review the driver types and driver domains to understand and fix if necessary.

Applicability
Synthesized or place and route netlists with low power cells inserted.

October 2010

340

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

LSH9
Message
Level shifter output drives multiple receivers in different domains

Default Severity
Error

Description
When a level shifter drives multiple receivers in different domains, the power domain assignment of the level shifter output domain cannot be determined. In this case, you need to use identify_secondary_domain to assign an output domain for the level shifter that is compatible with all receiving domains. Use report rule check LSH9 -verbose to get details on the level shifter instance and the receiving domains.

Applicability
Synthesized or place and route netlists with low power cells inserted.

October 2010

341

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

Structural
The following lists the structural rule checks:

CLP_STRC1.1 on page 343 CLP_STRC1.2 on page 344 CLP_STRC2 on page 345 STRUCT1 on page 346

October 2010

342

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

CLP_STRC1.1
Message
Low power cell in strong combinational loop

Default Severity
Error

Description
The low power cell (such as isolation, retention, always-on, level shifter, and power switch) is in a strong combinational loop. This rule check message indicates that the design includes a combinational loop that does not contain any weak devices. This could cause signals to oscillate and reflects a potential error in the design. Conformal Low Power does this check in addition to the STRC1.1 checks, which checks for combinational loop across non-low power standard cell instances. Combinational loops are to be resolved the same as normal standard cell instances.

October 2010

343

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

CLP_STRC1.2
Message
Low power cell in weak combinational loop

Default Severity
Error

Description
The design includes a combinational loop that contains weak devices.

October 2010

344

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

CLP_STRC2
Message
Input to low power cell is undriven

Default Severity
Error

Description
The input pin of a low power cell is floating (undriven, unconnected). This rule check message indicates any undriven inputs at the low power cells like retention, isolation, and level shifters. It is very important to check the undriven signals because the power domain analysis depends on the connectivity. If the Conformal Low Power software cannot determine the power domain properly, the whole purpose of low power verification is defeated. It is very important for the Conformal Low Power software to be able to properly propagate and analyze the power domains. Failing to do so will result in missing floating nodes and functional failures. Undriven signals will lead to failure in analyzing power domains properly. Check for the connectivity in netlist and make changes accordingly.

October 2010

345

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

STRUCT1
Message
Isolation cell drives the set, reset, or clock pin of a latch or flip flop

Default Severity
Warning

Description
Set, reset, or clock pin of a latch or flip-flop is driven by an isolation cell. Check that the isolation cell outputs an isolated value that does not cause the clock, set, or reset to be applied when the isolation is asserted.

Applicability
This check applies to synthesized and place-and-route gate netlists with low power cells inserted.

October 2010

346

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

Decoupled Capacitors
The following lists the decoupled capacitor (DECAP) rule checks:

DECAP1.1 on page 348 DECAP1.2 on page 349

October 2010

347

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

DECAP1.1
Message
Decoupled capacitor power pin not connected to power net

Default Severity
Error

Description
This error is issued if the power pin of the decoupled capacitor as specified by the ADD DECAP CELL command is not connected to a power net. To fix this problem, verify that the ADD DECAP CELL command specification is correct, then connect the power pin to a power net.

October 2010

348

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

DECAP1.2
Message
Decoupled capacitor ground pin not connected to ground net

Default Severity
Error

Description
This error is issued if the ground pin of the decoupled capacitor as specified by the ADD DECAP CELL command is not connected to a ground net. To fix this problem, verify that the ADD DECAP CELL command specification is correct, then connect the ground pin to a ground net.

October 2010

349

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

Path Rules
The following lists the path rule (PATHRULE) checks:

PATHRULE1.1 on page 351 PATHRULE1.2 on page 352 PATHRULE1.3 on page 353

October 2010

350

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PATHRULE1.1
Message
End point is not driven by a valid start point

Default Severity
Error

Description
This rule checks that for each ending point specified in the path rule, it must be driven only by starting points without going through any illegal design object.

October 2010

351

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PATHRULE1.2
Message
Start point drives an invalid end point

Default Severity
Error

Description
This rule checks that for each starting point specified in the path rule, it must only drive ending points without going through any illegal design object.

October 2010

352

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

PATHRULE1.3
Message
End point is not inverted from start point

Default Severity
Error

Description
This rule checks that when an end point is driven by a start point, it must be inverted from the start point. Note: This rule is only applicable when the end point is driven by the start point through buffers and inverters; otherwise, PATHRULE1.1 will be flagged.

October 2010

353

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

Clamp Rules
The following lists the clamp rule (CLAMP) checks:

CLAMP1 on page 355 CLAMP1a on page 356 CLAMP2 on page 357

October 2010

354

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

CLAMP1
Message
Power of clamp cell can be OFF when its data power domain is ON

Default Severity
Error

Description
If a signal has a diode clamp to the power net of the destination domain, and is driven from another domain whose power net is ON while the destination power net is OFF, there can be a leakage path from the power net of the source domain to the power net of the destination domain. To avoid such issue, the signal should be isolated with a low type isolation cell in the source domain.

October 2010

355

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

CLAMP1a
Message
Ground of clamp cell can be OFF when its data ground domain is ON

Default Severity
Error

Description
if a signal has a diode clamp from the ground net of the destination domain, and is driven from another domain whose ground net is ON while the destination ground net is OFF, there can be a leakage path from the power net of the destination domain to the ground net of the source domain. To avoid such issue, the signal should be isolated with a high type isolation cell in the source domain.

October 2010

356

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

CLAMP2
Message
Clamp cell data pin voltage is higher than the power pin voltage

Default Severity
Error

Description
This message is issued when the voltage of the power domain driving the data pin of the clamp cell is higher than the voltage of the clamp cells power pin. In such a scenario, the diode within the clamp cell can become forward biased, and cause current to sink to the power net of the clamp cell, causing significant power dissipation.

Example
In the following example, if VDD1 > VDD2 + Vth, where Vth is the threshold voltage of the diode, the diode in the clamp cell conducts from VDD1 to VDD2. This shorts the two power nets at different voltages and causes significant power dissipation.
VDD1 VDD2

+ O A

GND Source

GND Destination

October 2010

357

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

Circuit Rules
The following lists the circuit rule (CIR) checks:

CIR1a on page 359 CIR1b on page 360 CIR2 on page 361 CIR3 on page 362 CIR4 on page 363 CIR7 on page 364 CIR8 on page 366

October 2010

358

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

CIR1a
Message
On to Off power domain short through PMOS source/body junction

Default Severity
Error

Description
When an ON domain can drive a high value to an OFF domain with an open source PMOS input with the body connected to the switched power net, the P+/N- junction can be forward biased. This causes excessive power consumption. You can fix this by buffering the TO domain input, or by inserting a low type isolation in either the FROM or TO domain.

October 2010

359

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

CIR1b
Message
On to Off ground domain short through NMOS source/body junction

Default Severity
Error

Description
When an ON domain can drive a low value to an OFF domain with an open source NMOS input with the body connected to the switched power net, the P+/N- junction can be forward biased. This causes excessive power consumption. You can fix this by buffering the TO domain input, or by inserting a high type isolation in either the FROM or TO domain.

October 2010

360

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

CIR2
Message
Higher to lower voltage domain short through PMOS source/body junction

Default Severity
Error

Description
A higher voltage driving a PMOS source with the body connected to a lower voltage creates a forward biased P+/N- diode junction. This causes excessive power consumption.

October 2010

361

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

CIR3
Message
PMOS body of power switch is connected to the switched power net

Default Severity
Error

Description
The N- body of the PMOS in the power switch must be connected to the input power supply of the switch, and not to the output switched power net. Connecting the body to the output switched net creates a forward biased diode between the P+ Source terminal and the N- body, which is connected to the P+ Drain terminal.

October 2010

362

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

CIR4
Message
NMOS body of ground switch is connected to the switched ground net

Default Severity
Error

Description
The P+ body of the NMOS in the ground switch must be connected to the input ground supply of the switch, and not to the output switched ground net. Connecting the body to the output switched net creates a forward biased diode between the N- Source terminal and the P+ body, which is connected to the N- Drain terminal.

October 2010

363

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

CIR7
Message
Incorrect PMOS body terminal bias net connection

Default Severity
Error

Description
The PMOS body terminal of the functional gate must connect to the bias net specified in the -pmos_bias_net option of the update_power_domain command in the placed power domain. If the bias net is not defined with the -pmos_bias_net option, the primary power net is the default. The verbose message lists the instance and the bias net; use this information to determine if the bias net is correctly defined and connected.

Example
In this example the OR_1_0 cell has a PMOS body terminal VDDB:
.SUBCKT MP1 x B MP2 n A MN3 n A MN4 n B MN5 Y n MP6 Y n .ENDS OR_1_0 A VDD VDDB x VDDB VSS VSSB VSS VSSB VSS VSSB VDD VDDB B Y VDD VSS VDDB VSSB PCH PCH NCH NCH NCH PCH

In the design netlist, this VDDB terminal is connected to net VDD, instead of net VDDB which is defined as a CPF bias net:
OR_1_0 u_1 (.A(in[0]), .B(in[1]), .Y(out[1]), .VDD(VDD), .VSS(VSS), .VDDB(VDD), .VSSB(VSS));

The resulting verbose error report:


CIR7: Incorrect PMOS body bias net connection Severity: Error Occurrence: 1 1: 1 instance(s) in power domain DHI have PMOS incorrect bias net connection Expected connected bias net: /VDDB Error instance : 1 of 1 Instance: u_0/u_1
October 2010 364 Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks
PMOS bias net: /VHI

October 2010

365

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

CIR8
Message
Incorrect NMOS body terminal bias net connection

Default Severity
Error

Description
The NMOS body terminal of the functional gate must connect to the net specified in the -nmos_bias_net option of the update_power_domain command. If the option is not used, the primary ground net is the default. The verbose message lists the error instance and the bias net; use this information to determine if the bias net is correctly identified or the instance is correctly connected.

Example
In this example the OR_1_0 cell has NMOS body terminal VSSB:
.SUBCKT MP1 x B MP2 n A MN3 n A MN4 n B MN5 Y n MP6 Y n .ENDS OR_1_0 A VDD VDDB x VDDB VSS VSSB VSS VSSB VSS VSSB VDD VDDB B Y VDD VSS VDDB VSSB PCH PCH NCH NCH NCH PCH

In the design netlist, this VSSB terminal connected to net VSS, instead of net VSSB which is defined as a CPF bias net:
OR_1_0 u_1 (.A(in[0]), .B(in[1]), .Y(out[1]), .VDD(VDD), .VSS(VSS), .VDDB(VDDB), .VSSB(VSS));

The resulting verbose error report:


CIR8: Incorrect NMOS body bias net connection Severity: Error Occurrence: 1 1: 1 instance(s) in power domain DHI have NMOS incorrect bias net connection Expected connected bias net: /VSSB Error instance : 1 of 1 Instance: u_0/u_1 NMOS bias net: /VSS
October 2010 366 Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

SPICE Rules
The following table lists the SPICE rule (SPI) checks:

SPI1 on page 368 SPI2 on page 370 SPI3 on page 371 SPI4 on page 373 SPI5 on page 374

October 2010

367

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

SPI1
Message
Unbuffered input pin

Default Severity
Error

Description
Note: This is a Low Power GXL check. The SPICE netlist views must be read in to perform this check. This is a cell level message. It indicates that there is an open source or drain at the input pin of a library cell. If a cell has this type of input pin(s), there might be a problem if it is placed at a power domain boundary because the driver of the pin might be driving the body bias net of the cell when the body bias net is turned OFF. This situation can also happen if the body bias net of the PMOS is at a lower voltage than the voltage at the open source input pin, or when the NMOS body bias net is at a higher voltage than the open source input pin.

Example
The following diagram shows the cause of the violation, where there is an open source or drain at the input pin of a library cell.
SRC_PWR

DEST_BIAS

SRC_GND

This second diagram shows that when power net SRC_PWR is ON and power net DEST_BIAS is OFF, there is a path from the source power net SRC_PWR to DEST_BIAS through the forward biased p-n junction. So there is constant leakage, where the driving cell continuously
October 2010 368 Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks charges the bias net of the destination domain when the input pin is driven to a high value. If it is isolated to a low value, this issue is avoided.
SRC_PWR

n-type substrate

DEST_BIAS turned off V(DEST_BIAS) < V(SRC_PWR)

Similar to first diagram, if it is an NMOS open source pin, the input should be isolated to a high value to avoid leakage.

October 2010

369

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

SPI2
Message
Unbuffered input pin at power domain crossing

Default Severity
Error

Description
Note: This is a Low Power GXL check. The SPICE netlist views must be read in to perform this check. This is a design level message and points to every occurrence of such issue. This indicates that there is an open source or drain at the input pin of a library cell, and this pin is at power domain crossing. See SPI1 on page 368 for a description of the leakage issues related to placing these types of open source/drain inputs at power domain boundaries.

October 2010

370

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

SPI3
Message
Mos stack is too long

Default Severity
Error

Description
Note: This is a Low Power GXL check. The SPICE netlist views must be read in to perform this check. This message points to long source-drain series paths in the circuits. The software reports all transistor stacks longer than a certain length, as specified with the SET LOWPOWER OPTION commands -MAX_NMOS_STACK_DEPTH <nmos_max_len> and -MAX_PMOS_STACK_DEPTH <pmos_max_len> options. If the series paths to power or ground are very long, charging and discharging the output nodes can take longer, unless the gate widths are made wider to compensate for longer series resistive paths. It can also be a serious issue in pass transistor logic if PMOS is used to conduct a low value or NMOS is used to conduct a high value.

October 2010

371

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

Example
The following diagram shows the cause of the violation, where there is long source-drain series paths in the circuits.
PWR

output

NMOS stack length is 4. Transistor widths must be increased to compensate for increased resistance.

GND

The following example shows how the SPI3 check excludes stacks that have transistor gates driven by inverted signals. The stack in this illustration is not reported, as transistors M1 and M3 are driven by inverted signals X_inv and x, respectively.

VDD M3 x y false

M2

X_inv M1

false

October 2010

372

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

SPI4
Message
Port does not have MOS path to power or ground

Default Severity
Error

Description
Note: This is a Low Power GXL check. The SPICE netlist views must be read in to perform this check. This message indicates that there is a node that has no path to either ground or power. This is a serious error. It implies that the output node cannot be discharged or charged.

October 2010

373

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

SPI5
Message
Mos transistor creates a short between power and ground

Default Severity
Warning

Description
Note: This is a Low Power GXL check. The SPICE netlist views must be read in to perform this check. This message indicates that there is a MOS transistor with the source/drain diffusion region connected to power, and the other side (drain/source) connected to ground. If this transistor is turned on, it will result in a short between the power and ground rails. Note: If the gate input of the NMOS is connected to ground net (at or lower voltage than the ground net connected to the source/drain), then this message is not reported. Similarly, if the gate input of a PMOS transistor is connected to the power rail, this message is not reported.

Example
The following diagram shows the cause of the violation, where there is a MOS transistor with the source/drain diffusion region connected to power, and the other side (drain/source) connected to ground.
VDD Reported as SPI5

In

VSS

The following diagram shows examples of when this message is not reported, where the gate input of the NMOS is connected to ground net (at or lower voltage than the ground net

October 2010

374

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks connected to the source/drain), or where the gate input of a PMOS transistor is connected to the power rail.
VDD Not reported as SPI5 VDD

VSS

VSS

October 2010

375

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

Macro Rules
The following lists the macro rule checks:

MACRO1 on page 377 MACRO2 on page 378 MACRO3 on page 379 MACRO4 on page 380

October 2010

376

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

MACRO1
Message
Inconsistent domain mapping due to incorrect power net connection

Default Severity
Error

Description
A macro-model domain can be mapped to a design-level domain, but the power pin of the macro domain should connect to the power net of the design-level domain. This error reports situations where the power pin to power net connection is inconsistent with macro to design domain mapping. Use the report rule check MACRO1 -verbose command to review domain mapping and power-net to power-pin connections of the macro model instance reported in the -verbose error report; compare this to the related create_global_connection command.

Applicability
Applies to post place-and-route netlists with power/ground connectivity.

October 2010

377

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

MACRO2
Message
Inconsistent domain mapping due to incorrect ground net connection

Default Severity
Error

Description
A macro-model domain can be mapped to a design-level domain, but the ground pin of the macro domain should connect to the ground net of the design-level domain. This error reports situations where the ground pin to ground net connection is inconsistent with macro to design domain mapping. Use the report rule check MACRO2 -verbose command to review domain mapping and ground-net to ground-pin connections of the macro model instance reported in the -verbose error report; compare this to the related create_global_connection command.

Applicability
Applies to post place-and-route netlists with power/ground connectivity.

October 2010

378

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

MACRO3
Message
Incorrect PMOS bias net connection in macro model

Default Severity
Error

Description
The PMOS bias net of a power domain in a macro model is not connected to the PMOS bias net of the mapped power domain in upper block.

Applicability
This check applies to synthesized and place-and-route gate netlists with low power cells inserted.

October 2010

379

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

MACRO4
Message
Incorrect NMOS bias net connection in macro model

Default Severity
Error

Description
The NMOS bias net of a power domain in a macro model is not connected to the NMOS bias net of the mapped power domain in upper block.

Applicability
This check applies to synthesized and place-and-route gate netlists with low power cells inserted.

October 2010

380

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

Low Power Cell Rules


The following lists the low power cell rules:

LPC09 on page 382 LPC10 on page 383 LPC11 on page 384 LPC12 on page 385 LPC13 on page 386 LPC14 on page 387

October 2010

381

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

LPC09
Message
Library cell power and related nwell pins specified in add related supply are connected to different nets. Define a design add related supply command for the power and nwell nets if this is expected.

Default Severity
Error

Description
The cell power pins and related nwell pins of library cells specified with the add related supply command must be connected to the same net when the power pins are not connected to a power net a design level add related supply rule and that the cell power pins are not connected to a power switch cell output. This can help detect potential electrical issues.

Applicability
This applies to place-and-route gate netlists with low power cells inserted

October 2010

382

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

LPC10
Message
Library cell ground and related pwell pins specified in add related supply are connected to different nets. Define a design add related supply command for the ground and pwell nets if this is expected.

Default Severity
Error

Description
The cell power pins and related pwell pins of library cells specified with the add related supply command must be connected to the same net when any ground pin is not connected to a ground net in a design level add related supply rule and the cell ground pin is not connected to ground switch cell output. This can help detect potential electrical issues.

Applicability
This rule applies to place-and-route gate netlists with low power cells inserted

October 2010

383

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

LPC11
Message
Library cell power and related nwell pins of add related supply rule have inconsistent connections. Define a design related supply rule for the power and nwell nets if connections are okay.

Default Severity
Error

Description
For library cells specified with the add related supply command, if any power pin is not connected to a power net in a design add related supply rule and connects to a power switch output, the related nwell pin must connect to the power net or the power switch source net. This can help detect potential electrical issues.

Applicability
This rule applies to place-and-route gate netlists with low power cells inserted

October 2010

384

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

LPC12
Message
Library cell ground and related pwell pins of add related supply rule have inconsistent connections. Define a design related supply rule for the ground and pwell nets if connections are okay.

Default Severity
Error

Description
For library cells specified with the add related supply command, if any ground pin is not connected to a ground net in a design add related supply rule and connects to a ground switch output, the related pwell pin must connect to the ground net or the ground switch source net. This can help detect potential electrical issues.

Applicability
This rule applies place-and-route gate netlists with low power cells inserted

October 2010

385

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

LPC13
Message
Library cell power and related nwell pins are not connected to design power and related nwell nets as specified by cell and design add related supply rules.

Default Severity
Error

Description
If any library cell pin defined as -power in add related supply (for that cell) connects to a design-level port defined as -power in add related supply (for that design module), the related nwell pin in the cell rule must connect to related nwell port in the design rule. This can help detect potential electrical issues.

Applicability
This rule applies to place-and-route gate netlists with low power cells inserted

October 2010

386

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

LPC14
Message
Library cell ground and related pwell pins are not connected to design ground and related pwell nets as specified by cell and design add related supply rules.

Default Severity
Error

Description
If any library cell pin defined as -ground in add related supply (for that cell) connects to a design-level port defined as -ground in add related supply (for that design module), the related pwell pin in the cell rule must connect to related pwell port in the design rule. This can help detect potential electrical issues.

Applicability
This rule applies to place-and-route gate netlists with low power cells inserted

October 2010

387

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Low Power Rule Checks

October 2010

388

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

4
Common Power Format Rule Checks
Definitions for the Common Power Format (CPF) rules are explained in the following sections. This chapter includes the following topics:

Introduction to Common Power Format Messages on page 390

Rule Categories

CPF Design on page 391 CPF Ground Switched Domain on page 399 CPF Hierarchical on page 402 CPF Isolation Cells on page 424 CPF Level Shifter Cells on page 462 CPF Library on page 483 CPF Macro on page 517 CPF Power and Ground Nets on page 534 CPF Power Domains on page 543 CPF Power Shut Off on page 559 CPF Power Switches on page 569 CPF Retention Cells on page 575 CPF Power Mode Rule on page 592 CPF Gen Rules on page 594

October 2010

389

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

Introduction to Common Power Format Messages


The purpose of Common Power Format (CPF) checks is to ensure the consistency within CPF files only and in the design where CPF is applied. This chapter describes the semantics of each CPF quality checks supported in the Conformal Low Power software. The CPF checks that do not depend on reading the design are not described in this chapter.

Violation Severity Levels


There are three levels of severity for rule violations. The severity levels are listed below from the most serious to the least serious:

Error: Conformal Low Power might not allow you to begin verification until you resolve the error. Warning: Conformal Low Power allows you to begin verification; however, it warns you of potential errors in the design. Note: Conformal Low Power provides information on how the software interpreted the command, and the actions that have been taken in response to the command.

You can run the REPORT RULE CHECK -LP command to view a summary or expanded report (using the -verbose option) of all low power rule violations. Additionally, you can specify the category of rules you would like to view with the following command. Use the asterisk (*) following a prefix to list rules of the specified category. For example:
report rule check CPF_PD* -summary

To view information for a specific message, use the HELP command followed by the message ID.
HELp [message_id]

For example:
help CPF_PD1

Changing the Severity Levels You can change the level of severity for CPF rule violations with the SET RULE HANDLING command.

October 2010

390

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF Design
The following lists the CPF Design (CPF_DES) rule checks:

CPF_DES1 on page 392 CPF_DES2 on page 393 CPF_DES4 on page 394 CPF_DES5 on page 396 CPF_DES7 on page 397 CPF_DES8 on page 398

October 2010

391

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_DES1
Message
Design instance defined in power domain does not exist

Default Severity
Warning

Description
An instance defined with the create_power_domain -instances command does not exist in the design. This is checked on all netlists but is only an issue with preplace and route netlists. This can happen when the instance name is spelled incorrectly, is missing in the netlist, or the instance name was changed by synthesis or place and route. Source code diagnostics are not available for this result.

Example
In the following example, there is no instance named ih in the design module named TopMod.
set_design TopMod create_power_domain -name hi -instances { xh ih ) -boundary_ports { C D Z }

This results in the following error report:


CPF_DES1: Hierarchical instance does not exist in design Severity: Warning Occurrence: 1 1: Data/Des.cpf:8 Hierarchical instance ih cannot be found in the design

October 2010

392

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_DES2
Message
Design instance pin defined in power domain does not exist

Default Severity
Warning

Description
A top or lower module pin defined in CPF as data or control for a power domain or rule must exist in the design or be defined by the -ports option of set_design command. Check to make sure the reported pin name is correct and is in the design netlist or is defined with the set_design -ports command.

Example
In the following example, there is no pin named Out2 in the design module named TopMod.
set_design TopMod create_power_domain -name sw -instances { xS ) -boundary_ports { Out2 }

This results in the following error report:


CPF_DES2: Hierarchical instance pin does not exist in design Severity: Warning Occurrence: 1 1: Data/Des.cpf:8 Hierarchical instance pin Out2 cannot be found in the design

October 2010

393

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_DES4
Message
Design net in power intent definition does not exist

Default Severity
Warning

Description
A Conformal Low Power post place-and-route netlist verification session (physical mode) has encountered a CPF defined hierarchical power or ground net name that does not exist in the design. This can occur when the netlist does not have power and ground nets. To fix this, change the mode to a pre place-and-route application (logical mode). This can also occur when the name is incorrect. To fix this, check the verbose error report for the name and check the design data and compare. Correct the CPF name.

Example
In the following example, a post place-and-route netlist has a power net named VSW in instance xS, this is the output net of a power switch cell in that block. The power net name in the design is xS/VSW.
module SwMod ( LP_PSW x0 (.Vo(VSW), .Vi(VDD), .Ei(Pwr), .Eo(nc), .VSS(VSS)); module TopMod SwMod xS (

In the CPF file, this net is defined incorrectly with instance identifier as xR and not xS, as in the design data.
create_power_nets -nets xR/VSW -voltage 1.0 -internal update_power_domain -name sw -primary_power_net xR/VSW

This results in the following error report:


CPF_DES4: Hierarchical net does not exist in design Severity: Warning Occurrence: 2

October 2010

394

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks
1: Data/Des.cpf:17 Hierarchical net xR/VSW cannot be found in the design 2: Data/Des.cpf:22 Hierarchical net xR/VSW cannot be found in the design

October 2010

395

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_DES5
Message
Design instance net defined in power intent definition does not exist

Default Severity
Warning

Description
A Conformal Low Power post place-and-route netlist verification session (physical mode) has encountered a CPF defined power or ground net name that does not exist in the design. This can occur when the netlist does not have power and ground nets. To fix this, change the mode to a pre place-and-route application (logical mode). This can also occur when the name is incorrect. To fix this, check the verbose error report for the name and check the design data and compare. Correct the CPF name.

Example
In the following example, post place-and-route netlist has a power net named VSW in instance xS that is the internal switched net generated in module SwMod:
module SwMod (Pwr, A, B, C, D, X, Y, VDD, VSS); LP_PSW xS (.Vo(VSW)

In the CPF file, this net is defined incorrectly as xS/VSO.


create_power_nets -nets xS/VSO -voltage 1.0 -internal update_power_domain -name sw -primary_power_net xS/VSO

This results in the following error report:


CPF_DES5: Net does not exist in module Severity: Warning Occurrence: 2 1: Data/Des.cpf:17 Net VSO cannot be found in module SwMod [Data/Phy.v:1] 2: Data/Des.cpf:22 Net VSO cannot be found in module SwMod [Data/Phy.v:1]

October 2010

396

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_DES7
Message
Bus definition is not allowed in expression

Default Severity
Error

Description
Expressions in CPF format cannot be defined to include a bus notation with a range. It is valid to express the object as a single bus index.

Example
Example of legal expression:
-isolation_condition "Iso1 && Icont[4]"

Example of illegal expression:


-shufoff_condition "PSO[1:0] && pwrenable2"

October 2010

397

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_DES8
Message
Object specified with update isolation or level shifter rule -within_hierarchy option is not valid for insertion

Default Severity
Error

Description
An instance specified in -within_hierarchy must be an existing design module that is not blackboxed and not a hard IP block. Review the -verbose message for the rule to verify that the design instance name is correct and whether the design instance is blackboxed. Fix the design instance name or remove the blackbox definition (if it is legal).

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation.

October 2010

398

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF Ground Switched Domain


The following lists the rule checks for ground switched domain information. The following lists the rule checks for ground switched domains:

CPF_GD2 on page 400 CPF_GD3 on page 401

October 2010

399

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_GD2
Message
Switch rule is missing for switched domain

Default Severity
Warning

Description
When an internally ground switched domain (that is not a pure virtual power domain in the design scope) is defined, a corresponding switch rule is required for a CPF file that contains the physical information. A pure virtual power domain is a power domain that is not specified as the default power domain, and for which no instances or boundary ports are defined. Use the verbose message to identify the internally ground switched domain that does not have a switch rule. The domain might be incorrectly defined, or the switch rule is not correctly specified.

Example
The following CPF description defines DSW as an internally ground switched domain, but does not specify a switch rule:
create_power_domain -name DSW -instances {u_1} -shutoff_condition {!pe} \ -base_domains DON create_power_nets -nets VDD -voltage 1.0 create_ground_nets -nets GSW -internal update_power_domain -name DSW -internal_power_net VDD -internal_ground_net GSW

Resulting verbose report:


CPF_GD2: Internally ground switched domain which is virtual has no switch rule Severity: Error Occurrence: 1 1: Data/top.cpf:8 Internally ground switched domain DSW has no power switch rule.

An example fix, which defines a switch rule for this power domain:
create_power_switch_rule -name SR1 -domain DSW -external_ground_net VSS

October 2010

400

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_GD3
Message
Switch rule is missing for switched domain

Default Severity
Warning

Description
With CPF 1.0 and CPF 1.0e versions, when an internally ground switched domain is defined, a corresponding switch rule is required for a CPF file containing physical information. Use the verbose message to identify the ground switched domain that does not have a switch rule. The domain might be incorrectly defined and it is an externally switched domain that should not have a shutoff condition, or the switch rule is not specified.

Example
The following CPF description defines DSW as an internal switch domain (shutoff_condition is specified), but a switch rule is not defined:
create_power_domain -name DSW -instances {u_1} -shutoff_condition {!pe} \ -secondary_domains DON create_ground_nets -nets GSW -voltage 1.0 -internal update_power_domain -name DSW -internal_power_net VDD -internal_ground_net GSW

Resulting verbose report:


CPF_GD3: Internally ground switched domain has no power switch rule. Severity: Error Occurrence: 1 1: Data/top.cpf:8 Internally ground switched domain DSW has no power switch rule.

An example fix - define a switch rule for this ground switched domain:
create_power_switch_rule -name SR1 -domain DSW -external_ground_net VSS

October 2010

401

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF Hierarchical
The following lists the rule checks for hierarchical CPF information:

CPF_HIER_MAP3 on page 403 CPF_HIER_MAP4 on page 404 CPF_HIER_MAP5 on page 405 CPF_HIER_MAP7 on page 408 CPF_HIER_MAP8 on page 409 CPF_HIER_MAP8a on page 410 CPF_HIER_MAP9 on page 411 CPF_HIER_MAP10 on page 412 CPF_HIER_MAP11 on page 413 CPF_HIER_MAP12 on page 414 CPF_HIER_MAP13 on page 415 CPF_HIER_MAP14 on page 416 CPF_HIER_MODE1 on page 417 CPF_HIER_MODE2 on page 419 CPF_HIER_MODE3 on page 420 CPF_HIER_CHK6 on page 422 CPF_HIER_CHK7 on page 423

October 2010

402

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_HIER_MAP3
Message
Internal switched domain is mapped to a higher scope domain

Default Severity
Error

Description
A domain defined in a macro model or a hierarchical block-level CPF that is defined as internally switchable cannot be mapped to any domain during higher level instantiation via a domain mapping option. Lower scope internally switched domains can be referenced in power modes directly. When multiple instances have this same type of domain which are ON and OFF at the same time, specify all those domains individually in the power mode definitions and remove the illegal mapping from the domain mapping list and correct the power modes.

Example
In the following example, PD2 is defined as an internal switched domain in block level CPF and mapped illegally to a higher level block domain and used in a higher block power mode:
create_power_domain -name LP2 -instances {x2} \ -shutoff_condition {!pe} \ -secondary_domains {LP1} set_instance u_2 -domain_mapping {{LP1 D1} {LP2 D2}} create_power_mode -name M1 -domain_conditions { D2@nom ...

This can be fixed with the following commands:


set_instance u_2 -domain_mapping {LP1 D1} create_power_mode -name M1 -domain_conditions { u_2/D2@nom ...

October 2010

403

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_HIER_MAP4
Message
External switched domain is not mapped to a higher scope domain

Default Severity
Error

Description
A power domain defined in a lower scope that is not defined as internally switchable must be mapped to a higher scope power domain. Use the set_instance command to define the instance of the lower scope module with the -domain_mapping option to map external switchable and non switchable domains to domains at the higher scope.

Example
In the following example, in a design scope named top with two power domains, D1 and D2 have instance u_2 of module block2, which has CPF defined with two power domains, LP1 and LP2, always ON and externally switchable type. In the CPF, set_instance in top scope, only LP1 is mapped to top domain D1. LP2 is not mapped and this is results in the following error report:
CPF_HIER_MAP4: An externally switched lower scope domain is not mapped to any higher scope domain Severity: Error Occurrence: 1 1: Data/top.cpf:38 Lower scope domain: u_2/LP2 in instance u_2

This can be fixed with the following command:


set_instance u_2 -domain_mapping {{LP2 D2} {LP1 D1}}

October 2010

404

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_HIER_MAP5
Message
An unswitchable domain is not mapped to any higher scope domain

Default Severity
Error

Description
A power domain defined in a lower scope that is not defined as internally switchable must be mapped to a higher scope power domain. Use the set_instance command to define the instance of the lower scope module with the -domain_mapping option to map external switchable and non switchable domains to domains at the higher scope.

Example
In the following example, in a design scope named top with two power domains, D1 and D2, has instance u_2 of module block2 which has CPF defined with two power domains, LP1 and LP2, always ON, and externally switchable type. In the CPF set_instance in top scope, only LP2 is mapped to top domain D2. LP1 is not mapped and this results in the following error report:
CPF_HIER_MAP5: A non switchable lower scope domain is not mapped to any higher scope domain Severity: Error Occurrence: 1 1: Data/top.cpf:38 Lower scope domain: u_2/LP1 in instance u_2

This can be fixed with the following command:


set_instance u_2 -domain_mapping {{LP2 D2} {LP1 D1}}

October 2010

405

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_HIER_MAP6
Message
A block scope internal switched domain is not mapped to a higher scope internal switched domain or not referenced in power mode definition in the scope instantiating the lower scope block

Default Severity
Error

Description
You can map an internally switched lower-scope domain to only an internally switched upper-scope domain. If it is not mapped, then you must refer to it in the top scope power modes as <instance>/domain or using group modes as <instance>@<block_mode_name>. Review the -verbose message to identify the lower scope domain name and the mapping, or the top scope power modes to identify and correct the CPF.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation.

October 2010

406

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_HIER_MAP6a
Message
An unmapped macro scope internal switched switched domain is not referenced in power mode definition in the scope instantiating the macro model

Default Severity
Error

Description
An internally switched lower scope domain can only be mapped to an upper scope internal switched domain. If it is not mapped, then you must refer to it in the top scope power modes by <instance>/domain or by group modes option such as <instance>@<macro_mode_name>. Review the -verbose message to identify the lower scope domain name and the mapping, or top scope power modes to identify and correct the CPF error.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation.

October 2010

407

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_HIER_MAP7
Message
A macro or lower scope internal switched domain with multiple based domain is illegally mapped to a higher scope domain.

Default Severity
Error

Description
A lower design or macro scope domain that is internally switched must have the base domain of that scope mapped to the applicable base domains of the upper scope domain for which it is mapped. Use the report rule check CPF_HIER_MAP7 -verbose command to view the lower scope domain name and instance, and to review the CPF domain definitions, base domains, and the mapping and correct any errors.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation.

October 2010

408

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_HIER_MAP8
Message
A upper level internally switched domain with more than one base domain is mapped with lower block level internally switched domain

Default Severity
Error

Description
An internal switchable domain from a lower scope can be mapped only to an internal switchable domain of a higher scope (internal switchable domains have either power or ground switching) with a single base domain. Use report rule check CPF_HIER_MAP8 -verbose for more information on the power intent scopes and the domains involved.

Applicability
Applies to RTL, synthesized, and place and route netlists with hierarchical power intent

October 2010

409

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_HIER_MAP8a
Message
A upper level internally switched domain with more than one base domain is mapped with macro scope internally switched domain

Default Severity
Error

Description
An internal switchable domain from a macro model instance can be mapped only to an internal switchable domain of a higher scope (internal switchable domains have either power or ground switching) with a single base domain. Use report rule check CPF_HIER_MAP8a -verbose for more information on the power intent scopes and the domains involved.

Applicability
Applies to RTL, synthesized, and place and route netlists with hierarchical power intent

October 2010

410

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_HIER_MAP9
Message
Base domain of lower scope domain does not map to base domain of the mapped upper scope domain.

Default Severity
Error

Description
The base domain of a macro model instances internally-switched domain must be mapped to the base domain of a design-switched domain that is consistent with the macro to design switched domain mapping. When macro and design-switchable domains are mapped together, their base domains must be mapped together to avoid conflict in power intent. Use report rule check CPF_HIER_MAP9 -verbose for more information on the power intent scopes and the domains involved.

Applicability
Applies to RTL, synthesized, and place and route netlists with hierarchical power intent

October 2010

411

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_HIER_MAP10
Message
Mapped lower and upper scope domains have shutoff condition conflict.

Default Severity
Error

Description
The lower scope of a macro or design model instances internal switchable domain must have a shutoff condition that is equivalent to the shutoff condition in the domain of the upper scope to which it is mapped. Use report rule check CPF_HIER_MAP10 -verbose for more information on the power intent scopes and the domains involved.

Applicability
Applies to RTL, synthesized, and place and route netlists with hierarchical power intent

October 2010

412

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_HIER_MAP11
Message
Illegal internal switched domain type mapping

Default Severity
Error

Description
The switch rule type (power or ground) must be the same between the higher scope of a design instances internal switchable domain and any lower design scopes that map to it. A switch rule type is defined by the option -external_power_net for power, or -external_ground_net for ground. The switch rules for both lower and higher scope domains must be compatible with the supply net option. Use report rule check CPF_HIER_MAP11 -verbose for more information on the power intent scopes and the domains involved.

Applicability
Applies to RTL, synthesized, and place and route netlists with hierarchical power intent

October 2010

413

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_HIER_MAP12
Message
Macro model internally switched domain is mapped to a higher unswitched domain and the shutoff condition is not disabled.

Default Severity
Error

Description
When a macro model instances internally switched domain is mapped to a design scope domain that is not defined as OFF in any power mode, the macro shutoff condition must be disabled. Use report rule check CPF_HIER_MAP12 -verbose for more information on the power intent scopes and the domains involved.

Applicability
Applies to RTL, synthesized, and place and route netlists with hierarchical power intent

October 2010

414

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_HIER_MAP13
Message
Upper scope unswitched domain which is mapped with a macro scope internally switched domain is not mapped with the base domain of the macro scope internally switched domain

Default Severity
Error

Description
When the design domain is defined as non-switchable, the macro model instances internally switched domain and base domain must be mapped to the same design domain. Use report rule check CPF_HIER_MAP13 -verbose for more information on the power intent scopes and the domains involved.

Applicability
Applies to RTL, synthesized, and place and route netlists with hierarchical power intent

October 2010

415

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_HIER_MAP14
Message
A macro scope internally switched domain has internal signal for its shutoff condition. It maps to an unswitched domain which is not virtual or never off.

Default Severity
Error

Description
Reported when a macro model internally-switched domain with a shutoff condition internal to the macro cell is mapped to a power domain that exists for objects in the top scope (not virtual) or is mapped to a virtual domain that is ON is all power modes. A macro model with an internally-switched domain must be mapped to a virtual top-level domain that is defined as OFF in 1 or more power modes. Use the report rule check CPF_HIER_MAP14 -verbose command to view information on macro model and domain and correct the power intent.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation.

October 2010

416

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_HIER_MODE1
Message
Conflict between power modes of mapped higher and lower scope domains

Default Severity
Warning

Description
This message warns that a new lower scope power mode is created by hierarchical domain mapping using the set_instance CPF command. Check the verbose error message to see if this is expected. If not, then refine the power mode definition of the higher scope.

Example
In the following example, a design has an instance of a lower scope CPF module which has two power modes:
create_power_mode -name PM0 -domain_conditions {LP1@ON LP2@ON} -default create_power_mode -name PM1 -domain_conditions {LP1@OFF LP2@ON}

An instance of this block is defined in higher scope block CPF and lower scope domains are mapped to higher scope domains. Based on the higher scope power modes, one additional lower scope mode is created, LP1@ON and LP2@OFF.
set_instance u_2 -domain_mapping {{LP1 D3} {LP2 D2}} create_power_mode -name M1 -domain_conditions {D1@on D2@on create_power_mode -name M2 -domain_conditions {D1@on D2@on D3@on} -default D3@off}

create_power_mode -name M3 -domain_conditions {D1@on D2@off D3@on

This results in the following report:


CPF_HIER_MODE1: Higher scope power mode does not exist in the lower scope power mode for the lower scope domains they are mapped with Severity: Warning Occurrence: 1 1: Data/top.cpf:43 The relationships and voltage conditions of the following higher scope modes between the higher scope domains do not have an identical mode for the lower scope power domains they are mapped with. Lower scope instance u_2, higher scope modes: M3
October 2010 417 Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks To fix this, add a new power mode in the block-level CPF with the following command:
create_power_mode -name PM2 -domain_conditions {LP1@ON LP2@OFF}

October 2010

418

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_HIER_MODE2
Message
Lower scope power modes are unused in higher scope due to domain mapping

Default Severity
Warning

Description
This message warns that a lower scope power mode is removed by hierarchical domain mapping using the set_instance CPF command. Check the lower scope mode name in the verbose report to see if removal of this lower scope mode is expected.

Example
In the following example, a lower scope block has three CPF defined power modes:
create_power_mode -name PM0 -domain_conditions {LP1@ON create_power_mode -name PM1 -domain_conditions {LP1@ON LP2@ON } -default LP2@OFF}

create_power_mode -name PM2 -domain_conditions {LP1@OFF LP2@OFF}

An of this block is defined in higher scope block CPF and lower scope domains are mapped to higher scope domains and based on the higher scope power modes, one lower scope power mode, PM1, is not valid.
set_instance u_2 -domain_mapping {{LP1 D3} {LP2 D2}} create_power_mode -name M1 -domain_conditions {D1@on D2@on D3@on} -default create_power_mode -name M2 -domain_conditions {D1@on D2@off D3@off}

This results in the following report:


CPF_HIER_MODE2: Some modes in block are unused in the design Severity: Warning Occurrence: 1 1: Data/top.cpf:42 These modes in block are not used in the design Lower scope instance u_2, lower scope modes: PM1

To fix this, add a power mode in a higher scope CPF with the following command:
create_power_mode -name M3 -domain_conditions {D1@on D2@off D3@on}

October 2010

419

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_HIER_MODE3
Message
An internal switched domain is not specified in any upper mode

Default Severity
Error

Description
A domain defined in a macro model or a hierarchical block level CPF that is defined as internally switchable cannot be mapped to any domain during higher level instantiation via the domain mapping option. Lower scope internally switched domains can be referenced in power modes directly. When multiple instances have this same type of domain which are ON and OFF at the same time, specify all those domains individually in the power mode definitions and remove the illegal mapping from the domain mapping list and correct the power mode.

Example
In the following example, LP2 is defined as an internal switched domain in block level CPF:
create_power_domain -name LP2 -instances {x2} \ -shutoff_condition {!pe} \ -secondary_domains {LP1}

In the higher scope CPF, LP2 is not specified in any power mode:
set_instance u_2 -domain_mapping {{LP1 D1}} create_power_mode -name M1 -domain_conditions {D1@on D2@on} -default create_power_mode -name M2 -domain_conditions {D1@on D2@off}

This results in the following report:


CPF_HIER_MODE3: An internal switched domain is not specified in any upper power mode Severity: Error Occurrence: 2 1: Data/block2.cpf:7 Internal switched domain u_2/LP2 is not specified in up per mode M1. 2: Data/block2.cpf:7 Internal switched domain u_2/LP2 is not specified in upper mode M2

October 2010

420

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks This can be fixed with the following commands:
create_power_mode -name M1 -domain_conditions {D1@on D2@on u_2/LP2@on} -default create_power_mode -name M2 -domain_conditions {D1@on D2@on u_2/LP2@off}

October 2010

421

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_HIER_CHK6
Message
Specifying mapping of power and ground nets is an error

Default Severity
Error

Description
Port mapping maps lower scope ports to upper scope ports. It is an error to specify power and ground ports for mapping. To fix this, remove all power and ground ports from the port mapping list. Power and ground pin connections to lower scopes are either done through automatic power/ground net connection by the place-and-route tool, or by the create_global_connection CPF command.

Example
In the following example, the CPF description is:
set_instance u_1 -domain_mapping { LP1 PD1 } -port_mapping { VDD VDD1 }

This results in the following error report:


CPF_HIER_CHK6: A power or ground object is specified by -port_mapping Severity: Error Occurrence: 2 1: Data/top1.cpf:39 Port VDD specified in -port_mapping of set_instance u_1 is a power net (pin) in block (module) block1 2: Data/top1.cpf:39 Port VDD1 specified in -port_mapping of set_instance u_1 is a power net (pin) in block (module) top

October 2010

422

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_HIER_CHK7
Message
Low scope instance port connection and port mapping conflict

Default Severity
Error

Description
A lower scope port defined with the -ports option in set_design command should connect to the specified port or instance pin. This error is generated whenever that is not true and the cause may be an incorrect specification due to spelling error, specifying the wrong upper scope port or pin, or connecting the lower scope port to the wrong port or pin in the upper scope.

Example
In the following example, a block has an isolation control pin named iso that is connected to a design top level port named iso2:
block2 u_2 (.in(out_1), .out(out_2), .iso(iso2));

The CPF instantiation of this block maps block port iso to a top-level port named iso1. This is different than what is implemented in the netlist:
set_design top set_instance u_2 -domain_mapping { LP1 PD2 } -port_mapping { iso iso1 }

This results in the following error report:


CPF_HIER_CHK7: A port of a module instance defined in set_instance is connected to a different object than specified by -port_mapping Severity: Error Occurrence: 1 1: Data/top.cpf:40 The lower scope port iso and the upper scope port iso1 specified in -port_mapping of set_intance u_2 are not connected

October 2010

423

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF Isolation Cells


The following lists the Isolation Cell (CPF_ISO) rule checks:

CPF_ISO1 on page 426 CPF_ISO1a on page 427 CPF_ISO1b on page 428 CPF_ISO2 on page 429 CPF_ISO3 on page 430 CPF_ISO4 on page 431 CPF_ISO5 on page 432 CPF_ISO6 on page 433 CPF_ISO7 on page 434 CPF_ISO8 on page 435

CPF_ISO13 on page 436 CPF_ISO13a on page 437 CPF_ISO15 on page 438 CPF_ISO17 on page 440 CPF_ISO18 on page 441 CPF_ISO19 on page 442 CPF_ISO20 on page 443 CPF_ISO21 on page 444 CPF_ISO22 on page 445 CPF_ISO23 on page 446 CPF_ISO24 on page 447 CPF_ISO25 on page 448 CPF_ISO26 on page 449

October 2010

424

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO27 on page 450 CPF_ISO28 on page 451 CPF_ISO29 on page 452 CPF_ISO30 on page 453 CPF_ISO32 on page 454 CPF_ISO33 on page 455 CPF_ISO34 on page 456 CPF_ISO35 on page 457 CPF_ISO37 on page 458 CPF_ISO39 on page 459 CPF_ISO40 on page 460 CPF_ISO41 on page 461

October 2010

425

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO1
Message
Domain crossing has no isolation rule

Default Severity
Error

Description
When a crossing between two domains which can be in a mode defined by create_power_mode where the driving domain can be OFF when the receiving domain is ON and that crossing is not that the object of an isolation rule, this error is reported. Review the verbose message identify the name of the driving (from) domain and the receiving (to) domain. The domain conditions or power modes might be specified incorrectly or the isolation rule needs to be specified.

Example
In this example a crossing from a switchable domain d2 (instance x1) to domain d1 (default) which is specified to be always ON does not have an isolation rule. This results in the following error report:
CPF_ISO1: No isolation rule specified for power domain crossing Severity: Error Occurrence: 1 1: No isolation rule specified for power domain crossing from x1/y (domain d2) to x2/B (domain d1)

October 2010

426

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO1a
Message
Domain crossing driven by tied constant has no isolation rule.

Default Severity
Error

Description
A power domain crossing is driven by a tied 1b0 or 1b1 in a domain that can be OFF when the receiving domain is ON. Use report rule check CPF_ISO1a -verbose to get information related to the domains and the driving pin. If the power modes are correct, then ensure that an isolation rule with isolation output that is compatible with the constant value is added for this crossing.

Applicability
Behavioral models or design netlists that will have low power features added during future implementation.

October 2010

427

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO1b
Message
There is no isolation rule for a crossing that is excluded in an isolation rule for an ON domain to OFF domain crossing.

Default Severity
Error

Description
When a crossing is specified between two domains (using the -exclude list of an ON -> OFF isolation rule), the crossing must be covered in another isolation rule. Otherwise, the tool issues this message. Use report rule check CPF_ISOb -verbose for more information on the isolation rule and the design pin.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation

October 2010

428

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO2
Message
Source domain is not compatible with isolation rule

Default Severity
Error

Description
An isolation rule by default is intended to isolation the from or driving domain. The update isolation rule option -isolation_target to can override the default. When the isolation target is the default from domain and that domain is an always on domain based on power mode definitions, this error is reported. Note: An addition CPF_ISO13 error result, isolation rule unnecessary between domains might also be reported for this domain crossing. Review the verbose message to identify the name of the isolation rule and source domain. Possible fixes include modifying the power modes, update the isolation rule with -isolation_target to if ON to OFF isolation is desired, or removing the rule.

Example
In the following example, a crossing from a non-switchable domain d1 (instance x1) to a switchable domain has an isolation rule defined with default isolation target from. This results in the following error report:
CPF_ISO2: Isolation rule should not have been specified for source domain. Severity: Error Occurrence: 1 1: Data/Des.cpf:17 Isolation rule iso_r1 whose -isolation_target is "from" (i.e., off-to-on isolation) should not be specified for always on source domain d1. from x1/y (domain d2) to x2/B (domain d1).

October 2010

429

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO3
Message
Destination domain is not compatible with isolation rule

Default Severity
Error

Description
When an isolation rule that applies to a to domain that is always ON when the driving domain is ON and the isolation rule has option isolation_target to that is valid for ON to OFF domain crossing, this error is reported. Review the verbose message to identify the name of the isolation rule and the receiving (to) domain name. The domain conditions or power modes may be specified incorrectly or the isolation rule needs to be specified without the isolation target option. There is an isolation rule with -isolation_target to that matches a power domain crossing where the destination domain is on.

Example
In the following example, a crossing from a switchable domain d2 (instance x1) to domain d1 (default) which is specified to be always ON has an isolation rule with an option to isolate for the mode when the from domain is ON and the to domain is OFF:
create_isolation_rule -name iso_it -from d2 -to d1 \ -isolation_condition Iso -isolation_target to

This results in the following error report:


CPF_ISO3: Isolation rule should not have been specified for destination domain Severity: Error Occurrence: 1 1: Data/Des.cpf:17 Isolation rule iso_it (on-to-off) should not have been specified for always on destination domain d1

October 2010

430

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO4
Message
Location in isolation rule is inconsistent with receiving domain(s)

Default Severity
Warning

Description
This indicates that an isolation rule that applies to a "to" domain via the -to <domain> option in a rule that specifies isolation location as from, and there are receivers of that driving domain signal that are not in the specified "to" domain list. This is a warning by default. Synthesis or Place and Route software might be able to resolve the receiver conflict by duplicating the driver port and isolating only the receivers in the specified domain. Review the verbose message to identify the name of the isolation rule, the driving and receiving (to) domain name. If the insertion tool can modify the design to insert isolation only for the nets driving the appropriate receivers, this message can be ignored. If the insertion tool does not insert correctly, this can be caught by running Conformal Low Power on the output netlist.

Example
In the following example, a crossing from a switchable domain d2 (instance x1) to domain d1 (default) to be placed in the from domain. The port of the from domain drives into both d1 and d3, the receivers in d3 do not require isolation.
create_isolation_rule -name iso_it -to d1 -pins "x1/Y" \ -isolation_condition Iso \ update_isolation_rules -names iso_it -location from

This results in the following error report:


CPF_ISO4: Isolation rule specifies inconsistent location Severity: Warning Occurrence: 1 1: Data/Des.cpf:20 Isolation rule iso_it specifies FROM location. But one of the fanouts of the source pin x1/U$1/O (domain d2) reaches a domain d3 not specified in the isolation rules TO domain list. Matching pin is x1/Y.
October 2010 431 Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO5
Message
Multiple isolation rules apply to the same domain crossing

Default Severity
Warning

Description
This message occurs when multiple isolation rules apply to a single crossing between a driver and receiver in a different domain. Review the verbose message for the isolation rule for the names of the from and to domains, the isolation rule names, and any matching pin names. To correct this, create non-overlapping rules using the -pins and -exclude options in the isolation rules. If the isolation rules are not modified, the last isolation rule will be applied and it might cause problems if it is the inaccurate rule, as in the example.

Example
In the following example, a switchable domain pin drives to an unrelated switchable domain and an always on domain. There are two isolation rules.
create_isolation_rule -name iso1 -from d2 -to d1 \ -isolation_condition Iso2 create_isolation_rule -name iso2 -from d2 -pins "x1/Y" \ -isolation_condition Iso1

This results in the following error report:


CPF_ISO5: Multiple isolation rules match power domain crossing Severity: Error Occurrence: 1 1: Multiple isolation rules match power domain crossing from x1/Y (domain d2) to x3/B (domain1). iso2 (matching pin x1/Y) iso1 Rule iso2 overrides the others.

October 2010

432

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO6
Message
Isolation library does not support specified isolation rule type

Default Severity
Error

Description
An isolation rule specifies where to put isolation cells, the isolation control, and the type of isolation cell with the -isolation_output option. This is low by default. This message is reported when there is no cell defined as isolation that has a function that is valid for the isolation output defined as default or specified in any isolation rule. Review the verbose message to identify the name of the isolation rule and the specified isolation type and review the cells with the define_isolation_cell CPF command to check if a valid isolation cell can be defined to satisfy the requirements of the reported isolation rule.

Example
In the following example, an isolation rule is defined with high type output:
create_isolation_rule -name IsoHi -isolation_output high ...

In the library, all the defined isolation cells are AND functions. They isolate to low so there is no isolation cell available to meet the requirements of the isolation rule for insertion. This results in the following error report:
CPF_ISO6: Isolation rule ignored because there is no matching isolation cell type Severity: Error Occurrence: 1 1: Data/Des.cpf:17 Isolation rule IsoHi ingored because there is no isolation cell with type high.

October 2010

433

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO7
Message
Cell specified in isolation rule conflicts with specified rule type

Default Severity
Error

Description
An isolation rule specifies where to put isolation cells, the isolation control, and the type of isolation cell with the -isolation_output option. This is low by default. You can use the update_isolation_rules command to define specific isolation cells to be used by this rule. When the cell specified has an isolation function (type) that is different than defined by the isolation output option, it is an error. Review the verbose message for the isolation cell specified in the update isolation rule, the specified cell type, the specified type defined in the rule, and the rule name and determine if the rule type needs to be changed or if the cell specified in the update isolation rule is incorrect. Note: It is not necessary to define the -cells option in the update_isolation_rules command. All tools that support CPF can automatically select the best candidate.

Example
In the following example, an isolation rule is defined with high type output
create_isolation_rule -name IsoHi -isolation_output high ...

The update isolation rule calls a cell with low type output (AND function):
update_isolation_rules -names IsoHi -cells IsoHL

This results in the following error report:


CPF_ISO7: Isolation cell type conflicts with type specified in isolation rule Severity: Error Occurrence: 1 1: Data/Des.cpf:7 Isolation cell IsoHL type(low)conflicts with type(high) specified in isolation rule IsoHi.

October 2010

434

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO8
Message
Cell specified in isolation rule is not defined as an isolation cell

Default Severity
Error

Description
An update_isolation_rules CPF commands -cells option defines a list of isolation cells to use for an isolation cell. When a cell defined in that list is not defined as an isolation cell, this error will result. Review the verbose message for the isolation cell specified in the update_isolation_rules command and the rule name, and determine if the cell name is correct or if the define_isolation_cell command is missing for the specified cell. Note: It is not necessary to define the -cells option in the update_isolation_rules command. All tools that support CPF can automatically select the best candidate.

Example
In the following example, an isolation rule and update isolation rule calls a cell that is not defined as an isolation cell:
create_isolation_rule -name IsoHi update_isolation_rules -names IsoHi -cells IsoHH

This results in the following error report:


CPF_ISO8: The update isolation rule calls a cell not defined through define_isolation_cell Severity: Error Occurrence: 1 1: Data/Des.cpf:17 Cell IsoHH specified in isolation rule IsoHi is not an isolation cell in CPF.

October 2010

435

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO13
Message
Domain definitions and power modes conflict with isolation rule

Default Severity
Error

Description
A domain crossing that is not OFF->ON in any mode has an isolation rule with an isolation target of from (default); or, a domain crossing that is not ON->OFF in any mode has an isolation rule with isolation target of to. Use the report rule check CPF_ISO13 -verbose command to view information on the domains and the rule, to correct the power modes, or correct/remove the isolation rule.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation.

October 2010

436

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO13a
Message
Isolation rule is defined between two domains without any signal crossing

Default Severity
Warning

Description
An isolation rule applies to crossing that does not exist. Use report rule check CPF_ISO13a -verbose to get information on the isolation rule. Check that the defined domains are correct and that the connections for the design are complete.

Applicability
Behavioral models or design netlists that will have low power features added during future implementation.

October 2010

437

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO15
Message
Inconsistent isolation rule insertion location and specified cell

Default Severity
Error

Description
An update_isolation_rules CPF command -cells option defines a list of isolation cells to use for an isolation cell. By default, an isolation rule defines the insertion location to be TO domain and this can be changed to FROM domain with update_isolation_rules commands -location option. In define_isolation_cell, the -valid_location option defines the location that isolation cell can be placed in and therefore restricts its usage to an isolation rule with the same location. When a rule location (by default or by update_isolation_rules) is different than the -valid_location option specified in define_isolation_cell for the isolation cell in the list of the -cells option, the isolation rule is not legal. Review the verbose message for the isolation cell specified in update_isolation_rules. Review its location, and the location of the specified rule name, and correct the rule location, cell valid location, or remove the cell from the -cell list. Note: It is not necessary to define the -cells option in the update_isolation_rules command. All tools that support CPF can automatically select the best candidate.

Example
In the following example, an isolation rule and an update_isolation_rules command calls a cell defined with valid location from. The isolation rule location is to (the default).
define_isolation_cells -cells IsoHH -valid_location from create_isolation_rule -name IsoHi update_isolation_rules -names IsoHi -cells IsoHH

This results in the following error report:


CPF_ISO15: Isolation cell location conflicts with location specified in isolation rule.
October 2010 438 Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks
Severity: Error Occurrence: 1 1: Lib/Lib.cpf:11 Isolation cell IsoHH location (from) conflicts with location (to) specified in isolation rule IsoHi

October 2010

439

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO17
Message
Isolation cell location conflicts with location inferred from liberty

Default Severity
Warning

Description
The location of the isolation cell location conflicts with location specified from the Liberty library.

October 2010

440

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO18
Message
Isolation cell enable pin conflicts with enable specified in liberty

Default Severity
Error

Description
The isolation cell enable pin specified in CPF is different from the enable pin specified in the library.

October 2010

441

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO19
Message
Isolation polarity conflict in two isolation rules for a domain

Default Severity
Error

Description
Both edges of an isolation condition are used for the two isolation rules with same crossings and isolation target.

October 2010

442

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO20
Message
Isolation rule isolation target "to" is incompatible with "to" location

Default Severity
Error

Description
Isolation rule with an isolation target TO is specified with location TO.

October 2010

443

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO21
Message
Isolation rule is ignored for domain crossing

Default Severity
Warning

Description
An isolation rule is ignored for a crossing.

October 2010

444

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO22
Message
Isolation rule condition is an expression of multiple signals

Default Severity
Error

Description
Multiple signals are defined in an isolation rules isolation condition.

October 2010

445

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO23
Message
Isolation rule without condition mssing required isolation output type

Default Severity
Warning

Description
Rule applied to the crossing does not have isolation condition and the crossing does not have default isolation condition.

October 2010

446

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO24
Message
Isolation condition conflict between two rules

Default Severity
Error

Description
Two isolation conditions conflict.

October 2010

447

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO25
Message
Isolation location is not compatible for defined isolation cells

Default Severity
Warning

Description
The isolation location specified is not valid for the isolation cells defined in the library.

October 2010

448

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO26
Message
Isolation rule for type constraint is missing for a lower scope input

Default Severity
Warning

Description
A lower scope input data port does not have an isolation rule for some of its associated or loaded domains.

October 2010

449

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO27
Message
Crossing requiring isolation and level shifter is not supported by low power library cells

Default Severity
Error

Description
A crossings applied isolation rule and level shifter rule have same location. No combo cell is available and location of the applied isolation rule cannot be changed because the library does not support it.

October 2010

450

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO28
Message
Isolation rule for lower scope input does not specify isolation type

Default Severity
Warning

Description
A lower scope isolation rule for an input data port does not have -isolation_output specified

October 2010

451

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO29
Message
Isolation rule output type conflicts with tied input value

Default Severity
Warning

Description
A domain crossing with tied 0 (1b0) has an isolation rule with output type high; or, a crossing with a tied 1 (1b1) driver has an isolation rule with output type low. The isolation assertion will change the desired function of the tied logical value. For example, it will isolate an active low reset that is tied to 0 to a 1 logic value, forcing a reset through isolation. Use the report rule check CPF_ISO29 -verbose command to view information on the rule and to correct the isolation output so that it matches the tied logic value.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation.

October 2010

452

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO30
Message
Isolation rules with no enable option is not compatible when using special buffer for isolating control signals

Default Severity
Error

Description
This message is flagged when the low power option -iso_no_enable_is_control_buffer is set and the isolation rule has the -no_enable option set.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation.

October 2010

453

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO32
Message
Signals defined for isolation control come from different power domains

Default Severity
Error

Description
An isolation rule has an isolation_condition that is an expression of multiple control pins that come from different domains. This can occur when blocks with the isolation control are assigned to different domains. Use the report rule check CPF_ISO32 -verbose command to view information on the control signals and their driving domains.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation.

October 2010

454

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO33
Message
Expression arguments defined in an isolation condition are also defined as set_equivalent_control_pins group

Default Severity
Warning

Description
An isolation rule isolation_condition is an expression of multiple control pins that are defined as equivalent control pins. If the intent is that each control pin drives different groups of isolation cells, then use the equivalent control pin master in only the isolation rule. If the intent is to synthesize the expression in the rule and output drive all isolation cells, remove the set equivalent control pins definition. Use the report rule check CPF_ISO33 -verbose command to view information about the isolation rule and control pins.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation.

October 2010

455

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO34
Message
Isolation cell drives the set, reset, or clock pin of a latch or flip flop.

Default Severity
Warning

Description
This warning identifies isolation cells that drive state element control signals. Use this information to verify the type of isolation cell that is compatible with the control polarity to avoid having a false clock edge, set, or reset when isolation is asserted. Use the report rule check CPF_ISO34 -verbose command to view information on the rule and state element instances.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation.

October 2010

456

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO35
Message
Pin listed in isolation rule is not in the domain crossing

Default Severity
Warning

Description
An isolation rule specifies a pin that is not related to the driver and/or receiving domain that is specified in the -from and/or -to of the rule. CPF semantics specify that the domain specification is the first filter; therefore, in this case, the isolation rule does not apply to this pin. Check that the domain specification and pin names are correctly specified. Use the report rule check CPF_ISO35 -verbose command to view information about the isolation rule and pin names.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation.

October 2010

457

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO37
Message
Domain of the specified instances conflicts with the location defined in the isolation rule

Default Severity
Error

Description
This message is issued when an isolation rule defines a within_hierarchy <instance> and the updated isolation rule defines a -location from or to, but the instance is not part of the domain specified with location. Use the report rule check CPF_ISO37 -verbose command to view information on the rule, information on the domains of the driver, receiver, and instance, and to review the power modes for information on the nominal condition relationships.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation.

October 2010

458

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO39
Message
Isolation rule specifies insertion inside a Hard IP, external to the boundary, or library cell

Default Severity
Error

Description
Isolation cells cannot be inserted into a module that is a blackbox, library cell, or an external to the boundary. This rule message indicates that an isolation rule was updated to specify such an insertion using the -location or -within_hierarchy options. Use report rule check CPF_ISO39 -verbose to get details on the rule and the black box instance and cell name.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation.

October 2010

459

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO40
Message
Valid location of the specified isolation cell is not compatible with the domain of the specified insertion hierarchy

Default Severity
Error

Description
The updated isolation rule indicates that cells should be inserted at a particular location (through the -cell option), but the valid location of one or more cells is not compatible with the rule insertion location (based on the rule -within_hierarchy option or the update rule -location option). Use report rule check CPF_ISO40 -verbose for more information on the rule and cells that are not compatible with rule insertion location.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation

October 2010

460

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_ISO41
Message
Isolation library does not support the insertion hierarchy specified in the isolation rule

Default Severity
Error

Description
The update isolation rule has conflicting -within_hierarchy and -location options. In other words, the instance specified by -within_hierarchy is not the same as the -from or -to domain specified by -location. Use the report rule check CPF_ISO41 -verbose command to view information on the rule and location specifications and correct the conflict.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation.

October 2010

461

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF Level Shifter Cells


The following lists the Level Shifter (CPF_LS) rule checks:

CPF_LS1 on page 463 CPF_LS1a on page 464 CPF_LS2 on page 465 CPF_LS3 on page 466 CPF_LS4 on page 468 CPF_LS5 on page 469 CPF_LS7 on page 470 CPF_LS9 on page 471 CPF_LS10 on page 472 CPF_LS12 on page 473 CPF_LS13 on page 474 CPF_LS14 on page 475 CPF_LS15 on page 476 CPF_LS18 on page 477 CPF_LS19 on page 478 CPF_LS21 on page 479 CPF_LS22 on page 480 CPF_LS23 on page 481 CPF_LS24 on page 482

October 2010

462

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LS1
Message
Signal driving different voltage region has no level shifter rule

Default Severity
Error

Description
There is no level shifter rule specified on a crossing between two power domains defined in power modes as operating at different voltage levels. If the voltage levels are within desired tolerance range, use the ADD RULE FILTER Conformal Extended Checks command to remove this message from the reporting. If a level shifter is necessary, use the create_level_shifter_rule CPF command to define this crossing as needing a level shifter.

Example
In the following example, a gate with hierarchical instance name xlow/x1 in power domain lo operates on voltage 1.0V. This drives a logic gate with hierarchical instance name xhi/xl, which resides in power domain hi that operates on 1.2V. There is no CPF level shifter rule defined for this crossing. This results in the following error report:
CPF_LS1: No level shifter rule specified for power domain crossing Severity: Error Occurrence: 1 1: No level shifter rule specified for power domain crossing from xlow/x1/Y (domain lo) to xhi/x1/A (domain hi)

October 2010

463

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LS1a
Message
Tied signal driving different voltage region has no level shifter rule

Default Severity
Error

Description
A design instance pin that is tied to 1b0 or 1b1 drives a receiver in a domain that has a different power or ground voltage condition in one or more power modes; therefore, this crossing does not have a level shifter rule. Use the report rule check CPF_LS1 -verbose command to view information on the location of the tied signal and to add a level shifter rule.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation.

October 2010

464

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LS2
Message
Level shifter rule is unnecessary based on power mode definitions

Default Severity
Error

Description
A level shifter rule for a crossing between two power domains is determined to be not necessary. If the domains are always at the same voltage or are within a defined tolerance in all modes, this error is reported. Check the defined power modes and nominal conditions. There are many causes for this error: nominal condition defined with the wrong voltage power mode definitions incomplete or incorrect, or the level shifter rule is invalid. You can review the power specification and fix the cause appropriately.

Example
In the following example, in CPF, a level shifter rule named lsrule is specified as following:
create_level_shifter_rule -name lsrule -from lo -to hi

There is only one power mode defined as follows:


create_power_mode -name all -domain_conditions {lo@l hi@h } -default

However, the following CPF command shows both operating condition l and h operate at the same voltage level of 1.0.
create_nominal_condition -name l -voltage 1.0 create_nominal_condition -name h -voltage 1.0

This results in the following error report:


CPF_LS2: Level shifter rule Severity: Error 1: Data/Des.cpf:23 between domains unnecessary between power domains. Occurrence: 1 Level shifter rule lsrule is unnecessary lo to hi.

One way to fix this problem is to redefine the voltage value of nominal condition h.

October 2010

465

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LS3
Message
Level shifter rule specifies invalid cell based on defined power modes

Default Severity
Error

Description
The level shifter cell defined to be used for a power domain crossing based on create and update level shifter rules does not support the voltage conditions of the from and to domains the level shifter rule applies. Review the detailed error message for the level shifter cell, shifter voltage value, and the domain name. Make sure the cell defined by the update_level_shifter_rules CPF commands -cells option is valid for the from and to domain based on the domain operation conditions defined in create_power_mode, and check the CPF definition of voltage range for the cell is correct.

Example
In the following example, a level shifter LevLH is specified to be used between a driving domain of 1.0 volt and a receiving domain of 1.2 volts:
define_level_shifter_cell -cells LevLH \ -input_voltage_range 1.0 \ -output_voltage_range 1.2 \

Level shifter rule lsrule is specified for lo domain to hi domain crossing and update level shifter rule specifies the LevLH cell to be used for those crossings:
create_level_shifter_rule -name lsrule -from lo -to hi update_level_shifter_rules -names lsrule -cells LevLH

In the CPF the domain hi is defined to have a nominal voltage value of 1.5 volts in all power modes and this is not consistent with level shifter cell LevLH CPF definition of output voltage range (shown above). This results in the following error report:
CPF_LS3: Level shifter cell voltage does not match with what is expected in the level shifter rule Severity: Error Occurrence: 1
October 2010 466 Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks
1: Data/Des.cpf:23 Level shifter cell LevLH receiving voltage(1.2V) does not match domain his voltage in level shifter rule(lsrule).

It is not necessary to specify the -cells option. Synthesis and place-and-route tools can select the correct level shifter based on crossing voltages for automatic insertion.

October 2010

467

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LS4
Message
Level shifter cells do not exist to support voltages of crossings defined by level shifter rule

Default Severity
Error

Description
There is no level shifter cell defined in CPF spec to match the corresponding level shifter rule. Check the verbose error message for the level shifter rule, identify all the domain operating conditions for the from and to domains, and determine if it is safe to redefine level shifter definitions to support the ranges required by the design.

Example
In the following example, a level shifter rule lsrule is specified with the command:
create_level_shifter_rule -name lsrule -from lo -to hi

Based on the nominal condition and power modes, domain lo operates at 1.0 volts and power domain hi operates at 1.5 volts, specified with the following commands:
create_nominal_condition -name l -voltage 1.0 create_nominal_condition -name h -voltage 1.5 create_power_mode -name all -domain_conditions {lo@l hi@h } -default

Checking the CPF definitions of level shifters, there are level shifters defined, but none of those have an input and output voltage range defined that supports a 1.0 volt to 1.5 volt crossing. This results in the following error report:
CPF_LS4: Level shifter rule ignored because there is no matching level shifter cell Severity: Error Occurrence: 1 1: Data/Des.cpf:23 Level shifter rule lsrule ignored because there is no level shifter cell available with matching voltage.

October 2010

468

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LS5
Message
Multiple level shifter rules apply to signal crossing voltage regions

Default Severity
Error

Description
A signal with a driver in one domain and one or more receivers in another domain is the object of multiple level shifter rules. Review the level shifter rules reported in the verbose error report to see if there is a conflict based on the definition of update level shifter rule that results in different cells specified by the -cells option or different location (default location is to). If there is a conflict the user should refine the 2 rules so that all original crossings have a level shifter rule, but there is no overlap between the rules. If there is no conflict, remove the rule that is the subset of the other.

Example
In the following example, a design CPF file has a level shifter rule based on a from and to power domain, and a level shifter rule based on a specified hierarchical pin name, which is part of the crossing between the from and to domains of the first rule:
create_level_shifter_rule -name lsrule1 -from lo -to hi create_level_shifter_rule -name lsrule2 -pins xl/Y

This results in the following error report:


CPF_LS5: Multiple level shifter rules match power domain crossing Severity: Error Occurrence: 1 1: Multiple level shifter rules match power domain crossing from xl/x1/Y (domain lo) to xh/x1/A (domain hi) lsrule2 lsrule1 Level shifter rule lsrule2 overrides the others

October 2010

469

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LS7
Message
Cell specified in level shifter rule is not defined as a level shifter

Default Severity
Error

Description
The update_level_shifter_cell CPF command has a -cells option to specify cells to be used for the level shifter rule being updated. When any cell defined as argument of the -cells option is not defined as a level shifter cell with define_level_shifter_cell, this results in this error. Review the verbose error message and correct the cell name, add the define_level_shifter_cell command for that cell. Alternatively, you can remove the -cells option so that low power synthesis or place-and-route tool will automatically select valid level shifters. There is no need for you to define the cells to be used for any rule.

Example
In the following example, CPF creates and updates a level shifter rule:
create_level_shifter_rule -name lsrule -from lo -to hi update_level_shifter_rules -names lsrule -cells SC_BUF -location to

The cell SC_BUF is not defined as a level shifter cell and therefore is not valid to be specified as an argument to -cells option in update rule. This results in the following error report:
CPF_LS7: Cell specified in level shifter rule is not a level shifter cell Severity: Error Occurrence: 1 1: Data/Des.cpf:23 Cell SC_BUF specified in level shifter rule lsrule is not a level shifter cell in CPF (Lib/Lib.v:72)

October 2010

470

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LS9
Message
Level shifter rule with 'from' location has receivers in multiple voltage regions

Default Severity
Warning

Description
When a level shifter rule defines a level shifter location as from and the related from domain port fans out to multiple power domains which creates a conflict for the level shifter rule, it results in this message. Specific synthesis tools can automatically fix this by creating a new port in the from domain to unrelated to domains and bypass the level shifter. Review the verbose error message to find the level shifter rule name, source pin name, and to domain name. If the synthesis or place-and-route tool cannot automatically fix this conflict, you can manually fix it by creating an extra port to bypass the port which needs shifting, or define the location in the to domain if a valid level shifter exists. You do not have to determine validity, just comment the update level shifter rule and the Conformal Low Power software will report if a valid shifter does not exist.

Example
In the following example, CPF creates and updates a level shifter rule:
create_level_shifter_rule -name lsrule1 -from lo -to hi1 update_level_shifter_rules -names lsrule1 -location from

Source domain pin x1/x1/Y fans out into both hi1 and hi2 domain. A single level shifter cannot be inserted to satisfy both receiving domains. This results in the following error report:
CPF_LS9: Level shifter rule specifies inconsistent location Severity: Error Occurrence: 1 1: Data/Des.cpf:27 Level shifter rule lsrule1 specifies FROM location. But one of the fanouts of the source pin xl/x1/Y reaches a domain hi2 not specified in the level shifter rules TO domain list.

October 2010

471

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LS10
Message
Level shifter rule specified instance name prefix is not in level shifter instance name

Default Severity
Warning

Description
Incorrect naming style has been specified for level shifter instance.

October 2010

472

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LS12
Message
Level shifter cell enable conflicts with enable specified in liberty

Default Severity
Error

Description
Level shifter cell enable pin specified in CPF is different from the enable pin specified in the library.

October 2010

473

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LS13
Message
A level shifter rule is ignored for a crossing

Default Severity
Error

Description
When domain mapping limits nominal conditions of the from and to domains such that level shifting is not required, you do not need a level shifter rule for hierarchical power intent in the lower scope. The level shifter rule will be optimized unless you use the -non_optimization option with the WRITE POWER INTENT command.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation.

October 2010

474

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LS14
Message
Level shifter rule location changed to match what library can support

Default Severity
Warning

Description
Hierarchical power intent integration has changed the insertion location of a level shifter rule to match the location that the low power library can be support.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation.

October 2010

475

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LS15
Message
Crossing requiring isolation and level shifter is not supported by low power library cells

Default Severity
Error

Description
When a crossing is from a driver that can be switched off when the receiver is on and that can operate at a different voltage than the receiver, the crossing requires isolation and level shifting. There are no low power cells defined in the library that can be inserted to support the crossing. Use the report rule check CPF_LS15 -verbose command to view information on the domain crossing, and to review power modes. Using the power mode information, you can understand the insertion requirements by checking the nominal condition relationships of the driver and receiver domains.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation.

October 2010

476

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LS18
Message
Level shifter rule applies to pin with receivers having different voltage conditions.

Default Severity
Warning

Description
Indicates that a level shifter rule specifies a location for the source (from) domain and has multiple receiving domains and the receivers can be at different nominal conditions in one or more power modes. Implementation tools might be able to optimize the netlist to add multiple level shifters to resolve the conflict between the single source pin and multiple receivers with different voltages. If the implementation tools cannot resolve the conflict, Low Power structural checks will report errors on the implemented netlists. Use report rule check CPF_LS18 -verbose for more information on the level shifter rule and the receiving pins.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation

October 2010

477

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LS19
Message
Domain of the specified insertion hierarchy conflicts with the location defined in the level shifter rule.

Default Severity
Error

Description
An update level shifter rule specifies -within_hierarchy and the -location options, but the domain of the instance specified by the -within_hierarchy option is not the same domain as the domain defined by the -location option (from or to) and causes an implementation conflict. Use report rule check CPF_LS19 -verbose for more information on the level shifter rule and review the power intent and design domain specification to see which option of the rule is incorrect and remove it.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation

October 2010

478

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LS21
Message
Level shifter rule specifies insertion inside a Hard IP, external to the boundary, or library cell.

Default Severity
Error

Description
Level shifters cannot be inserted into an instance that is a blackbox, library cell, or a hard IP macro. This rule message indicates that a level shifter rule specifies to insert a level shifter in an instance that is a black box, a library cell, or an external to the boundary. The insertion was either specified by default or the level shifter rule was updated using the -location or -within_hierarchy options. In some cases, you will need to create a wrapper around the blackboxed instance and assign this to the domain where the level shifter would be inserted; this requires modifying the RTL netlist to enable implementation based on power intent specification. Use report rule check CPF_LS21 -verbose to get detailed information on the level shifter rule and review the specified location and reported driver and receiver objects.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation

October 2010

479

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LS22
Message
Specified insertion level shifter using with hierarchy is not compatible with both the driver and receiver domains and requires a level shifter that can be inserted in any location and there is no legal level shifter in the library to meet the requirements.

Default Severity
Error

Description
Indicates that the level shifter rule specifies a location (by default or using -location or -within_hierarchy), but the updated level shifter rule is not compatible with the driver and receiver domains and the library database does not have a shifter cell(s) with any valid location that supportsthis voltage crossing. Use report rule check CPF_LS22 -verbose for more information on the level shifter rule, specified location domain, and driver/receiver domains. Review the power modes and correct the location of the rule so that it is compatible with the driver and receiver domains in all power modes.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation

October 2010

480

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LS23
Message
Valid location of the specified level shifter cell is not compatible with the domain of the specified insertion hierarchy

Default Severity
Error

Description
The -cells option of the update_isolation_rules command specifies the level shifter cells to use when inserting the crossings for the rule. This message indicates that the valid location of a level shifter cell is not compatible with the insertion location. For example, a level shifter cell with valid location of from is specified in a level shifter rule with a default insertion location of from. This conflict cause issues during place and route and power connectivity. Use report rule check CPF_LS23 -verbose for more information on the level shifter rule, its specified location, and its level shifter cells. Use this information to review the desired location with the library and change the insertion location and to specify cells that are compatible with the specified location.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation

October 2010

481

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LS24
Message
Level shifter library does not support specified insertion hierarchy defined in the level shifter rule.

Default Severity
Error

Description
A level shifter rule specifies an insertion location that can not be supported by any cell defined as a level shifter based on the level shifter -valid_location option. Use report rule check CPF_LS24 -verbose for more information on the level shifter rule and location and to review the level shifter cells defined. If the level shifter library specification is correct, change the insertion rule to reflect a supported location.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation

October 2010

482

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF Library
The following lists the CPF Library (CPF_LIB) rule checks:

CPF_LIB1 on page 484 CPF_LIB2 on page 485 CPF_LIB3 on page 486 CPF_LIB3a on page 488 CPF_LIB4 on page 489 CPF_LIB5 on page 491 CPF_LIB6 on page 492 CPF_LIB7 on page 494 CPF_LIB8 on page 496 CPF_LIB9 on page 498 CPF_LIB10 on page 500 CPF_LIB11 on page 502 CPF_LIB12 on page 503 CPF_LIB13 on page 505 CPF_LIB14 on page 506 CPF_LIB15 on page 507 CPF_LIB16 on page 509 CPF_LIB17 on page 510 CPF_LIB18 on page 511 CPF_LIB19 on page 512 CPF_LIB20 on page 513 CPF_LIB21 on page 514 CPF_LIB21a on page 515 CPF_LIB22 on page 516

October 2010

483

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LIB1
Message
Inconsistent cell names between library views

Default Severity
Error

Description
A cell defined in CPF with the define_ command is not found in the cell library database. Check the Verilog simulation library or the Liberty library paths for completeness.

Example
In the following example, there is no is no cell named IsoLH in the library database.
set_design TopMod create_power_domain -name hi -instances { xh ih ) -boundary_ports { C D Z }

This results in the following error report:


CPF_LIB1: CPF cell is not defined in library Severity: Error Occurrence: 1 1: Lib/Lib.cpf:11 cpf cell IsoLH does not have a library view

October 2010

484

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LIB2
Message
Inconsistent cell port names between library views

Default Severity
Error

Description
The CPF definition for a cell includes a non power or ground port with a name that does not match any port in the library cell database. Look at the Verbose error which provides the file and line number for both CPF and Verilog or Library file for the cell in question and compare the port names.

Example
In the following example, if the Liberty CPF file contains:
define_isolation_cell -cells IsoLL -enable I_ module IsoLL (

There is no is no cell named IsoLH in the library database. This results in the following error report:
CPF_LIB2: Cell port mismatch for low power cell in CPF Severity: Error Occurrence: 1 1: Lib/Lib.cpf:7 cpf cell IsoLL has port I_ not defined in library view (Lib/Lib.v:22)

Note: When the cell is an isolation cell and the port mismatch is the enable, the software will also report a redundant CPF_LIB10 error for this situation.

October 2010

485

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LIB3
Message
Inconsistent cell port types between library views

Default Severity
Error

Description
A cell port can be of type power, ground, or not specified in LEF file. This error reports CPF defined ports: control, power, and ground type, that do not match the port type for that cell defined in LEF format. Look at the Verbose error which provides the file and line number for both CPF and LEF files for the cell in question and compare the CPF and LEF definition of the reported port. In addition to using LEF to define power and ground ports, you can read in the Spice library with the READ LIBRARY commands -spice and -circuit_view options, and with the proper global power and ground port definitions:
*.GLOBAL <pwr>:P <gnd>:G <pwr>:P <gnd>:G ...

This will also define power and ground ports. You can also define power and ground ports using the following command:
add cell port -module <name> -power <power nets ...> -ground <ground nets ...>

Example
In the following example, if the Liberty CPF file contains:
define_level_shifter_cell -cells LevLH -input_power_pin VL -output_power_pin VH

and the Library LEF file contains:


MACRO LevLH CLASS CORE ; PIN VL DIRECTION INOUT ; USE POWER ; END VL PIN VH DIRECTION INOUT ; END VH

October 2010

486

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks Pin VH in the LEF file for cell LevLH needs to have USE POWER to be compatible with the CPF definition. This results in the following error report:
CPF_LIB3: Cell port type mismatch for low power cell Severity: Error Occurrence: 1 1: Lib/Lib.cpf:33 cpf cell LevLH has power port VH not defined as a power port with LEF, spice, or add cell port (Lib/Lib.lef:48)

October 2010

487

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LIB3a
Message
Library view power and ground ports check is disabled

Default Severity
Warning

Description
CPF_LIB3a indicates that power/ground port checking is not performed. This occurs when the netlist style is logical or hybrid, and all cells do not have power/ground ports defined (unlike CPF_LIB3, which flags individual cells). To fix this warning, read in the LEF or Spice library, or use the ADD CELL PORT command to define power/ground ports. The tool will check the power/ground port definitions.

Example
In this dofile example, the netlist style is set to logical and power/ground port definitions are not read in:
set lowpower option -netlist_style logical //read lef file Lib/Lib.lef read library Lib/Lib.v read power intent Lib/Lib.cpf -library

This resulting verbose warning report:


CPF_LIB3a: Power and ground ports will not be checked. Severity: Warning Occurrence: 1 1: Power/ground ports are not checked for logical/hybrid netlist with no power/ground ports defined

October 2010

488

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LIB4
Message
Inconsistent cell port directions between library views

Default Severity
Error

Description
Analyzes library checks to ensure the port direction of the control signals defined in CPF for low power signals are consistent with the library model. When port directions are inconsistent, it causes several errors during low power analysis that are difficult to debug and find the real cause: a bad direction for a control signal pin or CPF definition of that signal. Check the verbose error report for the cell and port and compare the CPF definition and the Liberty or Verilog model and fix the port direction as needed.

Example
In the following example, cell LP_PSW is defined as a power switch cell with Ei as the enable control input, and Eo as the buffered output version of Ei. In the model, both Ei and Eo are defined as being input direction ports. This conflicts with the CPF definition of Eo port:
module LP_PSW (Ei, Eo, Vi, Vo, VSS); input Ei, Eo, Vi, VSS; output Vo;

Running the following command:


define_power_switch_cell -cells LP_PSW -stage_1_output Eo

results in the following error report:


CPF_LIB4: Cell port direction mismatch for low power cell not compatible with the model structure Severity: Error Occurrence: 1 1: Lib/Lib.cpf:23 CPF cell LP_PSW stage output Eo is input port in library view

October 2010

489

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks To fix this, change the model port direction as follows:
module LP_PSW (Ei, Eo, Vi, Vo, VSS); input Ei, Vi, VSS; output Eo, Vo;

October 2010

490

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LIB5
Message
Library model view of low power cell does not exist

Default Severity
Warning

Description
Complete models of all low power cells are required for complete verification. When a low power cell does not have a model function defined in the Library (Liberty or Verilog format), this error alerts you to add the model information before continuing analysis on the design.

Example
In the following example, in the Verilog Library, an isolation cell and ports are defined but there is no model or structure to define the isolation function:
module IsoLL (A, Ib, Y); input A, Ib; output Y; endmodule

This results in the following error report:


CPF_LIB5: CPF cell is black boxed in library. Severity: Error Occurrence: 1 1: Lib/Lib.cpf:7 cpf cell IsoLL is black boxed in library

October 2010

491

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LIB6
Message
Library cell does not have a power port defined

Default Severity
Error

Description
A LEF file can be used to define power and ground ports of standard cells. This is required for verification of post place-and-route netlists with power and ground net connectivity to leaf cells. When a standard or macro cell does not have one or more ports defined as power type in the LEF file, this results in an error. You can fix this by adding the power port information in the LEF file for that cell, or use the Conformal Low Powers ADD CELL PORT command to define this without modifying the LEF file.

Example
In the following example, In the LEF description of the SC_XOR cell, VDD PIN is defined but it is not specified with USE POWER:
PIN VDD DIRECTION INOUT ; END VDD

Resulting in the following error report:


CPF_LIB6: Library cell power port cannot be determined by data from LEF,add cell port or spice Severity: Error Occurrence: 1 1: Library cell SC_XOR power port cannot be determined by data from LEF

It can be fixed in the LEF in the following fashion:


PIN VDD DIRECTION INOUT ; USE POWER ; END VDD

The Conformal Low Power command to fix this is:


October 2010 492 Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks
add cell port -module SC_XOR -power VDD -ground VSS

Note: This command must identify all power and ground ports, even if they are previously defined in the LEF file.

October 2010

493

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LIB7
Message
Library cell does not have a ground port defined

Default Severity
Error

Description
A LEF file can be used to define power and ground ports of standard cells. This is required for verification of post place-and-route netlists with power and ground net connectivity to leaf cells. When a standard or macro cell does not have one or more ports defined as ground type in the LEF file, this results in an error. You can fix this by adding the ground port information in the LEF file for that cell, or use the Conformal Low Powers ADD CELL PORT command to define this without modifying the LEF file.

Example
In the following example, In the LEF description of the SC_XOR cell, VSS PIN is defined but it is not specified with USE GROUND:
PIN VSS DIRECTION INOUT ; END VSS

Resulting in the following error report:


CPF_LIB7: Library cell ground port cannot be determined by data from LEF, add cell port or spice Severity: Error Occurrence: 1 1: Library cell SC_XOR ground port cannot be determined by data from LEF

It can be fixed in the LEF in the following fashion:


PIN VSS DIRECTION INOUT ; USE POWER ; END VSS

October 2010

494

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks The Conformal Low Power command to fix this is:
add cell port -module SC_XOR -power VDD -ground VSS

Note: This command must identify all power and ground ports, even if they are previously defined in the LEF file.

October 2010

495

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LIB8
Message
Library cell is defined with multiple power ports

Default Severity
Error

Description
A LEF file can be used to define power and ground ports of standard cells. This is required for verification of post place-and-route netlists with power and ground net connectivity to leaf cells. When a cell defined in LEF has multiple ports defined with USE POWER and that cell is not defined as a low power cell, this is reported as an error. If not fixed this will impact the quality of power analysis. If the cells reported are isolation, level shifters, state retention, power switches, ground switches, always-on buffers, or clamp cells that are not defined in CPF, add those definitions correctly and this error will not be reported for those cells. If this error is issued for a cell that is defined as a macro model, this error can be ignored.

Example
In the following example, in the LEF description of HMAC cell, two power pins, AVDD and DVDD, are specified with USE POWER and this cell is not defined in CPF as a low power type library cell:
PIN AVDD DIRECTION INOUT ; USE POWER ; END AVDD PIN DVDD DIRECTION INOUT ; USE POWER ; END DVDD

This results in the following error report:


CPF_LIB8: Library cell is defined with multiple power ports by data in LEF, add cell port or spice Severity: Error Occurrence: 1
October 2010 496 Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks
1: Library cell HMAC is defined with multiple power ports by data in LEF

October 2010

497

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LIB9
Message
Library cell is defined with multiple ground ports

Default Severity
Error

Description
A LEF file can be used to define power and ground ports of standard cells. This is required for verification of post place-and-route netlists with power and ground net connectivity to leaf cells. When a cell defined in LEF has multiple ports defined with USE GROUND and that cell is not defined as a low power cell, this is reported as an error. If not fixed this will impact the quality of power analysis. If the cells reported are isolation, level shifters, state retention, power switches, ground switches, always on buffers, or clamp cells that are not defined in CPF, add those definitions correctly and this error will not be reported for those cells. If this error is issued for a cell that is defined as a macro model, this error can be ignored.

Example
In the following example, in the LEF description of HMAC cell, two ground pins, AVSS and DVSS, are specified with USE GROUND and this cell is not defined in CPF as a low power type library cell:
PIN AVSS DIRECTION INOUT ; USE POWER ; END AVSS PIN AVSS DIRECTION INOUT ; USE POWER ; END AVSS

This results in the following error report:


CPF_LIB9: Library cell is defined with multiple ground ports by data in LEF, add cell port or spice Severity: Error Occurrence: 1
October 2010 498 Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks
1: Library cell HMAC is defined with multiple ground ports by data in LEF

October 2010

499

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LIB10
Message
Dedicated isolation cell location conflict with model structure

Default Severity
Error

Description
An isolation cell model structure is compared to the CPF definition of valid location. When the isolation is defined to be in the on location and has the data input inverted or buffered, it is not a valid model for low power analysis. When the isolation is defined to be in the from location and does not have two power ports or two ground ports for an AND or OR isolation function, it is not a valid model for low power analysis. Check the model structure of the reported cell and look at the CPF definition. It might be necessary to check the SPICE circuit model to determine if the cell model structure is accurately represented.

Example
In the following example, in the CPF definition, the IsoHL cells valid location is defined to be in the ON domain. In the model, the structure is a NOR primitive with the data inverted. This means that the model has a gate (the inverter) that can be ON when its driver is OFF:
module IsoHL (A, I, Y); input A, I; output Y; nor (Y, I, ~A); endmodule

This results in the following error report:


CPF_LIB10: Isolation cells valid location conflicts with model structure; data drives logic not controlled by isolation enable. Severity: Error Occurrence: 1 1: Lib/Lib.cpf:15 Isolation cell IsoHL Data pin: A

October 2010

500

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks This cell would be valid if the circuit was an AND gate with the isolation enable inverted and modeled in Verilog or Liberty, as follows:
Y = !I && A;

October 2010

501

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LIB11
Message
Non-dedicated isolation cell location conflict with model structure

Default Severity
Error

Description
A cell defined as a non-dedicated isolation cell can be used as an isolation cell or for normal logic synthesis. That cell must have one port that only drives into a function controlled by the other port or the cell structure is not valid for low power analysis. Check the model structure and verify it is modeled accurately. If it is not, then fix the model. If it is modeled accurately, it cannot be used for a non-dedicated isolation cell.

Example
In the following example, cell IsoHH is defined as a non-dedicated isolation cell with a structure that is a NAND primitive of the inversions of both inputs:
module IsoHH (A, B, Y); input A, B; output Y; nand (Y, ~A, ~B); endmodule

This results in the following error report:


CPF_LIB11: CPF defined non-dedicated isolation cells valid location option is not compatible with the model structure Severity: Error Occurrence: 1 1: Lib/Lib.cpf:19 Isolation cell IsoHH

If the SPICE structure of this cell was an OR gate and it was modeled as that in the Liberty or Verilog model, this error would not be reported. Y = A || B; -orOR x1 (Y, A, B)

October 2010

502

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LIB12
Message
Isolation cell location, function, and power/ground port conflict

Default Severity
Error

Description
A cell that is a two-level function, such as AND or OR, and whose cell location is defined as from needs to have two power pins or two ground pins. Check the verbose error message for the cell name and verify the structure of the function is the same as the SPICE circuit. Verify the definition of the power and ground ports are correct and compare to the CPF definition. If the model structure is accurate and the power/ground port definition is correct, the valid location should be changed to on.

Example
In the following example, The cell IsoHH is defined as a dedicated isolation cell defined with valid location of from:
module IsoHH (A, Iso, Y); input A, Iso; output Y; or (Y, A, Iso); endmodule

The CPF definition defines this cell as having valid location of from and specifies only one power and ground port. This is not a valid isolation cell for the from location based on the model structure and CPF definition of power/ground ports:
define_isolation_cell -cells IsoHH -valid_location from -power VDD \ -ground VSS -enable Iso

This results in the following error report:


CPF_LIB12: CPF defined isolation cell has functional structure that is not compatible with the defined CPF options: dedicated type, valid location "from", and no swichable power or ground port. Severity: Error Occurrence: 1 1: Lib/Lib.cpf:19 Isolation cell IsoHH Data pin: A

October 2010

503

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks This can be fixed by doing one of the following:

If there are two power or two ground ports for this cell, fix the CPF to define the ports correctly. Change the -valid_location option to on.

October 2010

504

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LIB13
Message
Switch cell in to out supply path is not defined in functional model

Default Severity
Error

Description
A complete model of a power and ground switch cell can be provided with a Verilog simulation model or a Liberty model with a PG_PIN function. A complete model is essential for functional checking of a post place and route netlist and to verify switch enable polarity is defined correctly by the CPF definition. This error means there is no functional path between the input and switched power ports in the library model or the READ LIBRARY commands -extract_liberty_pg_pin option was not defined. Review the Liberty or Verilog model for the reported switch cell to ensure it is complete and correct.

Example
In the following example, if there is the following in the Library CPF file:
define_power_switch_cell -cells LP_PSW -power Vi -power_switchable Vo

and the following in the Liberty file:


cell (LP_PSW) { cell_footprint : head; pg_pin (Vo) { pg_type : internal_ground; voltage_name : VSS; }

this results in the following error report:


CPF_LIB13: Switch cells source supply port to switchable supply port path does not exist in the functional model Severity: Error Occurrence: 1 1: Lib/Lib.cpf:23 Switch cell PF_PSW Source supply port: Vi Switchable source supply port: Vo

October 2010

505

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LIB14
Message
Inconsistent switch cell enable polarity between library views

Default Severity
Error

Description
A switch cell is defined with an enable condition with a polarity different than the switch model function. This enables the input supply to the switched supply path to be ON or the polarity cannot be determined because the CPF enable is not part of the switch function. Check the model structure and compare to the CPF definition. If the model switch enable polarity is not the same as the SPICE circuit, the model should be fixed; otherwise, the CPF enable condition should be changed.

Example
In the following example, the power switch cell LP_PSW is defined with stage 1 enable being active when input Ei is active low but that conflicts with the power switch model which requires input Ei to be active high to enable the switch to be ON:
define_power_switch_cell -cells LP_PSW \ -power_switchable Vo -power Vi \ -stage_1_enable !Ei -stage_1_output Eo \ -type header module LP_PSW (Ei, Vi, Eo, Vo); input Ei, Vi; output Eo, Vo; buf (Eo, Ei); bufif1 (Vo, Vi, Ei); endmodule

This results in the following error report:


CPF_LIB14: Switch cells enable pin polarity is incorrect for the switching function in the liberty model view Severity: Error Occurrence: 1 1: Lib/Lib.cpf:23 Switch cell LP_PSW Source supply port: Vi Stage 1 enable functional expression: !Ei

October 2010

506

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LIB15
Message
Inconsistent switch cell output enable between library views

Default Severity
Error

Description
The CPF for a switch cell defines enable inputs for each switch stage, and then can optionally define inverted or buffered stage outputs to enable daisy-chaining switches. Define the CPF function of the stage output with a ! to define the output is the inversion of the input, or do not use ! if the output is a non-inverted version of the input. Note: This error can also mean that the stage output is not a direct inverted or non-inverted version of the stage enable (input). This can occur when there is no path, the cell is blackboxed, or the output is a function involving other signals. Review the verbose error message for the switch cell name, input function, output function, and compare to the library cell model. Fix the model or CPF definition, whichever is not correct based on the SPICE circuit of the switch.

Example
The power switch cell LP_PSW is defined with stage 1 output Eo being the inversion of the stage 1 enable Ei, but in the library model Eo is the same polarity as Ei:
define_power_switch_cell -cells LP_PSW \ -power_switchable Vo -power Vi \ -stage_1_enable Ei -stage_1_output !Eo \ -type header module LP_PSW (Ei, Vi, Eo, Vo); input Ei, Vi; output Eo, Vo; buf (Eo, Ei); bufif1 (Vo, Vi, Ei); endmodule

This results in the following error report:


CPF_LIB15: Switch cells stage output function conflicts with the CPF stage enable functional expression
October 2010 507 Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks
Severity: Error Occurrence: 1 1: Lib/Lib.cpf:23 Switch cell LP_PSW Stage 1 enable functional expression: Ei Stage 2 output functional expression: !Eo

October 2010

508

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LIB16
Message
Cell without functional model has power/ground ports only

Default Severity
Warning

Description
This applies to black-boxed cells with only power/ground ports. Use the report rule check CPF_LIB16 -verbose command to retrieve the name of the cell. Use this to identify cells that have power models (such as power or ground pads) or non-functional cells that must be removed the CLP database. Use the following command:
remove <cell name list> -ins_mod all > /null/dev

directly after reading in all design data. This will reduce any issues in ANALYZE POWER DOMAIN.

Applicability
This rule applies to the Conformal Low Power command analyze library -lowpower, which performs quality checks on cells in LEF or Liberty format.

October 2010

509

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LIB17
Message
Inconsistent power port definitions between library views

Default Severity
Warning

Description
Reported when a port is defined as power type in one library view (LEF or CPF) or defined with the add cell port command, but the other views do not define this port or do not define this port as a power type. Use the report rule check CPF_LIB17 -verbose to find the cell name and review the CPF, LEF, or other definitions and correct any inconsistencies.

Applicability
This rule applies to CLP command analyze library -lowpower which performs quality checks on cells in LEF or Liberty format.

October 2010

510

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LIB18
Message
Inconsistent ground port definitions between library views.

Default Severity
Warning (You can use the set rule handling command to change severity)

Description
Reported when a port is defined as ground type in one library view (LEF or CPF) or defined with add cell port command and the other views do not define this port or do not define this port as a ground type. Use the report rule check CPF_LIB18 -verbose to find the cell name and review the CPF, LEF, or other definitions and correct any inconsistencies.

Applicability
Applies to the CLP command analyze library -lowpower, which performs quality checks on cell definitions between LEF and CPF formats.

October 2010

511

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LIB19
Message
Inconsistent location and switchable power in isolation cell definition.

Default Severity
Error

Description
If an isolation cell is defined with the -power_switchable option in CPF, then the valid location must be FROM. Check the -verbose message to find out which isolation cell has a power switchable port defined and check whether its valid location is TO or ON. If the valid location is TO or ON, remove the -power_switchable option, or change the valid location to FROM. For an enabled level shifter with CPF version 1.1, the isolation cell definition is not required. Define the level shifter with enable only.

Applicability
Applies to the CLP command analyze library -lowpower, which performs quality checks on cell definitions between LEF and CPF formats.

October 2010

512

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LIB20
Message
Inconsistent location and switchable ground in isolation cell definition

Default Severity
Error

Description
If an isolation cell is defined with -ground_switchable option in CPF, then the valid location must be FROM. Check the -verbose message to find out which isolation cell has a ground switchable port defined and check whether its valid location is TO or ON. If the valid location is TO or ON, remove the -ground_switchable option, or change the valid location to FROM. For an enabled-level shifter with CPF version 1.1, the isolation cell definition is not required. Define the level shifter with enable only.

Applicability
Applies to the CLP command analyze library -lowpower, which performs quality checks on cell definitions between LEF and CPF formats.

October 2010

513

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LIB21
Message
Inconsistent switch cell data port names between library views

Default Severity
Error

Description
Reported when a data port of a switch cell defined in the library does not match any port in the corresponding switch cell definition in CPF. Refer to the -verbose message, which provides the file name and line number for both CPF and library files.

Applicability
Applies to the CLP command analyze library -lowpower, which performs quality checks on cell definitions between LEF and CPF formats.

October 2010

514

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LIB21a
Message
More data ports exist in the functional library for a switch cell with complete control pin definitions.

Default Severity
Note

Description
Reported when a data port of a switch cell defined in the library does not match any port in the corresponding switch cell definition in CPF. The switch cell must have complete control pin specifications in CPF. Specifically, check to see that the cell defines the stage_1_enable, stage_1_output, stage_2_enable, and stage_2_output signals. Refer to the -verbose message, which provides the file name and line number for both CPF and library files.

Applicability
Applies to the CLP command analyze library -lowpower, which performs quality checks on cell definitions between LEF and CPF formats.

October 2010

515

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_LIB22
Message
Inconsistent Level shifter shift direction and input/output voltage range

Default Severity
Error

Description
Reported when the direction of the shift and voltage values defined by -input_voltage_rage and -output_voltage_range pair in the CPF definition of a level shifter cell are inconsistent. Refer to the -verbose message, which provides the file name and line number for both CPF and library files.

Applicability
Applies to the CLP command analyze library -lowpower, which performs quality checks on cell definitions between LEF and CPF formats.

October 2010

516

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF Macro
The following lists the rule checks for CPF macro model information:

CPF_MACRO1 on page 518 CPF_MACRO2 on page 519 CPF_MACRO3 on page 520 CPF_MACRO4 on page 521 CPF_MACRO5 on page 522 CPF_MACRO6 on page 523 CPF_MACRO7 on page 524 CPF_MACRO8 on page 526 CPF_MACRO9 on page 527 CPF_MACRO10 on page 528 CPF_MACRO11 on page 529 CPF_MACRO12 on page 530 CPF_MACRO15 on page 531 CPF_MACRO16 on page 532 CPF_MACRO17 on page 533

October 2010

517

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_MACRO1
Message
Macro model port is defined in multiple feedthru group definitions

Default Severity
Error

Description
A macro model feedthrough group defines all ports that are connected inside macro model. It is not legal to define a port as a member of two or more feedthrough groups. Review the CPF definition of the feedthrough group for the macro model and port reported in the verbose error report and remove the port from the groups with which it is not associated.

Example
In the following example, a macro block has the following feedthrough group declarations where an input port in[1] is defined in two feedthrough groups:
set_wire_feedthrough_ports {in[1] out} set_wire_feedthrough_ports {in[1] data_out}

This results in the following error report:


CPF_MACRO1: Macro model port is defined in multiple feedthru group definitions Severity: Error Occurrence: 1 1: Data/bbox_macro.cpf:2 Port in[1] of macro model BBOX is defined in multiple feedthrough group definitions

This can be fixed with the following command:


set_wire_feedthrough_ports {in[1] out data_out}

October 2010

518

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_MACRO2
Message
Macro model output port is defined in multiple isolation rules

Default Severity
Error

Description
An isolation rule can be defined for an output port of a macro model, but only a maximum of one isolation rule for each port can be defined, unlike macro model input ports which can have multiple internal isolation functions receiving the input port. Check the verbose report for the output port, the macro model, and the isolation rules, and correct the overlap.

Example
In the following example, a macro block has the following isolation rules where an output port out is defined in isolation rule R1 and R2:
create_isolation_rule -name R1 -pins {out} -isolation_output high \ -isolation_condition iso -from PDSW create_isolation_rule -name R2 -pins {out} -isolation_output low \ -isolation_condition iso -from PDSW

This results in the following error report:


CPF_MACRO2: Macro model output port is defined in multiple isolation rules Severity: Error Occurrence: 1 1: Data/bbox_macro.cpf:2 Ouput port out of macro model BBOX is defined in multiple isolation rules ( R1 R2 )

This can be fixed by having isolation rule R2 is correctly applied to output out1:
create_isolation_rule -name R1 -pins {out} -isolation_output high \ -isolation_condition iso -from PDSW create_isolation_rule -name R2 -pins {out1} -isolation_output low \ -isolation_condition iso -from PDSW

October 2010

519

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_MACRO3
Message
Macro model port is defined as floating and with isolation rule

Default Severity
Error

Description
A macro port should not be defined as both floating and as having an internal isolation driver or receiver. If the port connects to internal circuitry, it should not be defined as a floating port. If a port does not connect to internal circuitry, it should not be defined as part of an isolation rule - it should be defined as a floating port. Review the verbose error report for the port name, the macro model name, and the isolation rule, and correct the isolation or floating port definition.

Example
In the following example, a macro block has the following isolation rule and floating port settings:
create_isolation_rule -name R1 -pins {*out} -isolation_output high \ -isolation_condition iso -from PDSW set_floating_ports {data_out}

This results in the following error report:


CPF_MACRO3: Macro model port is defined as floating and with isolation rule Severity: Error Occurrence: 1 1: Data/bbox_macro.cpf:2 Port in[1] of macro model BBOX is defined in multiple feedthrough group definitions

This can be fixed by excluding the floating port from the isolation rule with the following command:
create_isolation_rule -name R1 -pins {*out} -isolation_output high \ -isolation_condition iso -from PDSW \ -exclude {data_out}

October 2010

520

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_MACRO4
Message
A macro port defined as both floating and feedthru

Default Severity
Warning

Description
A macro port should not be defined as floating and as part of feedthrough group. The floating definition will be ignored.

Example
In the following example, port data_out is defined as floating and as a part of feedthrough group:
set_wire_feedthrough_ports {in[1] data_out} set_floating_ports {data_out}

This results in the following error report:


CPF_MACRO4: A macro port defined as both floating and feedthru Severity: Warning Occurrence: 1 1: Data/bbox_macro.cpf:23 Port data_out of macro model BBOX is defined as both floating and feedthru, the floating definition will be ignored

This can be fixed by removing the floating port definition with the following command:
set_wire_feedthrough_ports {in[1] data_out} #set_floating_ports {data_out}

October 2010

521

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_MACRO5
Message
Macro model port is defined as floating and with voltage tolerance

Default Severity
Error

Description
A macro model port can be defined as having a voltage tolerance or as a floating port (which does not have receivers) but it is not valid to define as both. Check the verbose error report for the port name and the macro model name and remove that port from either the floating port or the voltage tolerance definition.

Example
In the following example, input port in[1] is defined as floating and has voltage tolerance:
set_floating_ports {in[1]} set_input_voltage_tolerance -ports {in[1]} -bias 0.2

This results in the following error report:


CPF_MACRO5: Macro model port is defined as floating and with voltage tolerance Severity: Error Occurrence: 1 1: Data/bbox_macro.cpf:2 Port in[1] of macro model BBOX is defined as floating and with voltage tolerance

This can be fixed by removing the voltage tolerance definition with the following command:
set_wire_feedthrough_ports {in[1] out data_out} #set_input_voltage_tolerance -ports {in[1]} -bias 0.2

October 2010

522

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_MACRO6
Message
A macro port is defined as floating and as a domain port

Default Severity
Error

Description
A macro model port can be defined as floating if there are no internal receivers or drivers, or it can be defined as a boundary port of an internal domain to signify it has internal receivers or drivers, but it cannot be defined as both a floating port and a boundary port to a power domain. Review the verbose error report for port name and macro model name and remove the port from the floating port or the boundary port definition.

Example
In the following example, port data is defined as floating and as a boundary port of the PDSW domain:
create_power_domain -name PDSW -boundary_ports {data in[*]} set_floating_ports {data}

This results in the following error report:


CPF_MACRO6: A macro port defined as both floating and related to internal circuitry with -boundary_ports option Severity: Error Occurrence: 1 1: Data/bbox_macro.cpf:2 Port data of macro model BBOX is defined as both floating and re lated to internal circuitry with -boundary_ports option

This can be fixed by removing the floating port definition with the following command:
set_wire_feedthrough_ports {in[1] out data_out} #set_floating_ports {data}

October 2010

523

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_MACRO7
Message
A macro power or ground is defined as a boundary port

Default Severity
Error

Description
Macro model power and ground ports are associated to a power domain with the update_power_domain commands -primary_power_net and -primary_ground_net options. Only data ports are valid to be defined in the list for the create_power_domain commands -boundary_ports option.

Example
In the following example, power port VDD and VSW are defined as a boundary port of the related power domain:
create_power_domain -name PD1 -boundary_ports {out* iso data_out VDD} create_power_domain -name PDSW -boundary_ports {data in[*] VSW}

This results in the following error report:


CPF_MACRO7: A macro power or ground port is defined as a boundary port circuitry with -boundary_ports option Severity: Error Occurrence: 2 1: Data/bbox_macro.cpf:2 A power port VDD of macro model BBOX is defined as a boundary port 2: Data/bbox_macro.cpf:2 A power port VSW of macro model BBOX is defined as a boundary port

This can be fixed by removing the VDD and VSW ports from the list in the -boundary_ports option. Make sure to update all domains that are not internally switched with the appropriate power and ground net as with the following commands:
create_power_domain -name PD1 update_power_domain -name PD1 -boundary_ports {out* iso data_out} -primary_power_net VDD -primary_ground_net VSS create_power_domain -name PDSW -boundary_ports {data in[*]} update_power_domain -name PDSW -primary_power_net VSW -primary_ground_net VSS

October 2010

524

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks Note: for internally switched power domains, it is only necessary to define the secondary domain with create_power_domain and not define power/ground nets with the update_power_domain command.

October 2010

525

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_MACRO8
Message
A macro power or ground is not defined as power or ground net, feedthru, or floating

Default Severity
Error

Description
All power and ground macro ports must be defined in the macro model as an primary power or ground port to a power domain when it used to supply circuitry, as a feedthrough if it connects to an internal net with multiple boundary ports, or as a floating port if it does not connect to other ports or circuitry inside the macro. A feedthrough can also drive internal circuitry.

Example
In the following example, power port VDD1 is not defined as a primary power net, a feedthrough port, or a floating port. This results in the following error report:
CPF_MACRO8: A macro power or ground port is not defined as an primary power or ground net, a feedthru port or a floating port Severity: Error Occurrence: 1 1: Data/bbox_macro.cpf:2 A power port VDD1 of macro model BBOX is not defined as a primary power net, a feedthru port or a floating port

This can be fixed by declaring VDD1 as a feedthrough port if VDD1 is internally connected to the VDD port with the following command:
set_wire_feedthrough_ports {VDD VDD1}

October 2010

526

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_MACRO9
Message
A macro data port is not defined as domain port, feedthru, floating

Default Severity
Error

Description
All macro data ports must be defined in the macro model as a boundary port when it connects to internal circuitry, as a feedthrough if it connects to an internal net with multiple ports, or as a floating port if it does not connect to other ports or circuitry inside the macro. A feedthrough can also connect to internal circuitry.

Example
In the following example, output data port data_out is driven by the PD1 power domain logic but is not defined as a boundary port of this power domain:
create_power_domain -name PD1 -boundary_ports {out* iso} -default

This results in the following error report:


CPF_MACRO9: A macro data port is not defined as a boundary port, a feedthru port or a floating port Severity: Error Occurrence: 1 1: Data/bbox_macro.cpf:2 A data port data_out of macro model BBOX is not defined as a boundary port, a feedthru port or a floating port

This can be fixed by adding data_out in the boundary port list of the associated power domain with the following command:
create_power_domain -name PD1 -boundary_ports {out* iso data_out} -default

October 2010

527

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_MACRO10
Message
Switch rule defined in macro model will be ignored

Default Severity
Warning

Description
A macro model internally switched domain does not require a switch rule. An internal switched domain in a macro model requires definition of a based (secondary) domain with the create_power_domain command. This is sufficient for verification and analysis.

Example
In the following example, the macro model has an internal switched domain PDSW, and there is one switch rule SW_R1 related to PDSW domain:
create_power_domain -name PDSW -boundary_ports {data in[*]} \ -shutoff_condition {!pe}-secondary_domains {PD1} create_power_switch_rule -name SW_R1 -domain PDSW -external_power_net VDD

This results in the following report:


CPF_MACRO10: Switch rule defined in macro model definition, it will be ignored Severity: Warning Occurrence: 1 1: Data/bbox_macro.cpf:12 Switch rule SW_R1 defined in macro model BBOX definition, it will be ignored

This can be fixed by removing the SW_R1 switch rule, defined in this macro model.

October 2010

528

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_MACRO11
Message
Macro model isolation rule must specify related domain and pins

Default Severity
Error

Description
A macro model isolation rule must specify -to domain and -pins for isolation rules at input data boundary or -from domain and -pins for isolation rules at output data boundary. Review the verbose error message for the isolation rule name, macro model name, and target pin name and add the -to <receiving domain> option if the target pin is input or add the -from <driving domain> option if the target pin is an output.

Example
In the following example, a macro model has an isolation rule, R1, for output data port out:
create_isolation_rule -name R1 -pins {out} -isolation_output high \ -isolation_condition isoD

This results in the following report:


CPF_MACRO11: Macro model isolation rule does not specify target pins and internal macro model domain. Severity: Warning Occurrence: 1 1: Data/bbox_macro.cpf:2 Isolation rule R1 of macro model BBOX does not specify from domain for a target pin out

This can be fixed by adding macro internal power domain (PDSW) which drives the output port out in the isolation rule R1:
create_isolation_rule -name R1 -pins {out} -isolation_output high \ -isolation_condition iso -from PDSW

October 2010

529

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_MACRO12
Message
Illegal macro model internal domain definition of internal power or ground net, this option will be ignored

Default Severity
Warning

Description
A macro model power domain that is internally switched does not require power and ground nets to be specified. Source power and ground nets are inferred by the CPF 1.0e version -secondary_domains option or equivalent CPF 1.1 version -base_domains option. Check the verbose warning report for the power or ground net, the macro model name and the power domain name and remove the update_power_domain command in the CPF definition of that macro model for the specified power domain.

Example
In the following example, the macro model has an internal switched domain PDSW and there are power and ground nets updated to PDSW domain:
create_power_domain -name PDSW -boundary_ports {data in[*]} \ -shutoff_condition {!pe}-secondary_domains {PD1}

This results in the following report:


CPF_MACRO12: Illegal macro model internal domain definition of internal power or ground net, this option will be ignored Severity: Warning Occurrence: 2 1: Data/bbox_macro.cpf:5 power(ground) net VSW in macro model BBOX internal domain PDSW is illegal, ignored 2: Data/bbox_macro.cpf:5 power(ground) net VSS in macro model BBOX internal domain PDSW is illegal, ignored

October 2010

530

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_MACRO15
Message
An isolation rule without condition is not valid for output ports

Default Severity
Error

Description
When the macro model power intent file has an isolation rule that relates to a macro block output pin, you must define an isolation condition. For rules that relate to macro block input pins, isolation conditions are not required because they do not specify the existence of isolation, just a constraint for the type of isolation necessary due to the design inputs. Block outputs do not require a constraint. Use report rule check CPF_MACRO15 -verbose for more information on the macro model name and isolation rule name. If the isolation exists at the macro output port, add the isolation condition; otherwise, remove the rule.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation

October 2010

531

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_MACRO16
Message
Feedthrough group port types conflict

Default Severity
Error

Description
A feedthrough group must be either all power ports, ground ports, or data ports. A feedthrough group should not contain ports which are different types. The cause of this error could be an incorrect user specification of group or incorrect definition of port type, such as power port not being defined as a power port in LEF file. Review the verbose error report for the macro model name and the ports which are defined with mixed types. Correct port types, port names, or remove all ports which do not belong in the defined feedthrough group.

Example
In the following example, the macro model has a feedthrough group which contains a power port VDD and a data type port data_out:
set_wire_feedthrough_ports {VDD data_out}

This results in the following report:


CPF_MACRO16: Ports defined in feedthrough group are of mixed types Severity: Error Occurrence: 1 1: Data/bbox_macro.cpf:2 Ports of macro model BBOX defined in feedthrough group are of mixed types Power pins: VDD Data pins: data_out

October 2010

532

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_MACRO17
Message
Feedthrough group port directions conflicts

Default Severity
Error

Description
The feedthrough group pins direction must be consistent. The valid combinations are two or more inout types, or one input type and one or more output types. All other combinations create a conflict.

Example
For the following command:
set_wire_feedthrough_ports {data data1 data_out data_out1}

if the direction of pin data and pin data1 is input, and the direction of pin data_out and pin data_out1 is output, this will result in the following report.
CPF_MACRO17: Feedthrough group port direction conflicts Severity: Error Occurrence: 1 1: Port direction conflicts found for pin defined in feedthrough group Data/bbox_macro.cpf:1 Macro model BBOX1 Input: data data1 Output: data_out data_out1 Inout

October 2010

533

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF Power and Ground Nets


The following lists the Power and Ground Net (CPF_PGN) rule checks:

CPF_PGN1 on page 535 CPF_PGN2 on page 536 CPF_PGN3 on page 537 CPF_PGN4 on page 539 CPF_PGN5 on page 540 CPF_PGN11 on page 541 CPF_PGN13 on page 542

October 2010

534

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PGN1
Message
Power net is defined but not used

Default Severity
Warning

Description
A power net created by the create_power_nets command is not referenced in the CPF. All power nets should be specified as a power net for one or more power domains using the update_power_domain command. Review the verbose error report for the power net name and determine if that name is correct. Make sure all power nets are being used by one or more power domains.

Example
In the following example, the intent is that both VDD and VDD1 are to be in the same power domain. The CPF does not define VDD1 as a power domain net.
create_power_nets -nets VDD -voltage 1.0 create_power_nets -nets VDD1 -voltage 1.0 update_power_domain -name DON -primary_power_net VDD -primary_ground_net VSS

This results in the following report:


CPF_PGN1: Power net is defined but not used Severity: Warning Occurrence: 1 1: Data/top.cpf:13 Power net VDD1 is defined but not used

You can fix this with the following command:


update_power_domain -name DON -equivalent_power_nets { VDD VDD1 } \ -primary_ground_net VSS

October 2010

535

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PGN2
Message
Ground net is defined but not used

Default Severity
Warning

Description
A ground net created by the create_ground_nets command is not referenced in the CPF. All ground nets should be specified as a ground net for one or more power domains using the update_power_domain command. Review the verbose error report for the power net name and determine if that name is correct. Make sure all ground nets are being used by one or more power domains.

Example
In the following example, the intent is that both VSS and VSS1 are to be in the same power domain. The CPF does not define VSS1 as a power domain ground net.
create_power_nets -nets VSS -voltage 0.0 create_power_nets -nets VSS1 -voltage 0.0 update_power_domain -name DON -primary_power_net VDD -primary_ground_net VSS

This results in the following report:


CPF_PGN2: ground net is defined but not used Severity: Warning Occurrence: 1 1: Data/top.cpf:13 ground net VSS1 is defined but not used

You can fix this with the following command:


update_power_domain -name DON -equivalent_ground_nets { VSS VSS1 } \ -primary_power_net VDD

October 2010

536

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PGN3
Message
Power net with external shutoff is object of a power switch rule

Default Severity
Error

Description
A power net that is shut-off externally to design scope is defined with the create_power_nets commands -external_shutoff_condition option. It is not legal to define a power net as externally shutoff and as a primary_power_net of a power domain with an internal power switch rule. Review the verbose error report for the power net name. Review the CPF to find which domain this power net is associated with by the update_power_domain commands -primary_power_net or -equivalent_power_nets options. Review the CPF to fine the power switch rule defined for that power domain and determine whether the switch rule or create_power_nets commands -external_shutoff_condition option, and the create_power_domain commands -external_controlled_shutoff option should be removed.

Example
In the following example, a power net VSW is defined with an external shutoff condition and a power domain is defined as external_controlled_shutoff. It updates the VSW power net to that power domain as a primary power net and defines a switch rule for that power domain.
create_power_domain -name DSW -shutoff_condition {!pe} \ -external_controlled_shutoff create_power_nets -nets VSW -voltage 1.0 \ -external_shutoff_condition {!pe} update_power_domain -name DSW -primary_power_net VSW create_power_switch_rule -name SR1 -domain DSW

This results in the following report:


CPF_PGN3: External shutoff power net used as an internal power switchable net Severity: Error Occurrence: 1
October 2010 537 Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks
1: Data/top.cpf:13 External switchable power net VSW used as an internally switchable net

You can fix this by removing switch rule with the following commands:
create_power_domain -name DSW -shutoff_condition {!pe} \ -external_controlled_shutoff create_power_nets -nets VSW -voltage 1.0 \ -external_shutoff_condition {!pe} update_power_domain -name DSW -primary_power_net VSW

You can also fix this by removing the external shutoff from the power domain and power net with the following commands:
create_power_domain -name DSW -shutoff_condition {!pe} create_power_nets -nets VSW -voltage 1.0 update_power_domain -name DSW -primary_power_net VSW create_power_switch_rule -name SR1 -domain DSW

October 2010

538

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PGN4
Message
Power net is not connected to any leaf cell

Default Severity
Warning

Description
This rule is flagged if there is no leaf cell connected to a power net created by the create_power_nets command. Check the verbose message to find out which power net does not have leaf cells. This can occur in defining a redundant power net, or incorrectly connect the power net in the netlist that causes this power net to become floating

Example
In the following example, an internal switched power net is defined but no leaf cells is connected to this power net:
create_power_nets -nets VSW -voltage 1.0 -internal

This results in the following report:


CPF_PGN4: Power net is not connected to any leaf cell Severity: Warning Occurrence: 1 1: Data/top.cpf:13 Power net VSW is not connected to any leaf cell

You can fix this by reconnecting the instance power pin to the expected power net, as with the following:
From: block1 u_1 (.in(in), .out(nn), .VDD(VDD), .VSS(VSS)); To: block1 u_1 (.in(in), .out(nn), .VDD(VSW), .VSS(VSS));

October 2010

539

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PGN5
Message
Ground net is not connected to any leaf cell

Default Severity
Warning

Description
This rule is flagged if there is no leaf cell connected to a ground net created by the create_power_nets command. Check the verbose message to find out which ground net does not have leaf cells. This can occur in defining a redundant ground net, or incorrectly connect the ground net in the netlist that causes this ground net to become floating.

Example
In the following example, an internal switched ground net is defined but no leaf cells is connected to this ground net:
create_power_nets -nets GSW -internal

This results in the following report:


CPF_PGN5: Ground net is not connected to any leaf cell Severity: Warning Occurrence: 1 1: Data/top.cpf:13 Ground net GSW is not connected to any leaf cell

You can fix this by reconnecting the instance power pin to the expected ground net, as with the following:
From: block1 u_1 (.in(in), .out(nn), .VDD(VDD), .VSS(VSS)); To: block1 u_1 (.in(in), .out(nn), .VDD(VSW), .VSS(VSS));

October 2010

540

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PGN11
Message
Domain supply net is defined as external supply in switch rule for that domain

Default Severity
Error

Description
A switch rule must define the external power or ground net that is the source of the net. This message indicates that the net defined as the input to the switch is also defined as the domain supply it is switching, which means it is also the output pin of the switch. Use report rule check CPF_PGN11 -verbose for more information on the domain name, the supply net of the switchable domain, or the supply net defined as external power or ground in the switch rule.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation

October 2010

541

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PGN13
Message
Power/ground net not defined as internal does not connect to a primary port

Default Severity
Warning

Description
If a power/ground net is not connected to the primary port, you must declare it as internal ( -internal option). Use report rule check CPF_PGN13 -verbose for more information on the domain name, the supply net of the switchable domain, or the supply net defined as external power or ground in the switch rule.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation

October 2010

542

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF Power Domains


The following lists the Power Domain (CPF_PD) rule checks:

CPF_PD1 on page 544 CPF_PD2 on page 545 CPF_PD3 on page 546 CPF_PD4 on page 547 CPF_PD7 on page 548 CPF_PD8 on page 549 CPF_PD9 on page 551 CPF_PD10 on page 552 CPF_PD11 on page 553 CPF_PD12 on page 554 CPF_PD12a on page 555 CPF_PD13 on page 556 CPF_PD14 on page 557 CPF_PD15 on page 558

October 2010

543

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PD1
Message
Power domain does not have a primary power net specified

Default Severity
Warning

Description
All design scope non-virtual power domains must be updated with internal (primary) power net when any power net is defined in CPF. A virtual power domain is a power domain that is not specified as the default power domain, and for which no instances or boundary ports are defined. Check the verbose message to find out which power domain does not have an updated power net. To fix this problem, specify the internal (primary) power net associated to this power domain in update_power_domain command.

Example
In this example, there is a power net specified in the CPF, but no power net is updated to power domain TDH:
create_power_nets -nets VDD -voltage 1.2 create_ground_nets -nets GH -voltage 0.2 update_power_domain -name TDH -internal_ground_net GH

The resulting verbose error report:


CPF_PD1: Power domain without internal (primary) power net information Severity: Warning Occurrence: 1 1: Data/top.cpf:6 Power domain TDH's internal power net not specified.

An example fix:
update_power_domain -name TDH -internal_power_net VDD -internal_ground_net GH

October 2010

544

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PD2
Message
Switch rule is missing for switched domain

Default Severity
Error

Description
If more than one internal power net is specified for a power domain, the last one will be considered and the previous ones will be ignored.

October 2010

545

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PD3
Message
Switch rule is missing for switched domain

Default Severity
Warning

Description
With CPF 1.0 and CPF 1.0e versions, when an internal switched domain is defined, a corresponding switch rule for this internal switched domain is required for a CPF file containing physical information. Check the verbose message to find out which power domain does not have a power switch rule. This problem can be either the domain definition is incorrect and it is an externally switched domain, it should not have a shutoff condition, or the switch rule is not specified.

Example
In this example, the following CPF description defines DSW as an internal switch domain (shutoff_condition is specified), but there is no switch rule.
create_power_domain -name DSW -instances {u_1} -shutoff_condition {!pe} \ -secondary_domains DON

Resulting verbose report:


CPF_PD3: Internally switched power domain has no power switch rule. Severity: Error Occurrence: 1 1: Data/top.cpf:8 Internally switched power domain DSW has no power switch rule.

An example fix is to define a switch rule for this power domain:


create_power_switch_rule -name SR1 -domain DSW -external_power_net VDD

October 2010

546

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PD4
Message
Domain not defined as internal switched is object of a switch rule

Default Severity
Error

Description
It is not valid to have a power domain defined with a power switch rule and without a shutoff condition. Check the verbose message to find out which unswitched power domain has a switch rule and the switch rule name. Check the switch rule option for the power domain to make sure it was not a mistake. Also check to make sure if the power domain is supposed to be switchable, a shutoff condition is defined using the proper control signal in the create power domain command.

Example
The following CPF description defines DON as an unswitched domain, and there is a switch rule defined for this domain. CPF also defines DSW as a switched domain but it does not have a switch rule:
create_power_domain -name DON -default create_power_domain -name DSW -shutof_condition {!pe} ... create_power_switch_rule -name SR1 -domain DON -external_power_net VDD

This results in the following error report:


CPF_PD4: Unswitched power domain has power switch rule. Severity: Error Occurrence: 1 1: Data/top.cpf:7 Unswitched power domain DON has power switch rule SR1.

You can fix this by correcting the domain name with the corresponding internal switched domain name with the following command:
create_power_switch_rule -name SR1 -domain DSW -external_power_net VDD

October 2010

547

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PD7
Message
Instance specified in multiple power domains

Default Severity
Error

Description
The power domain definition includes the option to specify design instances as objects. It is an error to list the same instance as an object of multiple power domains. Review the verbose error message for the instance name and the power domains this instance is assigned to. The instance name must be removed from the power domains that it should not be a part of. If this instance is a macro model, use the set_instance CPF command to map macro domains to the top-level domains, and remove the specific reference to macro instances in the list of domain instances.

Example
In the following example, the instance u_1 has multiple power domains: D2 and DSW. However, only instance x1 in the instance u_1 is to be in power domain DSW, and all other instances should be in domain D2. The following CPF description specifies u_1 in D2 and DSW domains:
create_power_domain -name D2 -instances {u_1} -boundary_ports {in[*]} create_power_domain -name DSW -instances {u_1}

This results in the following error report:


CPF_PD7: Instance specified in multiple power domains. Severity: Error Occurrence: 1 1: Instance u_1 specified in multiple power domains (DSW, D2, ...)

You can fix this by specifying the sub instance x1 which belong to DSW with the following command:
create_power_domain -name DSW -instances {u_1/x1}

October 2010

548

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PD8
Message
Multiple signals defined in a domains default isolation condition

Default Severity
Error

Description
Conformal Low Power supports defining a logical expression of a single top-module port or a design block instance pin for an isolation condition:
create_isolation_rule -name <> -isolation_condition <pin_name> | !<pin_name>

Review the verbose error message for the isolation rule or domain if the isolation is from a default isolation rule and determine what the intent is:

If the intent is to have multiple isolation control signals, each controlling a unique set of isolation cells, then specify the isolation control with exactly 1 pin and use the set_equivalent_control_pins CPF command to define the master and other pins. Refer to the CPF version 1.1 and later documentation. If the intent is to synthesize the function in the expression and drive all isolation cells with a single control net, then modify the design to add a block containing that function and use the output pin of that block as the isolation control.

Example
In the following example, iso and iso1 are defined as a default isolation condition of the power domain DSW:
create_power_domain -name DSW -instances {u_1} \ -shutoff_condition {!pe} -secondary_domains DON \ -default_isolation_condition {iso || iso1}

This results in the following error report:


CPF_PD8: Multiple signals are defined in a domains default isolation condition. Severity: Error Occurrence: 1

October 2010

549

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks
1: Data/top.cpf:8 Multiple signals defined in DSWs default isolation condition

You can fix this by keeping signal iso in the -default_isolation_condition setting and use set_equivalent_control_pins approach to specify the functional equivalent signal iso1, as with the following commands:
create_power_domain -name DSW -instances {u_1} \ -shutoff_condition {!pe} -secondary_domains DON \ -default_isolation_condition {iso} set_equivalent_control_pins -domain DSW -master iso -pins iso1

October 2010

550

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PD9
Message
Power domain MOS bias net is not a defined bias or power/ground net

Default Severity
Error

Description
A net specified in update_power_domain CPF command options -nmos_bias_net or -pmos_bias_net option must be declared as a bias net using the create_bias_net CPF command. This can occur when the net specified in -noms_bias_net or -pmos_bias_net is not defined with the create_bias_net CPF command or the name is incorrect. To fix this, check the verbose error report for the bias net updated to the power domain. Declare this bias net with create_bias_net or correct the bias net name.

Example
In the following example, A poms bias net, BIAS, is specified in the update_power_domain command:
update_power_domain -name DVSW -internal_power_net VSW \ -internal_ground_net VSS \ -pmos_bias_net BIAS

But this BIAS net is not declared as a bias net with create_bias_net command, so this results in the following error report:
CPF_PD9: MOS bias in a power domain is not defined with create_bias_net Severity: Error Occurrence: 1 1: ../Data/top.cpf:19: PMOS bias net BIAS updated to power domain DVSW is not declared as a bias net

You can fix this by declaring BIAS as a bias net if BIAS net is intended to be used as a bias control signal, as with the following command:
create_bias_net -net BIAS

October 2010

551

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PD10
Message
Power intent is missing power mode definitions

Default Severity
Error

Description
The CPF must include at least one create_power_mode command. Review the CPF and add all valid power modes to define the valid configurations of the design based on unique power/ground voltage nominal conditions of domains.

Example
When no create_power_mode command is set in the CPF file, this results in the following error report:
CPF_PD10: Power modes are not defined. Severity: Error Occurrence: 1 1: Power modes are not defined.

You can fix this by adding the create_power_mode setting in the CPF file, as with the following command:
create_power_mode -name M1 -domain_conditions {DH@H DL@L} -default

October 2010

552

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PD11
Message
Power domain voltage is not specified in power modes

Default Severity
Warning

Description.
Power modes define nominal conditions of domains in all functional conditions. Any domain defined in power intent must be specified in one or more power modes; without this, power intent quality checks and analysis cannot be completed. Use report rule check CPF_PD11 -verbose for more information on the domain name and add this domain and nominal condition to the relevant power modes.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation

October 2010

553

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PD12
Message
Inconsistent nominal condition between primary domain and base domain

Default Severity
Error

Description
When a primary domain is turned ON, its nominal condition must match the nominal condition of one of the base (secondary) domains. Use the verbose message to determine which power domain is inconsistent with the list of base domains. There might be an incorrect base name setting or the power mode has an incorrect domain condition definition.

Example
In the following example, the create_power_domain defines DON as the base domain of the switched domain DSW:
create_power_domain -name DSW -shutoff_condition {!pe} -base_domains DON

with the following nominal conditions and power mode declarations:


create_nominal_condition -name on -voltage 1.0 create_nominal_condition -name off -voltage 0 create_power_mode -name M1 -domain_conditions {DON@on DSW@on} -default create_power_mode -name M2 -domain_conditions {DON@on DSW@off} create_power_mode -name M3 -domain_conditions {DON@off DSW@on}

Resulting in the following verbose report:


CPF_PD12: Inconsistent nominal condition between the primary power domain with its base domain(s) Severity: Error Occurrence: 1 1: Data/top.cpf:26 Mode: M3 Secondary domain: DON Primary domain: DSW

To fix this, you can refine power mode M3 as:


create_power_mode -name M3 -domain_conditions {DON@off DSW@off}
October 2010 554 Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PD12a
Message
Power mode voltage of switched domain is less than its base domain

Default Severity
Warning

Description
The nominal condition of an internally switched power domain must be at the same power and ground voltage as the base domain specified in the power mode that defines the domain as not switched off. This warning applies only to domains that are switchable through power or ground switches. You can ignore this warning if the domain is supplied by an internal regulator. Use report rule check CPF_PD12a -verbose for more information on the domain name and the base domain. If it is not a regulated domain, review and correct the power modes.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation

October 2010

555

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PD13
Message
Switch rule is missing for switched domain

Default Severity
Warning (logical mode) Error (physical mode)

Description
This applies to CPF version 1.1. CPF 1.1 supports virtual domains, which do not require switch rules. An internally switched domain must have a power or ground switch rule defined; this is recommended for netlists that do not have power and ground connectivity and is required before and after place and route. This warning applies only to domains that are switchable through power or ground switches. You can ignore this warning if the domain is supplied by an internal regulator. Use report rule check CPF_PD13 -verbose for more information on the domain name, to review the power intent specification, and to add switch rules as required.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation

October 2010

556

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PD14
Message
Signals defined for default isolation condition come from different power domains.

Default Severity
Error

Description
Indicates that the design pins specified the -default_isolation_condition option of the create_power_domain command are assigned to or driven by multiple domains. This creates a conflict with the power specification, since all control to a single domain control should be driven by one domain. Use report rule check CPF_PD14 -verbose for more information on the domain name, review the power intent specification. From there, determine how to modify the isolation condition or the domain assignments of the control domains so they are consistent.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation

October 2010

557

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PD15
Message
Signals defined for default retention control come from different power domains.

Default Severity
Error

Description
Indicates that the default_save_edge or default_restore_edge conditions are specified for a power domain, but the pins specified in the condition are assigned to or driven by multiple domains. This creates a conflict with power specification since all control to a single domain control should be driven by one domain. Use report rule check CPF_PD15 -verbose for more information on the domain name and to review the power intent specification. From there, determine how to modify the conditions or the domain assignments of the control domains so they are consistent.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation

October 2010

558

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF Power Shut Off


The following lists the Power Shut Off rule checks:

CPF_PSO1 on page 560 CPF_PSO1a on page 561 CPF_PSO1b on page 562 CPF_PSO3 on page 564 CPF_PSO4 on page 566 CPF_PSO5 on page 567 CPF_PSO6 on page 568

October 2010

559

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PSO1
Message
Conflict between domain shutoff and switch rule enable

Default Severity
Error

Description
The switch rule enable condition must be the opposite polarity of the shutoff condition for the corresponding power domain. The verbose message lists the power domain whose polarity setting for the shutoff condition is inconsistent with that of the associated switch rule. There is either an incorrect shutoff condition in the power domain or an incorrect enable condition in the switch rule.

Example
In this example, the following CPF description defines DSW as an internal switched domain with shutoff condition pe and a switch rule SR1:
create_power_domain -name DSW \ -instances {u_1} -shutoff_condition {pe} \ -base_domains DON create_power_switch_rule -name SR1 -domain DSW -external_power_net VDD update_power_switch_rule -name SR1 -enable_condition_1 {pe}

This resulting verbose report:


CPF_PSO1: Shutoff condition defined in the internal switched domain has logic operator conflict with enable condition defined in the associated switch rule Severity: Error Occurrence: 1 1: Data/top.cpf:8 Shutoff condition 'pe' of domain DSW has conflict with the enable condition 'pe' of switch rule SR1.

To fix this, you can refine the enable condition set in the switch rule:
update_power_switch_rule -name SR1 -enable_condition_1 {!pe}

October 2010

560

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PSO1a
Message
Conflict between domain shutoff and external supply shutoff

Default Severity
Error

Description
The shutoff condition defined for an external switched power/ground net must have the same polarity as the shutoff condition defined in the associated power domain. Check the verbose message to determine which power domain has an inconsistent shutoff polarity setting. There is either an incorrect shutoff condition setting in the power domain declaration or an incorrect shutoff condition set in the power/ground net updated to this power domain.

Example
In this example, the CPF description defines DSW as an external switched domain with a power net VSW updated to DSW power domain:
create_power_domain -name DSW -instances {u_1} -shutoff_condition {!(pe)} \ -external_controlled_shutoff -base_domains DON create_power_nets -nets VSW -external_shutoff_condition {pe} create_ground_nets -nets VSS update_power_domain -name DSW -primary_power_net VSW -primary_ground_net VSS

Resulting verbose report:


CPF_PSO1a: Shutoff condition defined in the external switched domain has logic operator conflict with shutoff condition defined in the associated power/ground net. Severity: Error Occurrence: 1 1: Data/top.cpf:8 Shutoff condition '!(/pe)' of domain DSW is not consistent with the external shutoff condition 'pe' of power net VSW.

An example fix - refine the shutoff condition setting in VSW net:


create_power_nets -nets VSW -external_shutoff_condition {!pe}

October 2010

561

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PSO1b
Message
Domain shutoff condition can be disabled without turning on all switch rule enables

Default Severity
Warning

Description
An internally switched domain is ON when all enables defined in the update_switch_rule command is ON. To ensure that the domain has full current for active mode, a power domain is not fully ON and ready active use until all switches are enabled. Use report rule check CPF_PSO1b -verbose for more information on the domain, switch rule, and the control signals involved.

Applicability
All design netlists that have complete power intent specification including power and ground definition and switch rules.

October 2010

562

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PSO2
Message
Conflict between internally switched domain and domain supply nets

Default Severity
Error

Description
Header-type, internally switched domains, must be associated with a power net (association for which is done through the -internal option of the create_power_net command). Footer-type, internally switched domains, must be associated with a ground net. Review the verbose message to determine which internally switched domain is associated with an non-internally switched power or ground net. You might have an incorrect power domain or power/ground net setting.

Example
In the following example, the CPF description defines DSW as an internally switched domain with an associated power net VSW:
create_power_domain -name DSW \ -instances {u_1} -shutoff_condition {pe} \ -base_domains DON create_power_nets -nets VSW create_ground_nets -nets VSS update_power_domain -name DSW -primary_power_net VSW -primary_ground_net VSS

Resulting verbose report:


CPF_PSO2: Internally switched power domain is not associated with an internal power/ground net Severity: Error Occurrence: 1 1: Data/top.cpf:10 Internally switched power domain DSW is associated with an unswitched power net VSW.

To fix this, declare VSW as an internal power net:


create_power_nets -nets VSW -internal

October 2010

563

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PSO3
Message
Conflict between domain shutoff and switch rule / supply shutoff

Default Severity
Error

Description
This message indicates that the shutoff condition in the domain definition is not covered by the switch rule enable settings and/or by the external shutoff condition. Check the verbose message to find out which domain has a shutoff condition signal that is not covered by its related switch rule enable setting(s) or by the shutoff setting in its primary power/ground net. For an internally switched domain, there might be an incorrect shutoff condition setting in the power domain or an incorrect enable condition setting in the switch rule. For an externally switched domain, there might be an inconsistent shutoff condition setting between the power domain and the power/ground net updated to the domain.

Example
In the following example, the CPF description defines DSW as an internally switched domain with a shutoff condition "!(pe1&&pe2)" and a switch rule SR1:
create_power_domain -name DSW -instances {u_1} \ -shutoff_condition {!(pe1&&pe2)} \ -base_domains DON create_power_switch_rule -name SR1 -domain DSW -external_power_net VDD update_power_switch_rule -name SR1 -enable_condition_1 {pe1}

Resulting verbose report:


CPF_PSO3: Domain shutoff condition is not covered by the composite of switch rules and/or external power/ground source net shutoff condition Severity: Error Occurrence: 1 1: Data/top.cpf:8 Shutoff condition '!(/pe1&&/pe2)' of domain DSW is not covered by the enable condition 'pe1' of switch rule SR1.

To fix this, you can refine the enable condition setting in the switch rule:

October 2010

564

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks
update_power_switch_rule -name SR1 -enable_condition_1 {pe1} \ -enable_condition_2 {pe2}

October 2010

565

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PSO4
Message
Conflict between domain shutoff and switch rule / supply shutoff

Default Severity
Error

Description
This rule checks that the shutoff condition defined in the CREATE POWER DOMAIN command is consistent with the shutoff condition defined by composite of all the switch rules and power/ground supply nets that apply to the domain. The verbose message identifies the domain and compares the shutoff condition with the switch rule enable settings and the external shutoff condition of any primary power or ground net.

Example
In the following example, the CPF description defines DSW as an internal switched domain and a switch rule SR1:
create_power_domain -name DSW -instances {u_1} \ -shutoff_condition {!(pe1)} \ -base_domains DON create_power_switch_rule -name SR1 -domain DSW -external_power_net VDD update_power_switch_rule -name SR1 -enable_condition_1 {pe1} \ -enable_condition_2 {pe2}

Resulting verbose report:


CPF_PSO4: Switch enable condition and/or external power/ground source net shutoff condition has signals undefined in the domain shutoff condition Severity: Error Occurrence: 1 1: Data/top.cpf:8 Switch enable condition 'pe1,pe2' of switch rule SR1 has signal(s) undefined in the domain shutoff condition '!(/pe1)' of domain DSW.

To fix this, you can refine the shutoff condition for domain DSW:
create_power_domain -name DSW -instances {u_1} \ -shutoff_condition {!(pe1&&pe2)} \ -base_domains DON

October 2010

566

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PSO5
Message
Signals defined for shutoff control come from different power domains.

Default Severity
Error

Description
A shutoff condition expression of a power domain contains control pins that are driven by different power domains. All control signals used to enable power to a domain should have one domain in common with all power control signals for that domain. Use report rule check CPF_PSO5 -verbose for more information on the control signals and their driving domains.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation

October 2010

567

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PSO6
Message
Shutoff condition expression arguments are defined as equivalent.

Default Severity
Warning

Description
When a power domain is shutoff with separate and functionally-nonequivalent control signals, like restore then enable for switches with two enables, the correct method to express this is with an expression of all enables where the domain is OFF if any signal is not active. When a power domain is shutoff with separate and functionally-equivalent control signals, like a domain with multiple switch groups each controlled by a separate enable, then that can be specified with a set equivalent control pin command. In this case, use the control pin defined as the master as the shutoff condition and take enable polarity into account and do not use the other control signals defined by the -pins option of the set equivalent control pins command. Use report rule check CPF_PSO6 -verbose to get details about the domain and control pins and determine which method is required and correct the power intent specification to be consistent.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation

October 2010

568

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF Power Switches


The following lists the Power Switch (CPF_PSW) rule checks:

CPF_PSW3 on page 570 CPF_PSW4 on page 571 CPF_PSW5 on page 572 CPF_PSW6 on page 573 CPF_PSW7 on page 574

October 2010

569

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PSW3
Message
Secondary or base domain is not specified for internal switched domain

Default Severity
Error

Description
All power domains that are switched internally by switches or by regulators must be defined with a base domain that describes the external source for that domain. Use report rule check CPF_PSW3 -verbose to get information related to the power domain. If the domain is internally switchable (has a shutoff condition, but is not defined as an externally controlled shutoff), add the base domain option.

Applicability
Behavioral models or design netlists that will have low power features added during future implementation.

October 2010

570

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PSW4
Message
Low scope block(s) have physical format and higher scope does not

Default Severity
Warning

Description
This message indicates that the design hierarchical power intent includes lower-scope blocks with physical power features such as power/ground nets and switch rules. The top scope or higher scopes do not have physical power information and physical intent in the lower scope is ignored for hierarchical integration and analysis. Use report rule check CPF_PSW4 -verbose to get details about which lower blocks physical information is ignored

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation

October 2010

571

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PSW5
Message
Switch rule is applied to externally switchable domain

Default Severity
Warning

Description
Indicates that an externally switchable domain has a switch rule defined for it; this causes a conflict. A domain is externally switchable when it is OFF in one or more power modes and does not have a shutoff condition; or, it has a shutoff condition and has an externally controlled shutoff option. Use report rule check CPF_PSW5 -verbose to get information related to the power domain and switch rule. If the domain should be internally switched, make sure the domain has a shutoff condition and is OFF in one or more power modes, and does not have an externally controlled shutoff option. If the domain is externally switched, delete all switch rules for this domain. In the case of a domain that is internally and externally switched, define a domain for the external switching and assign this as the base domain of the internally switched domain.

Applicability
Behavioral models or design netlists that will have low power features added during future implementation.

October 2010

572

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PSW6
Message
Multiple signals are defined in switch enable settings

Default Severity
Warning

Description
An update power switch rule command has an enable condition with an expression of two or more control signals. This is not supported. For a power switch with one enable; define an expression in the shutoff condition of the power domain to which it relates. For a power switch with two enables; use enable_condition_1 and enable_condition_2 options. For multiple switch groups with functionally-equivalent controls; use set equivalent control pins and do not update the switch rules. For multiple switch groups with nonequivalent controls; define domain shutoff condition as expression of the controls and create a separate switch rule and update switch rule for each control. Use report rule check CPF_PSW6 -verbose to get information on the power switch rule.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation

October 2010

573

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PSW7
Message
Input and output voltage of a switch rule not compatible

Default Severity
Error

Description
A switched power domain and the base domain must be at the same nominal condition when the switched domain is ON. The power or ground voltage across the switch is expected to be zero when the switched domain is ON. Use report rule check CPF_PSW7 -verbose to get details about the power domain and the base domain. Review both power modes to make sure they have the same nominal condition when they are ON. Note that voltage regulated power domains do not have to be at the same nominal condition as the source power domain. This is supported by CPF 2.0; in CPF 1.1, you must define a virtual base domain for the regulated power domain to have the same nominal conditions as the regulated domain (OFF and ON) and it needs to be OFF in all power modes when the real source of the regulator is OFF.

Applicability
Behavioral models or design netlists that will have low power features added during future implementation.

October 2010

574

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF Retention Cells


The following lists the Retention Cell (CPF_RET) rule checks:

CPF_RET1 on page 576 CPF_RET2 on page 577 CPF_RET3 on page 578 CPF_RET5 on page 579 CPF_RET6 on page 580 CPF_RET7 on page 581 CPF_RET8 on page 582 CPF_RET9 on page 583 CPF_RET10 on page 584 CPF_RET11 on page 585 CPF_RET12 on page 586 CPF_RET13 on page 587 CPF_RET14 on page 588 CPF_RET21 on page 589 CPF_RET22 on page 590 CPF_RET23 on page 591

October 2010

575

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_RET1
Message
No valid retention cell defined in library to support retention rule

Default Severity
Error

Description
A retention rule specifies a different save and restore edge but there is no cell in the library that has save and restore pins.

October 2010

576

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_RET2
Message
Cell specified in retention rule has only one power gating pin

Default Severity
Error

Description
A retention rule specifies a different save and restore edge but the cell specified in the retention rule has no save and restore pins.

October 2010

577

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_RET3
Message
Cell specified in retention rule does not have state retention power gating attribute

Default Severity
Note

Description
A retention rule specifies a cell that does not have state retention power gating.

October 2010

578

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_RET5
Message
Multiple retention rules match instance

Default Severity
Error

Description
There is more than one retention rule that applies to an instance or a flip-flop. The last rule takes precedence.

October 2010

579

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_RET6
Message
Instance defined in retention rule is not valid retention object

Default Severity
Note

Description
The retention rule specifies an instance or a domain that has no flip-flops.

October 2010

580

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_RET7
Message
State retention rule restore edge is not specified, the rule is ignored

Default Severity
Error

Description
The retention rule does not specify the restore_edge and the domain or the instances domain has no default_restore_edge specification.

October 2010

581

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_RET8
Message
Cell specified in retention rule conflicts with cell type definition

Default Severity
Error

Description
The cell specified in the retention rule has a cell type attribute different than the one specified in the rule.

October 2010

582

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_RET9
Message
State retention rule specifies cell type not existing in cell library

Default Severity
Error

Description
There is no cell in the library whose cell type attribute matches that specified in the retention rule.

October 2010

583

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_RET10
Message
State retention cell save and restore condition are the same

Default Severity
Error

Description
The define_state_retention_cell command specifies the same function for both save and restore.

October 2010

584

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_RET11
Message
State retention rule save and restore condition are the same

Default Severity
Error

Description
The state retention rule specifies the same edge for both save and restore.

October 2010

585

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_RET12
Message
State retention cell not defined through define_state_retention_cell

Default Severity
Warning

Description
A state retention cell specified in a rule is not defined through a define_state_retention_cell command.

October 2010

586

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_RET13
Message
State retention rule specifies cell without save and restore

Default Severity
Error

Description
The cell specified in define_state_retention_cell does not have a save and restore function.

October 2010

587

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_RET14
Message
State retention rule specified cell with single retention control pin

Default Severity
Error

Description
The cell specified in define_state_retention_cell has only one power gating pin.

October 2010

588

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_RET21
Message
State retention rule ignored due to domain being reconfigured

Default Severity
Warning

Description
When a switchable domain from a lower scope power intent is mapped to a non-switchable domain, all low power features such as state retention will no longer apply to that lower scope. Use report rule check CPF_RET21 verbose to get the retention rule and review the hierarchical power intent and domain mapping related to the power domain of that retention rule and verify the change in domain configuration is expected.

Applicability
Behavioral models or design netlists that will have low power features added during future implementation.

October 2010

589

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_RET22
Message
Signals defined for retention control come from different power domains.

Default Severity
Error

Description
This message indicates that a retention rule control (restore_edge, save_edge, restore_level or save_level) an expression of multiple control pins that come from different domains. This can occur when blocks with the retention control are assigned to different domains; retention controls should come from a single controlling domain. Use report rule check CPF_RET22 -verbose for more information on the control signals and their driving domains.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation

October 2010

590

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_RET23
Message
Expression arguments defined in retention condition are also defined as set_equivalent_control_pins group.

Default Severity
Warning

Description
This message indicates that a retention rule control (restore_edge, save_edge, restore_level or save_level) is an expression of multiple control pins that are defined as equivalent control pins. If each pin is for a different group of retention cells, then use the equivalent control pin master in only the retention rule. If the expression will be synthesized and a single output drive all cells, remove the set equivalent control pins definition. Use report rule check CPF_RET23 -verbose to get details about the isolation rule and control pins.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation

October 2010

591

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF Power Mode Rule

CPF_PM1 on page 593

October 2010

592

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_PM1
Message
Power modes are identical

Default Severity
Warning

Description
Indicates that two or more power modes have the same domain conditions and group mode setting. Use report rule check CPF_PM1 -verbose to get details about which power modes are identical.

Applicability
Behavioral models or design netlists which will have low power features added during future implementation

October 2010

593

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF Gen Rules


CPF_GEN1 on page 595 CPF_GEN2 on page 596

October 2010

594

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_GEN1
Message
Pin specified as the master of equivalent group is not the single control for the default isolation condition or shutoff condition

Default Severity
Error

Description
The master control pin applied for domain needs must be part of the definition of a shutoff condition, isolation condition, or state retention condition. The referred condition can contain only the master control pin in its expression. Use the -verbose message to check whether the master pin is defined in the equivalent group, but not set as a shutoff condition, isolation condition, or state retention condition for the applied domain.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation.

October 2010

595

Product Version 10.1

Encounter Conformal Low Power Extended Checking Reference Manual Common Power Format Rule Checks

CPF_GEN2
Message
Signal specified as an isolation rule equivalent group master is not in rules isolation condition

Default Severity
Error

Description
The master control pin applied for an isolation rule must be part of the definition of a isolation condition. The referred condition can contain only the master control pin in its expression. Use the -verbose message to see which master pin is defined in the equivalent group but not set as an isolation condition for the applied rule.

Applicability
Applies to behavioral models or design netlists that will have low power features added during future implementation.

October 2010

596

Product Version 10.1

Vous aimerez peut-être aussi