Vous êtes sur la page 1sur 72

FACULTY OF ENGINEERING

ERODE BUILDER EDUCATIONAL TRUSTS GROUP OF INSTITUTIONS

DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING

ELECTRIC DRIVES AND CONTROL LABORATORY LAB MANUAL

PREPARED BY APPROVED BY

VERIFIED BY

ELECTRIC DRIVES AND CONTROL LABORATORY


LIST OF EXPERIMENTS

CYCLE 1 1. Simulation of closed loop control of converter fed DC motor 2. Simulation of closed loop control of chopper fed DC motor 3. Simulation of VSI fed 3-phase induction motor 4. Simulation of 3-phase synchronous motor drive 5. Speed control of DC motor using 3-phase rectifier 6. Speed control of 3-phase induction motor using PWM inverter CYCLE 2 7. DSP based closed loop drive for induction motor 8. Induction motor speed control using FPGA 9. Speed control of Brushless DC motor 10. 11. 12. DSP based chopper fed DC motor drive Switched reluctance motor drive using DSP PLC based drives

CONTENTS

Sl.N o 1. 2. 3.

Date

Name of the Experiments Simulation of closed loop control of converter fed DC motor Simulation of closed loop control of chopper fed DC motor Simulation of VSI fed 3-phase induction motor Simulation of 3-phase synchronous motor drive Speed control of DC motor using 3-phase rectifier Speed control of 3-phase induction motor using PWM inverter DSP based closed loop drive for induction motor Induction motor speed control using FPGA Speed control of Brushless DC motor DSP based chopper fed DC motor drive Switched reluctance motor drive using DSP PLC based drives

Page No

Marks Award ed

Faculty Sign CIRCUIT DIAGRAM: CLOSED LOOP CONTROL OF CONVERTER FED DC MOTOR

4.

5.

6.

7.

8.

9.

10.

11.

12.

EX.No:1 DATE: Aim:

CLOSED LOOP CONTROL OF CONVERTER FED DC MOTOR To simulate the closed loop controls of converter fed DC motor

and to study its characteristics using MATLAB/SIMULINK. Requirements: MATLAB Procedure: Steps to create modeling by using MATLAB/SIMULINK: 1. Click on File NewModel. 2. On resulting window click on library Browser, a simulink library browser will appear. 3. Make/Model the circuit by placing all its Blocks from its corresponding Library/toolbox,. Right click on the block to rotate mirror etc. to organize the circuit elements. 4. To change the circuit parameters applicable to the block by double clicking on the block/element and type the values. Keep the values default for some blocks like thyristor, diodes, mosfet etc. 5. To measure/observe the voltage across or current passes through the electrical block/device, connect voltage measurement or current measurement blocks respectively with the electrical block, it is available on the library Simpower System/measurement. 6. To observe the waveform in figure window, scope block is connected with voltage measurement and current measurement blocks. This scope block is available by click on Library browserSimulink/sourcescope. 7. Before simulating the circuit, ensure that all the blocks are connected properly. The most common error encountered is floating node. This usually means that there is some problem in interconnects. 8. After correcting all floating node errors start by creating a simulation.

9. Click on simulationconfiguration parameters and make sure that solver option is ode15s (stiff/NDF), it is essential when circuit contains power system or power electronics tools. And the stop time value should be 1/50 for 50Hz or 1/60 for 60Hz supply frequency for one cycle. For n number of cycles, stop time would be n*(1/50) for 50Hz supply, where n=1, 2, 3.

OUTPUT:

10. To run the simulation, select simulation start. 11. 12. If any errors are reported here. Correct schematic or the To view simulation plots on simulation window, double click simulation settings and re-run simulation. the scope in the schematic. The scope block corresponding to voltage measurement and current measurement blocks gives voltage and current waveforms respectively with respect to time. 13. The waveforms obtained. Here the input voltage and gate pulse waveforms are same for all type of load, so these two waveforms are shown in shown in waveform 1 alone, not in later waveforms. So please understand that these two waveforms are common for all loads. Note: In this Experiment, all the waveforms shown here are observed using To Workspace Block from Simulink / sinks library.

MARKS SPLIT UP Particulars Aim & Circuit Diagram Execution of Practical Calculation, Graph & Result Viva voce Total Max.Marks 10 20 10 10 50 Marks Obtained

RESULT: Thus the closed loop control of converter fed DC motor was simulated and its characteristics waveform were observed using MATLAB/SIMULINK.

CIRCUIT DIAGRAM

EX.No:02 DATE:

CLOSED LOOP CONTROL OF CHOPPER FED DC MOTOR

Aim: To simulate the closed loop controls of chopper fed DC motor and to study its characteristics using MATLAB/SIMULINK. Requirements: MATLAB Procedure: Steps to create modeling by using MATLAB/SIMULINK: 1. Click on File NewModel. 2. On resulting window click on library Browser, a Simulink library browser will appear. 3. Make/Model the circuit by placing all its Blocks from its corresponding Library/toolbox,. Right click on the block to rotate mirror etc. to organize the circuit elements. 4. To change the circuit parameters applicable to the block by double clicking on the block/element and type the values. Keep the values default for some blocks like thyristor, diodes, mosfet etc. 5. To measure/observe the voltage across or current passes through the electrical block/device, connect voltage measurement or current measurement blocks respectively with the electrical block, it is available on the library Simpower System/measurement. 6. To observe the waveform in figure window, scope block is connected with voltage measurement and current measurement blocks. This scope block is available by click on Library browsersimulink/sourcescope. 7. Before simulating the circuit, ensure that all the blocks are connected properly. The most common error encountered is floating node. This usually means that there is some problem in interconnects. 8. After correcting all floating node errors start by creating a simulation. Click on simulationconfiguration parameters and make sure that solver option is ode15s (stiff/NDF), it is essential when circuit contains

power system or power electronics tools. And the stop time value should be 1/50 for 50Hz or 1/60 for 60Hz supply frequency for one cycle. For n number of cycles, stop time would be n*(1/50) for 50Hz supply, where n=1, 2, 3. 9. To run the simulation, select simulation start. 10. If any errors are reported here. Correct schematic or the

simulation settings and re-run simulation.

11.

To view simulation plots on simulation window, double click the

scope in the schematic. The scope block corresponding to voltage measurement and current measurement blocks gives voltage and current waveforms respectively with respect to time. 12. The waveforms obtained.Here the input voltage and gate pulse waveforms are same for all type of load, so these two waveforms are shown in shown in waveform 1 alone, not in later waveforms. So please understand that these two waveforms are common for all loads. Note: In this Experiment, all the waveforms shown here are observed using To Workspace Block from Simulink / sinks library. BLOCK DIAGRAM:

BLOCK DIAGRAM

MATLAB CIRCUIT DIAGRAM

OUTPUT:

MARKS SPLIT UP Particulars Aim & Circuit Diagram Execution of Practical Calculation, Graph & Result Viva voce Total Max.Marks 10 20 10 10 50 Marks Obtained

RESULT: Thus simulated the closed loop control of chopper fed DC motor and its characteristic waveforms were observed using MATLAB/SIMULINK.

CIRCUIT DIAGRAM: VSI FED THREE PHASE INDUCTION MOTOR

EX.No:03 DATE: Aim:

VSI FED THREE PHASE INDUCTION MOTOR

To simulate the VSI fed 3phase induction motor and to observe its waveforms with motor load by using MATLAB/SIMULINK. Requirements: MATLAB Procedure: Steps to create modeling by using MATLAB/SIMULINK: 1. Click on File NewModel. 2. On resulting window click on library Browser, a simulink library browser will appear. 3. Make/Model the circuit by placing all its Blocks from its corresponding Library/toolbox,. Right click on the block to rotate mirror etc. to organize the circuit elements. 4. To change the circuit parameters applicable to the block by double clicking on the block/element and type the values. Keep the values default for some blocks like thyristor, diodes, mosfet etc. 5. To measure/observe the voltage across or current passes through the electrical block/device, connect voltage measurement or current measurement blocks respectively with the electrical block, it is available on the library Simpower System/measurement. 6. To observe the waveform in figure window, scope block is connected with voltage measurement and current measurement blocks. This scope block is available by click on Library browsersimulink/sourcescope. 7. Before simulating the circuit, ensure that all the blocks are connected properly. The most common error encountered is floating node. This usually means that there is some problem in interconnects. 8. After correcting all floating node errors start by creating a simulation.

Click on simulationconfiguration parameters and make sure that solver option is ode15s (stiff/NDF), it is essential when circuit contains power system or power electronics tools. And the stop time value should be 1/50 for 50Hz or 1/60 for 60Hz supply frequency for one cycle. For n number of cycles, stop time would be n*(1/50) for 50Hz supply, where n=1, 2, 3. 9. To run the simulation, select simulation start. 10. 11. If any errors are reported here. Correct schematic or the To view simulation plots on simulation window, double click simulation settings and re-run simulation. the scope in the schematic. The scope block corresponding to voltage measurement and current measurement blocks gives voltage and current waveforms respectively with respect to time. 12. The waveforms obtained. Here the input voltage and gate pulse waveforms are same for all type of load, so these two waveforms are shown in shown in waveform 1 alone, not in later waveforms. So please understand that these two waveforms are common for all loads. Note: In this Experiment, all the waveforms shown here are observed using To Workspace Block from Simulink / sinks library.

OUTPUT

MARKS SPLIT UP Particulars Aim & Circuit Diagram Execution of Practical Calculation, Graph & Result Viva voce Total Max.Marks 10 20 10 10 50 Marks Obtained

RESULT: Thus simulated the VSI fed 3 phase induction motor and its waveforms with motor load were observed by using MATLAB/SIMULINK.

CIRCUIT DIAGRAM: VSI FED THREE PHASE SYNCHRONOUS MOTOR

EX.No:04 DATE: Aim:

THREE PHASE SYNCHRONOUS MOTOR DRIVE

To simulate the VSI fed 3phase synchronous motor drive and to observe its waveforms with motor load by using MATLAB/SIMULINK. Requirements: MATLAB Procedure: Steps to create modeling by using MATLAB/SIMULINK: 1. Click on File NewModel. 2. On resulting window click on library Browser, a simulink library browser will appear. 3. Make/Model the circuit by placing all its Blocks from its corresponding Library/toolbox,. Right click on the block to rotate mirror etc. to organize the circuit elements. 4. To change the circuit parameters applicable to the block by double clicking on the block/element and type the values. Keep the values default for some blocks like thyristor, diodes, mosfet etc. 5. To measure/observe the voltage across or current passes through the electrical block/device, connect voltage measurement or current measurement blocks respectively with the electrical block, it is available on the library Simpower System/measurement. 6. To observe the waveform in figure window, scope block is connected with voltage measurement and current measurement blocks. This scope block is available by click on Library browsersimulink/sourcescope. 7. Before simulating the circuit, ensure that all the blocks are connected properly. The most common error encountered is floating node. This usually means that there is some problem in interconnects.

8. After correcting all floating node errors start by creating a simulation. Click on simulationconfiguration parameters and make sure that solver option is ode15s (stiff/NDF), it is essential when circuit contains power system or power electronics tools. And the stop time value should be 1/50 for 50Hz or 1/60 for 60Hz supply frequency for one cycle. For n number of cycles, stop time would be n*(1/50) for 50Hz supply, where n=1, 2, 3. 9. To run the simulation, select simulation start. 10. 11. click If any errors are reported here. Correct schematic or the To view simulation plots on simulation window, double the scope to in the schematic. The scope and block current voltage measurement simulation settings and re-run simulation.

corresponding

measurement blocks gives voltage and current waveforms respectively with respect to time. 12. The waveforms obtained. Here the input voltage and gate pulse waveforms are same for all type of load, so these two waveforms are shown in shown in waveform 1 alone, not in later waveforms. So please understand that these two waveforms are common for all loads. Note: In this Experiment, all the waveforms shown here are observed using To Workspace Block from Simulink / sinks library.

OUTPUT:

MARKS SPLIT UP Particulars Aim & Circuit Diagram Execution of Practical Calculation, Graph & Result Viva voce Total Max.Marks 10 20 10 10 50 Marks Obtained

RESULT: Thus simulated the VSI fed 3phase synchronous motor drive and observed its waveforms with motor load by using MATLAB/SIMULINK.

SPEED CONTROL OF INDUCTION MOTOR USING FPGA

EX.No: 05 DATE: AIM:

SPEED CONTROL OF INDUCTION MOTOR USING FPGA

To obtain the speed control of open and closed loop speed control of three phase induction motor using FPGA. APPARATUS REQUIRED: S.N o 1 2 3 4 5 NAME OF THE APPARATUS QUANTITY dsPIC 4011. IGBT device module Induction motor Proximity sensor. Patch cards. 1 1 1 1 Required

CONNECTION PROCEDURE: 1. Connect an AC input supply to variac and variac output to Isolation transformer primary input terminals (0-230V). 2. Connect Isolation transformer secondary terminal 110V to smart power module input terminal P and another 110V terminal to smart power module input terminal N. 3. Connect smart power module output terminal R,Y,B to3 phase AC Motor terminal r, y, b. 4. Connect motor speed feedback to proximity sensor card (speed feedback terminal). 5. Connect DSPIC Micro 4011 trainer kit to proximity sensor card by using 34 pin FRC cable. 6. Connect PIC Kit 2 down loader to system by using USB port. 7. Connect PIC kit 2 down loader set up box to dsPIC Micro 4011 Trainer kit EXPERIMENTAL PROCEDURE: 1. Verify the connections are made as per the connection procedure.

2. Switch on the smart power module and dsPIC Micro - 4011 Trainer kit. 3. Select and down loading the program for (V/F control) speed control of 3 phase AC motor using PIC kit 2 downloader. 4. Now smart power module reset LED is glow. Press the smart power module reset button and then press dsPIC Micro - 4011 reset button. Now both the kits are ready to run the 3 phase AC motor. 5. Switch ON the MCB, and then variac. SPEED CONTROL OF INDUCTION MOTOR USING FPGA

6. Increase the supply voltage up to 230V by using variac. 7. Now the LCD displays the following one by one with a delay of few seconds. Manufacturer name 1. Open loop 2. Closed loop 8. Then select the open loop using increment key Open loop

TABULATION: S.N o Duty cycle Voltage in Volts Set Speed in r.p.m. Actual Speed in r.p.m.

RESULT: Thus the operation of open loop and closed loop speed control of 3 phase induction motor using FPGA was done and output was verified and graph was drawn. Connection diagram (DSP based speed control of BLDC motor)

EX.No: 06 DATE: AIM:

SPEED CONTROL OF BLDC MOTOR

To study the operation of Open loop and Closed loop speed control of BLDC motor using Power module and DSP Micro-2407 trainer kit. Apparatus Required: S.no 1. 2. 3. 4. 5. 6. 7. 8. Apparatus name Smart Power Module DSP Micro-2407 Trainer Kit Isolation Transformer (230V/110-0110V) Hall sensor Box BLDC motor Cables PC Variac Quantity 1 No 1 No 1 No 1 No 1 No as required 1 Set 1 No

Connection Procedure: 1. Connect an AC input supply to variac and variac output to Isolation transformer primary input terminals (0-230V) 2. Connect Isolation transformer secondary terminal 110V to smart power module input terminal P and another 110V terminal to smart power module input terminal N. 3. Connect smart power module output terminal R, Y and B to BLDC motor R, Y and B terminals respectively. 4. Connect motor speed feed back to hall sensor card (speed feed back terminal). 5. Connect DSP Micro-2407 trainer kit to hall sensor card by using 34 pin FRC cable. 6. Connect DSP Micro-2407 trainer kit to system by using USB port. 7. Connect smart power module to hall sensor using 34 pin FRC cable.

DSP BASED SPEED CONTROL OF BRUSH LESS DC MOTOR

Experimental Procedure: Open loop speed control:

1. Verify the connections as per the connection procedure. 2. Switch on the smart power module and DSP Micro-2407 Trainer Kit. 3. Switch ON the MCB, and then variac. 4. Increase the supply voltage upto 230V by using variac. 5. Now smart power module reset LED is glow. Press the smart power module reset button. The kit is ready to run the BLDC motor. 6. Connect DSP Micro-2407 to PC using RS232 cable. 7. SPDT switch is down position (3) in DSP Micro-2407 trainer kit. 8. Click drive 2407 in system desktop. 9. After few second displays will be appear. Click comport and select COM1 option and observe the following display will appear (RUN, Open loop, Forward options are default enable. 10. Press reset button in Micro-2407 trainer kit. Now down load key is enable in this sysem. 11. After downloading the execute option is enable. Click option. 12. Motor will RUN at given set speed. execute

13. Click reverse direction to run motor in reverse direction. Click break option the motor will be stop then Exit option is enable. 14. Click RUN option the motor will be run.

Experimental Procedure: Closed loop speed control

1. Verify the connections as per the connection procedure. 2. Switch on the smart power module and DSP Micro-2407 Trainer Kit. 3. Switch ON the MCB, and then variac. 4. Increase the supply voltage upto 230V by using variac. 5. Now smart power module reset LED is glow. Press the smart power module reset button. The kit is ready to run the BLDC motor. 6. Connect DSP Micro-2407 to PC using RS232 cable. 7. SPDT switch is down position (3) in DSP Micro-2407 trainer kit. 8. Click drive 2407 in system desktop. 9. After few second displays will be appear. Click comport and select COM1 option and observe the following display will appear (RUN, Closed loop, Forward options are default enable. 10. Press reset button in Micro-2407 trainer kit. Now down load

key is enable in this system. 11. After downloading the execute option is enable. Then Click

execute option. 12. Motor will RUN at given set speed.

13.

Click reverse direction to run motor in reverse direction.

Click break option the motor will be stop then Exit option is enable. 14. Click RUN option the motor will be run.

Tabulation for Open loop control of BLDC motor: Reverse Mode: S.N o 1. 2. 3. 4. 5. Duty cycle Voltage in V Set Speed in RPM Actual Speed in RPM

Tabulation for Closed loop control of BLDC motor: Forward Mode: S.N o 1. 2. 3. 4. 5. Duty cycle Voltage in V Set Speed in RPM Actual Speed in RPM

MARKS SPLIT UP Particulars Aim & Circuit Diagram Execution of Practical Calculation, Graph & Result Viva voce Total Max.Marks 10 20 10 10 50 Marks Obtained

Result: Thus the open loop and closed loop speed control of BLDC motor using power module and DSP kit was done and output was verified and graph was drawn.

SWITCHED RELUCTANCE MOTOR DRIVE USING DSP

EX. No: 07 DATE:

SWITCHED RELUCTANCE MOTOR DRIVE USING DSP

Aim: To study the open and closed loop speed control of SRM by using Micro-2407 and SRM Power Module. Apparatus Required: S.no 1. 2. 3. 4. 5. 6. 7. Apparatus name SRM Power Module DSP Micro-2407 Trainer Kit Isolation Transformer (230V/110-0110V) Hall sensor Box Cables PC Variac Quantity 1 No 1 No 1 No 1 No as required 1 Set 1 No

Connection Procedure: 1. Connect the 34 Pin cable from the Micro-2407 to SRM power module. 2. Connect the 26 Pin cable from the Micro-2407 to SRM power module 3. Connect the feedback cable between motor and the SRM power Module. 4. Connect the serial port from the PC to 9-Pin termination of the DSP trainer. 5. Connect the motor terminals A1,A2,B1,B2 to the A1,A2,B1,B2 terminals in SRM Power Module Experimental Procedure: 1. Verify the connections as per the connection procedure. 2. Switch on the DSP Micro-2407 Trainer Kit. 3. Switch ON the SRM Power Module.

4. Check whether shut down LED SD glows or not. If SD LED glows, press the Reset switch, the LED gets OFF. 5. Download the program to the Micro-2407 kit by following the downloading procedure.

Block Diagram:

Experimental Procedure: OPEN Loop Control

1. Verify the PWM waveform which is terminated in the SRM power Module.

2. After ensuring all the connection, apply the input voltage to the SRM power module (DC rail voltage (300V), which is shown in the power module voltmeter). 3. Now the motor starts to rotate in the set speed. 4. By using the switches increment (S3) and decrement (S2) set the speed of the motor. 5. The actual speed of the motor will be displayed in the LCD and PC. 6. To measure the load current of the motor, externally connect one AC ammeter in series with any one phase.

Experimental Procedure: Closed Loop Control

1. Verify the PWM waveform which is terminated in the SRM power Module. 2. After ensuring all the connection, apply the input voltage to the SRM power module (DC rail voltage (300V), which is shown in the power module voltmeter). 3. Now the motor starts to rotate in the set speed. 4. By using the switches increment (S3) and decrement (S2) set the speed of the motor. 5. The actual speed of the motor will be displayed in the LCD and PC. 6. Now apply the load to the motor at rated current rating and analyze the performance of the closed loop control. 7. The actual speed of the motor retains same as set speed after applying the load in close loop condition.

8. To measure the load current of the motor, externally connect one AC ammeter in series with any one phase.

Tabulation for Closed loop control of Switched Reluctance Motor: S.N o 1. Rectifier Output Voltage in V Calculated Output Voltage in V Set RPM Speed in Actual Speed in RPM

2.

3.

4.

5.

6.

Tabulation for Open loop control of Switched Reluctance Motor: S.N Rectifier Calculated Set Speed in Actual Speed in

o 1.

Output Voltage in V

Output Voltage in V

RPM

RPM

2.

3.

4.

5.

6.

Precautions: To avail the features provided with the trainer, you are advised to confirm certain basic norms and are requested to abstain from the following. 1. Dont connect the CRO probe at the output terminal of the IPM without isolation. 2. If you wants to see the high voltage waveform using CRO, please connect one isolation transformer between auto transformer and SRM module otherwise remove the earth terminal of the CRO power card.

3. If the protection circuit LED in the IPM is glow during the operation, user must reset the trainer kit first and then reset the power module. 4. Please do not insert any add-on card while the trainer is power ON. 5. Please do not tamper with any of the components in the trainer. 6. Please do not solder any wire from connectors when the power is ON. 7. Wires are to be soldered only from the solder side of the board in unavoidable conditions. 8. The headers should be used only with cables and not with wires soldered from the pins. 9. Dont attempt to service the trainer yourself in case of problems. 10. Dont insert or remove any ICs while power is ON.

Result: Thus the open and closed loop speed control of SRM by using Micro-2407 and SRM Power Module was done and output was verified .

EX. No:08 DATE: Aim:

PLC BASED DRIVES

To determine the Variable frequency drive based 3 induction motor operation by using PLC. Parameters description: 1. Minimum set speed (Hz) - 0 Hz. 2. Maximum set speed (Hz) - 50 Hz. 3. Acceleration rate (s/100Hz) - 5.0 (s/100Hz). 4 .Deceleration rate (s/100Hz) - 10.0 (s/100Hz). 5. Drive configuration - AI.AV. 6 .Motor rated (A) - 2.20 A. 7. Motor rated (RPM) - 1500 rpm. 8. Motor rated (V) - 230v. 9 .Motor rated (cos ) - 0.85. 10. Parameter access - L2. 11. Start/Stop logic select 0 Theory: The important components are, PLC A Variable Frequency Drive (VFD) 3-PHASE AC INDUCTION MOTOR

In PLC based Variable Frequency Drive is widely used for controlling the AC motors in both conditions of with and without loads. PLC software installed in computer by using this software we need configure and communicate the PLC by using Ethernet Communication. Programs can be created in this PLC software and it stored to PLC, based on this it produce output voltage for controlling the VF drive. Programmable Logic Controller (PLC) having the Digital Inputs and Digital Outputs with operating voltage 24 V DC. It also has the features of Analog Input and Analog Output channels. In this Analog Input channel can read the voltage (010) V DC. In Analog Output channel can be able to produce the output both voltage and current. The voltage range from (0-10) V DC and then current varies from (0-20) mA or (4-20) mA. Variable Frequency Drives (VFD) is mainly used to control ac motors, the speed of the motor is controlled by varying the frequency. The input supply to VF drive is 1, 230 V AC and it converts that supply voltage then produced output supply 3, 440 V AC for operating the 3 AC Induction motor.

VF drive having some of the features like inbuilt supply voltage (0-10)V DC for Analog variable speed and 24 V DC output voltages. In this 24 V DC is used to enable the drive to run mode or stop mode and it also used enable the drive for the motor operation in Forward and Reverse direction. VFD consists of 5 keys like Mode, Up, Down, Start and Stop/Reset keys. The Mode key used for select the parameters, Up key used for increase the parameters values; Down key used for decrease the parameters values and Start, Stop/Reset keys used for start or stop the motor operation. VF drive operates by using PLC with this Analog voltage (0-10) V DC. Based on PLC program we can vary the Analog output voltage (0-10) V DC, this voltage is given to the VF drive A2 pin (variable voltage input). Based on this voltage, VF drive frequency varies due to this produced output voltage to motor also varies. So motor speed can be control by varying frequency in VF drive by using PLC. Procedure: By using this (M)MODE,^UP and (V)DOWN, keys set the above described eleven parameters values. Now press (M)MODE key up to displays the Fr parameter. Give the connections based on wiring diagram. Open the PLC software (ABB configuration), for creating the PLC program do the steps given below. Step 1: open the software ABB Configuration by double click. Step 2: Create a new File, and then click on new project. Step 3: Select the Model number (AC500 PM564_ETH) and then given any file Name and select Location then click on OK. Step 4: Extend the device by click on the (+) symbol, do this process up to IP settings option displayed.

Step 5: Double click on IP settings. Step 6: Now click the IP configuration tool. Step 7: Click the scan option. Step 8: Double click on the AC 500_1 and then click Yes. Step 9: Select the Project option after that select Rebuild all option. Step 10: Right click the PLC_PRG in POUs option then select Convert object. Step 11: Select the LD option then click on OK. Step 12: Double click on PLC_PRG in POUs option, programming window will be shown. Step 13: Create the new program. Step 14: Select the Online option then go to Communication parameters. Step 15: If any old parameters is present in channels window use remove option then select new option. Now select TCP/IP option, next click OK. Step 16: Edit the IP address, Port, Motorola byte order and then select Gateway. Step 17: Select the Local option then click OK. Step 18: Click on Online option and then select Login. Step 19: Now Downloading windows open then click Yes. Step 20: Select Online option and then select Run. Step 21: Now enter the values from 0 to 28000 based on this frequency Varies in drive from 0 HZ to 50 HZ. Now we can able to check the 3 induction motor operation, it rotates both forward and reverse direction by using this PLC based Variable Frequency Drive.

Result Thus the 3 induction motor operates in both directions by using PLC based Variable Frequency Drive was done and output was verified.

Connection diagram of DSP based speed control of Chopper fed DC motor

EX. No:09 DATE:

DSP BASED CHOPPER FED DC MOTOR DRIVE

Aim: To study the operation of open loop and closed loop speed control of DC motor using smart power module and dsPIC Micro-4011 trainer kit. Apparatus Required: S.no 1. 2. 3. 4. 5. 6. 7. 8. 9. Apparatus name Smart Power Module (VPET-106A) dsPIC Micro-4011 Trainer Kit Isolation Transformer (230V/110-0110V) Proximity Sensor Box PMDC motor PIC kit 2 downloader (setup box) Cables PC Variac Quantity 1 No 1 No 1 No (Optional) 1 No 1 No 1 No as required 1 Set 1 No (Optional)

Connection Procedure: 1. Connection an AC supply to variac and variac output to isolation transformer primary input terminals (0-230V). 2. Connect isolation transformer secondary terminal 110V to smart power module input terminal P and another 110V terminal to smart power module input terminal N. 3. Connect smart power module output terminal A+ and A- to PMDC motor +ve and -ve terminals respectively. 4. Connect motor feed ack to proximity sensor card (speed feed back terminal). 5. Connect dsPIC Micro-4011 trainer kit to proximity sensor card by using 34 pin FRC cable. 6. Connect PIC kit 2 down loader to system by using USB port. 7. Connect PIC kit 2 down loader set up box to dsPIC Micro-4011 Trainer kit.

Tabulation for Open loop Speed Control of DC motor: Froward Mode: S.no Actual speed Duty cycle S1 S2

Reverse Mode: S.no Actual speed

Duty cycle

S1

S2

Experimental Procedure: Open Loop control 1. Verify the connections as per the connection procedure. 2. Switch on the smart power module and dsPIC Micro-4011 Trainer kit. 3. Select and down loading the program for speed control of PMDC motor using PIC kit 2 downloader. 4. Now smart power module reset LED is glow. Press the smart power module reset button and then press dsPIC Micro-4011 reset button. Now both the kits and ready to run the PMDC motor. 5. Switch ON the MCB, and then variac. 6. Increase the supply voltage upto 230V by using variac. 7. Select forward or reverse mode based on your experiment from the LCD display by increment (forward mode) or Decrement (Reverse mode) key. 8. Then select the open loop speed control using increment key.

9. Set the Actual speed=0, and Duty cycle=50%. 10. Press increment key duty cycle is varied upto 85%.

11. Then the corresponding readings are taken and Tabulate both forward and reverse mode reading separately. Tabulation for Closed loop Speed Control of DC motor: Froward Mode: S.no Set speed Actual speed S1 S2

Reverse Mode: S.no Set speed Actual speed S1 S2

Experimental Procedure: Closed Loop control 1. Verify the connections as per the connection procedure. 2. Switch on the smart power module and dsPIC Micro-4011 Trainer kit. 3. Select and down loading the program for speed control of PMDC motor using PIC kit 2 downloader. 4. Now smart power module reset LED is glow. Press the smart power module reset button and then press dsPIC Micro-4011 reset button. Now both the kits and ready to run the PMDC motor.

5. Switch ON the MCB, and then variac. 6. Increase the supply voltage upto 230V by using variac. 7. Select forward or reverse mode based on your experiment from the LCD display by increment (forward mode) or Decrement (Reverse mode) key. 8. Then select the closed loop speed control using decrement key. 9. Now press increment and decrement key simultaneously. So that the display will appear to set the controller value (Enter Kp value = 0.05). 10. Now press increment and decrement key simultaneously. So that the display will appear to set the controller value (Enter Ki value = 0.01). 11. Now press increment and decrement key simultaneously. So that the display will appear to set motor speed (Set Speed =300 rpm, actual speed= ). 12. By varying the set speed using increment key, then the motor speed can be varied. 13. Then the corresponding readings are taken and Tabulate both forward and reverse mode reading separately.

Result:

Thus the the operation of open loop and closed loop speed control of DC motor using smart power module and dsPIC Micro-4011 trainer kit was done and the output was verified.

EX. No:10 DATE:

SPEED CONTROL OF DC MOTOR USING THREE PHASE RECTIFIER

Aim: To control the speed of DC motor using three phase rectifier Apparatus required: OEC16HV2B module VPET-106A module DC motor Connection procedure: 1. Connect the single phase i/p supply to power module through a VARIAC 2. Connect the power module and controller to the supply main 3. Connect PWM o/p of controller module to PWM i/p of power module 4. Connect the held terminal of dc motor to the F + F-terminal of the power module 5. Connect the armature terminals of power module using batch chord 6. Connect motor speed feedback cable to motor feedback i/p of controller module Experimental procedure: 1. Verify the connection as per the connection procedure

2. Switch ON power ON/OFF switch in both the IGBT based power module and controller module 3. Switch ON the MCB in the power module 4. Vary the VARIAC from minimum to maximum position and apply for AC voltage gradually to the power module 5. Now LCD displays the following one by one with a delay of few seconds 1. DC chopper 2. DC-AC inverter 6. Select dc chopper by using increment key 1. Forward 2. Reverse 7. For forward motoring select FORWARD using increment switch 1. Open loop 2. Closed loop 8. For open loop control select open loop using increment switch and LCD will display as below Open loop control: Now press enter so that bellow display will appear Then set duty cycle (50-85%) by varying the increment or decrement button Now motor will start to run corresponding to the duty cycle For reverse direction select reverse option and follow same procedure Closed loop control: For closed loop control select closed loop using decrement switch the LCD will display as below CLOSED LOOP Now press enter so that bellow display will appear ENTER KP VALUE (0.10) Now press enter so that bellow display will appear(default value) DC DRIVE SET SPEED =0

ACTUAL SPEED =0

Then set the speed of motor by varying increment or decrement button and press enter Now the motor will start to run in set speed Then apply load gradually so that the closed loop operation can be studied for different load variation For reverse direction select reverse option and follow same procedure It require Kp and Kc values can be varied by using increment and decrement

Result: Thus the open loop and closed loop speed control of DC motor was studied and output was verified by using three phase rectifier

EX. No:11 DATE:

SPEED CONTROL OF 3 PHASE INDUCTION MOTOR USING PWM INVERTER

Aim: To study the operation of open loop and closed loop speed control of 3 AC motor using smart power module and dsPIC Micro4011 trainer kit (V/F control). Apparatus Required: S.no 1. 2. 3. 4. 5. 6. 7. 8. 9. Apparatus name Smart Power Module (VPET-106A) dsPIC Micro-4011 Trainer Kit Isolation Transformer (230V/1100-110V) Proximity Sensor Box 3 AC motor PIC kit 2 downloader (setup box) Cables PC Variac Quantity 1 No 1 No 1 No (Optional) 1 No 1 No 1 No as required 1 Set 1 No

(Optional) Connection procedure: 1. Connection an AC input supply to variac output to isolation transformer primary input terminal (0-230V). 2. Connect Isolation transformer secondary terminal 110V to smart power module input terminal P and another 110V to smart power module input terminal N. 3. Connect smart power module output terminal R,Y,B to 3 AC motor terminal r,y,b. 4. Connect motor speed feed back to proximity sensor card (speed feed back terminal). 5. Connect dsPIC Micro-4011 trainer kit to proximity sensor card by using 34 pin FRC cable. 6. Connect PIC kit 2 down loader to system by using USB port. 7. Connect PIC kit 2 down loader set up box to dsPIC Micro-4011 trainer kit.

Tabulation for Open loop Speed control three phase Induction motor: S.no Mod.inde x Set frequency Actual speed S1 S2

Experimental Procedure: Open loop speed control 1. Verify the connection are made as per the connection procedure.

2. Switch on the smart power module and dsPIC Micro-4011 trainer kit. 3. Select and down loading the program for (V/F control) speed control of 3 AC motor using PIC kit 2 downloader. 4. Now smart power module reset LED is glow. Press the smart power module rest button and then press dsPIC Micro-4011 rest button. Now both the kits are ready to run the 3 AC motor. 5. Switch ON the MCB, and then variac. 6. Increase the supply voltage upto 230V by using variac. 7. From the LCD display the following parameter appear one by one Inverter PWM controller V/F control 8. Than select the open loop using increment key and Select the values of Modulation index and set frequency to get actual speed. Modulation index=0.19 Set frequency=10Hz Actual speed= 9. By pressing increment key we can change the modulation index and set frequency value simultaneously. The Modulation index and set frequency valure varied upto 0.95 and 50Hz respectively. 10. Motor speed control is varied by depends upon Mod. Index and set frequency. 11. The the corresponding readings are taken and its tabulated. Tabulation for Closed loop Speed control three phase Induction motor: S.no Set Speed Actual speed S1 S2

Experimental Procedure: Closed loop speed control 1. Verify the connection are made as per the connection procedure. 2. Switch on the smart power module and dsPIC Micro-4011 trainer kit. 3. Select and down loading the program for (V/F control) speed control of 3 AC motor using PIC kit 2 downloader. 4. Now smart power module reset LED is glow. Press the smart power module rest button and then press dsPIC Micro-4011 rest button. Now both the kits are ready to run the 3 AC motor. 5. Switch ON the MCB, and then variac. 6. Increase the supply voltage upto 230V by using variac. 7. From the LCD display the following parameter appear one by one Inverter PWM controller V/F control 8. Than select the Closed loop using decrement key. 9. Now press increment and decrement simultaneously. So that the display will appear. Enter Kp value= 0.1 10. Now press increment and decrement simultaneously. So that the display will appear. Enter Ki value= 0.02 11. Again press increment and decrement simultaneously. So that the display will appear. Set Speed= 300rpam Actual speed= 12. By variying the set speed using increment key, than the motor speed can be varied.

13. The the corresponding readings are taken and its tabulated.

Result: Thus the operation of open loop and closed loop speed control of 3 induction motor using smart power module and dsPIC Micro-4011 trainer kit (V/F control) was done and output was verified successfully.

Vous aimerez peut-être aussi