Vous êtes sur la page 1sur 153

ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)

INGENIERO INDUSTRIAL
DISEO DE UNA TARJETA DE
ADQUISICIN DE DATOS DE 12 CANALES
SIMULTNEOS
Autor: J uan Guisndez Mndez
Director: J os Daniel Muoz Fras

Madrid
2011
DISEO DE UNA TARJ ETA DE ADQUISICIN DE DATOS DE 12
CANALES SIMULTNEOS
Autor: Guisndez Mndez, Juan.
Director: Muoz Fras, J os Daniel.
Entidad colaboradora: ICAI Universidad Pontificia Comillas
RESUMEN DEL PROYECTO
1. Introduccin.
En el mercado actual no existen tarjetas de conversin simultnea con los
suficientes canales, resolucin y velocidad para satisfacer los requisitos de este
proyecto.
El uso de una conversin simultanea de todas las variables es de vital
importancia para evitar errores en el algoritmo de control y por eso es necesario este
proyecto.
En la mayora de procesos ingenieriles es vital la toma de datos de mltiples
variables simultneas analgicas, puesto que los sistemas informticos son una
herramienta fundamental en la toma de decisin a partir de los datos obtenidos, es
necesario desarrollar un modo de convertir las seales analgicas propias del proceso en
seales digitales que el ordenador pueda interpretar y manejar. En el caso concreto de
este conversor su uso ser en el control de un motor elctrico.
2. Motivacin.
El objetivo de este proyecto es la realizacin del diseo de una tarjeta de
conversin analgico/digital, capaz de muestrear doce canales analgicos simultneos
con una resolucin de 16 bits y una velocidad de muestreo de al menos 250ksps. Dicha
tarjeta se conecta al bus PCI del ordenador.
3. Desarrollo.
La realizacin de este proyecto se divide principalmente en tres partes. Primero
se dise el esquema elctrico correspondiente a los conversores, luego se dise el
PCB donde quedaran alojados y por ltimo se dise el circuito VHDL que se encarga
de gestionar la informacin y de controlar los conversores.
a. Diseo elctrico.
El primer paso para el diseo elctrico fue decidir los conversores que se iban a
utilizar as como el resto de componentes electrnicos. Se decidi utilizar dos
conversores de seis canales cada uno para alcanzar el objetivo de los doce canales. El
resto de los componentes fueron elegidos teniendo en cuenta la nota de aplicacin de los
conversores.
Tambin se aadi una etapa amplificadora de ganancia variable,
mecnicamente mediante el uso de un jumper, para aumentar las prestaciones del
equipo y su rango de operacin.
b. Diseo del PCB.
Debido al espacio disponible, a que los conectores que tendran que ir
conectados en la FPGA eran puntos fijos, y la complejidad del diseo, se decidi
utilizar un PCB de 4 capas. La cara superior se utiliza para poner los componentes y
gran parte de las pistas, la cara inferior se utiliza para poner el resto de las pistas. Las
capas internas se usan, una como capa de tierra, separando convenientemente la tierra
analgica de la tierra digital para evitar interferencias. La otra capa se usa como capa de
tensin que sirve de alimentacin para conversores y operacionales.
Puesto que las patillas del conversor estn muy cerca, nos hemos visto obligados
a utilizar una clase de PCB alta, lo que nos permite usar pistas y separaciones entre
pistas pequeas pero que incrementa el precio de produccin.
c. Diseo de la FPGA.
La tarjeta FPGA utilizada es la Raggedstone1 Spartan3. La principal razn de
utilizacin de esta tarjeta es que ya se dispona de ella y cumple perfectamente las
necesidades de este proyecto.
Para el diseo del circuito de la FPGA se utilizo un diseo modular, se disearon
varios componentes ms o menos complejos y luego se unieron para conformar el
circuito final.
Podemos diferenciar entre dos tipos de componentes, unos sencillos y que
incluso se utilizan en mltiples ocasiones en el circuito final como pueden ser los
registros o las puertas triestado. Por otro lado tendramos el componente que hemos
llamado controlador que es mucho ms complejo y que se encarga de gestionar la
interaccin con los conversores.
Para la conexin con el puerto PCI se utiliza un core gratuito proporcionado por
opencores que realiza el interfaz con el PCI y para comunicarse con el core, se usa el
bus wishbone que es un bus estndar y ampliamente extendido. Esto adems permite
que sea fcil de adaptar el sistema a otro tipo de puertos o de sistemas utilizando el bus
wishbone cambiando muy poco del circuito FPGA.
4. Resultados.
Hemos conseguido disear una tarjeta de conversin con unas muy buenas
caractersticas de velocidad, resolucin y nmero de canales y especialmente por el
hecho de realizar estas conversiones de manera simultnea, lo cual es muy difcil de
encontrar en el mercado, y aun as el precio de produccin para cantidades medias altas
es ms que aceptable.
5. Conclusiones.
Se ha conseguido disear una tarjeta de doce canales de muestro simultneos a
un precio asequible la cual dispone de una gran flexibilidad debido al uso de una FPGA
para su conexin al PC mediante el puerto PCI.
Se han realizado mltiples simulaciones para validar el funcionamiento tanto de
los componentes por separado como del conjunto obtenindose buenos resultados.
Todos los objetivos propuestos para el proyecto han sido alcanzados, aunque por falta
de presupuesto no se ha podido fabricar y probar el prototipo.


DESIGN OF A 12 CHANNEL SIMULTANEOUS DATA ACQUISITION
CARD
Author: Guisndez Mndez, Juan.
Director: Muoz Fras, J os Daniel.
Sponshorship: ICAI Universidad Pontificia Comillas
PROYECT SUMMARY
1. Introduction.
In today's market there are no cards of simultaneous conversion with enough
channels, resolution and speed to meet the requirements of this project.
The use of a conversion simultaneously of all the variables is of vital importance
to avoid mistakes in the process of control.
In the majority of engineering processes is vital to taking data from multiple
simultaneous analog variables, since that computer systems are a fundamental tool in
the decision-making process from the data obtained, it is necessary to develop a way of
turning own process analog signals into digital signals that the computer can interpret
and handle. In the case of this converter, their use will be in control of an electric motor.
2. Motivation.
The objective of this project is the design of a card of converting analog/digital,
able to sample twelve simultaneous analog channels with a resolution of 16 bits and a
sampling of at least 250ksps rate. This card will have to be able to communicate with a
computer via a PCI bus.
3. Development.
The realization of this project is mainly divided into three parts. First design the
electrical scheme corresponding to the converters, then design the PCB where would be
hosted and finally design VHDL circuit which is responsible for managing information
and to control converters.
a. Electric design.
The first step for the electrical design was to decide the converters that were to
be used as well as other electronic components. We were decided to use two converters
of six channels each one to achieve the goal of the twelve channels. The rest of the
components were chosen taking into account the form of implementation of the
converters.
Also we added an amplifier stage of variable gain, mechanically through the use
of a jumper, to increase the performance of the team and its range of operation.
b. Design of the PCB.
Due to the space available, the connectors, that would have to go online in the
FPGA, were fixed points, and the complexity of the design, it was decided to use a 4
layers PCB. The upper side is used to put the components and most of the tracks, the
underside is used to put the rest of the tracks. The inner layers are used, one layer of
ground, properly separating the analog ground of digital ground to avoid interference.
The other layer is used as a layer of voltage which supply power to converters and
operational.
Since the pins of the converter are very close, we have been forced to use a high
class of PCB, allowing us to use tracks and separations between small tracks but that
increases the price of production.
c. Design of the FPGA.
The FPGA card used is the Raggedstone1 Spartan3. The main reason for use of
this card is that already there were it and perfectly meets the needs of this project.
For the FPGA circuit design using a modular design, designed several, more or
less complex, components and then joined to form the final circuit.
We can differentiate between two types of components, some simple and even
used multiple times in the final circuit such as registers or the TriState doors. On the
other hand would have the component, that we call controller, that is much more
complex and which is responsible for managing the interaction with the converters.
It is used for the connection to the PCI port a core free provided by opencores
which makes the interface with the PCI and to communicate with the core, it uses the
bus wishbone which is a standard and widely extended bus. This also allows to be easy
to adapt the system to other types of ports or systems using the wishbone bus, changing
very little of the FPGA circuit.
4. Results and conclusions.
We have managed to design a card of conversion with very good characteristics
of speed, resolution and number of channels and especially by the fact of these
conversions in a way simultaneous, which is very difficult to find in the market, and still
the price of production for quantities average or high is more than acceptable.
5. Conclusions.
He has been designing a card of twelve channels of simultaneous sampling at an
affordable price which provides great flexibility due to the use of an FPGA for their
connection to the PC via the PCI port.
However there have been multiple simulations to validate the operation, both of
the components separately as all together, getting good results. All the objectives
proposed for the project have been achieved, but due to lack of budget not been able to
manufacture and test the prototype.


NDICE DE LA MEMORIA
1
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL

ndice de la memoria


Parte I Memoria .......................................................................................... 7
Captulo 1 Introduccin ................................................................................... 8
1.1 Estudio de los trabajos existentes / tecnologas existentes ........................... 8
1.2 Motivacin del proyecto .................................................................................. 9
1.3 Objetivos .......................................................................................................... 9
1.4 Metodologa / Solucin desarrollada ........................................................... 10
1.5 Recursos / herramientas empleadas ............................................................. 10
Captulo 2 Desarrollo tcnico ......................................................................... 11
2.1 Eleccin de los elementos a utilizar .............................................................. 11
2.1.1 Conversor analgico digital ADS8556 de Texas Instruments. ..................................... 11
2.1.2 Raggedstone1 Spartan-3 FPGA PCI Development Board ........................................... 14
2.1.3 Operacionales OPA2211a ............................................................................................ 14
2.1.4 Resistencias, condensadores y otros componentes ....................................................... 15
2.2 Diseo del circuito elctrico .......................................................................... 16
2.2.1 Adaptacin de las seales a muestrear ......................................................................... 16
2.2.2 Condensadores para acondicionar el conversor ........................................................... 17
2.2.3 Conexin con la FPGA ................................................................................................ 18
2.2.4 Conexiones del conversor ............................................................................................ 20
2.3 Diseo del PCB .............................................................................................. 21
2.4 Diseo de la FPGA ........................................................................................ 22
2.4.1 PCI32TLITE ................................................................................................................ 24
2.4.2 Bus Wishbone .............................................................................................................. 26
2.4.2.1 Introduccin ......................................................................................................... 26
2.4.2.2 Seales utilizadas ................................................................................................. 27

NDICE DE LA MEMORIA
2
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
2.4.2.3 Operaciones generales. ......................................................................................... 28
2.4.2.3.1 Ciclo de lectura (Figura 14) .......................................................................... 28
2.4.2.3.2 Ciclo de escritura (Figura 15) ....................................................................... 30
2.4.3 Controlador .................................................................................................................. 32
2.4.3.1 Introduccin ......................................................................................................... 32
2.4.3.2 Explicacin de los registros .................................................................................. 33
2.4.3.2.1 Registros tipo CR X.Y .................................................................................. 33
2.4.3.2.2 Registros tipo ZXY ....................................................................................... 36
2.4.3.2.3 Registro TIMER ........................................................................................... 36
2.4.3.2.4 Registro CONTROL ..................................................................................... 36
2.4.3.2.5 Registro BUSY ............................................................................................. 37
2.4.3.3 Mquinas de estado. ............................................................................................. 37
2.4.3.3.1 Mquina de estados principal. ...................................................................... 37
2.4.3.3.2 Mquina de estados de la inicializacin. ...................................................... 38
2.4.3.3.3 Maquina de estados de la conversin. .......................................................... 40
Captulo 3 Resultados/Experimentos ............................................................. 42
Captulo 4 Conclusiones ................................................................................. 51
Captulo 5 Futuros desarrollos ...................................................................... 52
Bibliografa 53
Parte II Estudio econmico ........................................................................ 54
Captulo 1 Estudio econmico ........................................................................ 55
Parte III Manual de usuario ....................................................................... 56
Captulo 1 Manual de usuario ....................................................................... 57
1.1 Instalacin del hardware. ............................................................................. 57
1.2 Desarrollo del software. ................................................................................ 57
1.2.1 Uso de los registros. ..................................................................................................... 57
1.2.2 Procedimiento a seguir. ................................................................................................ 59
Parte IV Cdigo fuente ................................................................................ 60
Captulo 1 Tarjeta ........................................................................................... 62
1.1 Registro. ......................................................................................................... 62

NDICE DE LA MEMORIA
3
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
1.2 Triestado......................................................................................................... 63
1.3 Multiplexor2................................................................................................... 64
1.4 Multiplexor13 ................................................................................................. 65
1.5 Demultiplexor6 .............................................................................................. 66
1.6 Controlador .................................................................................................... 67
1.7 Observador..................................................................................................... 73
Captulo 2 Simulaciones ................................................................................. 76
2.1 pruRegistro .................................................................................................... 76
2.2 pruTriestado .................................................................................................. 78
2.3 pruMul2 .......................................................................................................... 80
2.4 pruMul13 ........................................................................................................ 82
2.5 pruDemul6 ..................................................................................................... 85
2.6 pruControl ..................................................................................................... 87
2.7 pruObservador .............................................................................................. 92
2.8 Conjunto ......................................................................................................... 94
2.9 Final .............................................................................................................. 106
Parte V Datasheets ................................................................................... 118
Captulo 1 ads8556 ....................................................................................... 120
Captulo 2 opa2211 ....................................................................................... 122


NDICE DE FIGURAS
4
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL


ndice de figuras


Figura 1: ADS8556 ............................................................................................... 13
Figura 2: Raggedstone1 Spartan-3 FPGA Development Board ............................ 14
Figura 3: Adaptacin de las seales ...................................................................... 16
Figura 4: Condensadores del conversor ................................................................ 17
Figura 5: Conexin datos del conversor ................................................................ 18
Figura 6: Conexin configuracin del conversor .................................................. 19
Figura 7: Conexiones del conversor ...................................................................... 20
Figura 8: Distancias en el PCB.............................................................................. 22
Figura 9: Diagrama de bloques ............................................................................. 23
Figura 10: Configuracin inicial ........................................................................... 24
Figura 11: Ciclo de lectura simple bus PCI ........................................................... 25
Figura 12: Ciclo de escritura simple bus PCI ........................................................ 26
Figura 13: Bus wishbone ....................................................................................... 28
Figura 14: Ciclo de lectura .................................................................................... 30
Figura 15: Ciclo de escritura ................................................................................. 32
Figura 16: Esquema lgico .................................................................................... 33
Figura 17: Maquina de estados principal .............................................................. 37
Figura 18: Maquina de estados de la inicializacin .............................................. 39
Figura 19: Maquina de estados de la conversin .................................................. 40
Figura 20 : Carga conversores. .............................................................................. 43
Figura 21: Carga tiempo de ejecucin ................................................................... 44

NDICE DE FIGURAS
5
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Figura 22: Inicio conversin simple. ..................................................................... 45
Figura 23: Configuracin de los conversores ........................................................ 46
Figura 24: Tiempo de conversin. ......................................................................... 47
Figura 25: Lectura de los conversores ................................................................... 48
Figura 26: Fin de la conversin ............................................................................. 49
Figura 27: Lectura de los registros. ....................................................................... 50



Memoria
- 6 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL


ndice de tablas



Tabla 1: Tarjetas de conversin ............................................................................... 8
Tabla 2: Comparativa de conversores ................................................................... 11
Tabla 3: Enob de los conversores .......................................................................... 12
Tabla 4: Relacin consumo velocidad ................................................................... 13
Tabla 5: Diseo de PCBs....................................................................................... 21
Tabla 6: Registro CR X.1 ...................................................................................... 34
Tabla 7: Registro CR X.2 ...................................................................................... 34
Tabla 8: Registro XYZ .......................................................................................... 36
Tabla 9: Registro TIMER ...................................................................................... 36
Tabla 10: Registro CONTROL ............................................................................. 36
Tabla 11: Registro BUSY ...................................................................................... 37
Tabla 12: Registros de escritura ............................................................................ 58
Tabla 13: Registros de lectura ............................................................................... 59

Introduccin
- 7 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Parte I MEMORIA


Introduccin
- 8 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Captulo 1 INTRODUCCIN
1.1 ESTUDIO DE LOS TRABAJOS EXISTENTES /
TECNOLOGAS EXISTENTES
Existen muchas tarjetas PCI de conversin analgica digital disponibles en
el mercado, sin embargo es ms difcil encontrar que estas tarjetas permitan la
conversin simultnea de las variables que muestrean. Podemos encontrar
distintas tarjetas como las que se muestran a continuacin (Tabla 1).
Modelo N de canales Resolucin Velocidad Precio
PCI 4472B 8 24 bits 102,4kpsps 3849
PCI 6143 8 16 bits 250ksps 1199
PCI 7833R 8 16 bits 200ksps 3399
PCI 7831R 8 16 bits 200ksps 2599
PCI 6123 8 16 bits 500ksps 2549
Tabla 1: Tarjetas de conversin
Teniendo en cuenta los resultados obtenidos se pueden sacar las siguientes
conclusiones.
Primero, la resolucin no es un problema, es fcil encontrar tarjetas de
conversin analgica digital con una resolucin de 16 bits o ms. Aunque es fcil
encontrar tarjetas con una velocidad de 250ksps o incluso mayores, el nmero de
tarjetas que permiten esa velocidad se reduce drsticamente.
El problema llega al buscar el nmero de canales analgicos que se pueden
muestrear simultneamente, ya que solo se han encontrado tarjetas PCI capaces de
convertir 8 canales de manera simultnea, lo cual es insuficiente para nuestras

Introduccin
- 9 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
necesidades ya que queremos tener la capacidad de muestrear 12 canales
simultneos.
Llegados a este punto la solucin podra ser la utilizacin de dos tarjetas
para el muestreo de las seales, pero esto supondra el uso de dos tarjetas PCI y
por lo tanto un mayor consumo de los recursos disponibles. Adems las tarjetas
disponibles en el mercado son muy caras.
Por todo esto se decide hacer una tarjeta de conversin analgico digital
que se conecte a un nico puerto PCI que sea capaz de convertir 12 canales
simultneos y con una resolucin de 16 bits e intentando en la medida de lo
posible hacer un diseo lo ms econmico posible.
1.2 MOTIVACIN DEL PROYECTO
En la mayora de procesos ingenieriles es vital la toma de datos de
mltiples variables simultneas analgicas, puesto que los sistemas informticos
son una herramienta fundamental en la toma de decisin a partir de los datos
obtenidos, es necesario desarrollar un modo de convertir las seales analgicas
propias del proceso en seales digitales que el ordenador pueda interpretar y
manejar. El uso de una conversin simultnea de todas las variables es de vital
importancia para evitar errores en el proceso de control. En el caso concreto de
este conversor su uso ser en el control de un motor elctrico.
1.3 OBJETIVOS
Desarrollo de una tarjeta de conversin analgico/digital, capaz de
muestrear doce canales analgicos simultneos con una resolucin de 16 bits y
una velocidad de muestreo de al menos 250ksps. Dicha tarjeta tendr que poder
comunicarse con un ordenador mediante un bus PCI.

Introduccin
- 10 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
1.4 METODOLOGA / SOLUCIN DESARROLLADA
Para realizar el objetivo propuesto se han llevado a cabo los siguientes pasos:
Se decidi la tarjeta FPGA as como el conversor analgico digital que se
utilizan. Este paso se realiz eligindose la tarjeta Raggedstone1 Spartan-3 FPGA
PCI Development Board y dos conversores analgico digital ADS8556 de Texas
Instruments.
Despus de tener los elementos de hardware principales decididos se
dise el circuito elctrico necesario para el conversor, la adaptacin de las
seales a muestrear y la conexin con la tarjeta FPGA.
Tras lo cual se dise el pcb para el circuito antes diseado y que se
conectara la tarjeta FPGA.
Hecho esto mediante el uso de cdigo VHDL se disear el circuito que se
descargar en la tarjeta FPGA para realizar el interfaz entre conversores y el pc
para el correcto tratamiento de los datos aportados por el conversor.
1.5 RECURSOS / HERRAMIENTAS EMPLEADAS
Se ha utilizado, a parte de la propia tarjeta y el conversor, aquellos
elementos necesarios para implantar el sistema elctrico (operacionales,
resistencias, condensadores).
Adems se utilizaron diversos paquetes de software entre los que se
incluye, Xilinx ISE Design Suite 11 y 12 en su versin gratuita, para el diseo del
circuito a configurar en la FPGA del cdigo VHDL.
As como los programas de software libre gEDA, para el diseo del
circuito elctrico y el programa tambin de software libre PCB, para el diseo del
pcb ambos ejecutados bajo Linux.
Como programa de tratamiento de textos se utiliz Microsoft Word 2007.

Desarrollo tcnico
- 11 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Captulo 2 DESARROLLO TCNICO
2.1 ELECCIN DE LOS ELEMENTOS A UTILIZAR
En los siguientes apartados se procede a realizar la justificacin de la
utilizacin de los distintos componentes utilizados en el diseo de la tarjeta.
2.1.1 CONVERSOR ANALGICO DIGITAL ADS8556 DE TEXAS
INSTRUMENTS.
Los requisitos mnimos necesarios que tiene que tener el conversor de
forma de que sea adecuado para el proyecto son: una velocidad de muestreo de al
menos 250ksps, una resolucin de 16 bits y el muestreo de 6 canales simultneos.
La bsqueda realizada entre los proveedores de Texas Instruments y Analog
Devices arroj los resultados que se muestran (Tabla 2).
Modelo Proveedor N Bits Velocidad SINAD Consumo Paralelo Serie Precio
ADS8364 Texas Ins. 16 250ksps 82.5 413.0mw Si No 18.10$
ADS8365 Texas Ins. 16 250ksps 87.0 190.0mw Si No 16.25$
ADS8556 Texas Ins. 16 630ksps 89.5 251.7mw Si Si 15.95$
AD7656-1 Analog De. 16 250ksps 87.8 140.0mw Si Si 17.95$
AD7656 Analog De. 16 250ksps 85.4 143.0mw Si Si 17.20$
Tabla 2: Comparativa de conversores



Desarrollo tcnico
- 12 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Con estos datos se calcula el Enob (effective numbers of bits, nmero
efectivo de bits) a partir del SINAD (Signal-to-Noise Ratio plus Distortion, ratio
seal ruido ms distorsin) segn la frmula (E. 1)
Enob =
SINA - 1.76
6.u2

E. 1
Modelo Enob
ADS8364 13.41
ADS8365 14.16
ADS8556 14.90
AD7656-1 14.30
AD7656 13.90
Tabla 3: Enob de los conversores
A la vista de estos datos obtenidos se decide elegir el modelo ADS8556 de
Texas Instruments (Figura 1) por los siguientes motivos.
_Es el modelo que permite un muestreo ms rpido alcanzando una
velocidad de 630ksps en interfaz paralela, aunque hay que tener en cuenta que con
interfaz serie la velocidad baja hasta las 450ksps pero aun as sigue siendo el
modelo ms rpido incluso comparado con otros en interfaz serie.
_Es el modelo con un mayor Enob (Tabla 3) lo que significa una mayor
precisin en las muestras obtenidas.
_Permite la utilizacin tanto de una interfaz serie como paralela.
_Es el modelo ms econmico, con un precio inferior a los 16 dlares
americanos
El nico punto donde el modelo elegido se ve superado por sus
competidores es en el consumo energtico, pero puesto que el consumo no es muy
grande todas las ventajas adicionales, previamente expuestas de este modelo

Desarrollo tcnico
- 13 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
compensan con creces este mayor consumo energtico. Adems si se calcula el
consumo por ksps se obtiene:

Modelo Consumo/Velocidad
ADS8364 1.652mw/ksps
ADS8365 0.760mw/ksps
ADS8556 0.399mw/ksps
AD7656-1 0.560mw/ksps
AD7656 0.572mw/ksps
Tabla 4: Relacin consumo velocidad
Observando los resultados (Tabla 4) podemos ver que el modelo elegido es
tambin el de menor consumo en cuanto a consumo por ksps.

Figura 1: ADS8556


Desarrollo tcnico
- 14 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
2.1.2 RAGGEDSTONE1 SPARTAN-3 FPGA PCI DEVELOPMENT
BOARD
La tarjeta Raggedstone1 Spartan-3 FPGA PCI Development Board (Figura
2) fue elegida ms por razones prcticas que cientficas, puesto que ya se dispona
de la misma se puso como requisito para el proyecto el realizarlo usando esta
tarjeta.

Figura 2: Raggedstone1 Spartan-3 FPGA Development Board

2.1.3 OPERACIONALES OPA2211A
Se ha elegido el operacional de dos canales OPA2211a de Texas
Instruments principalmente por ser el operacional recomendado por el datasheet
del conversor analgico digital, por lo que sabemos que van a funcionar bien
conjuntamente.

Desarrollo tcnico
- 15 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
2.1.4 RESISTENCIAS, CONDENSADORES Y OTROS COMPONENTES
Para la eleccin de los distintos condensadores y resistencias necesarios
para la construccin de la tarjeta se han elegido del catalogo digital de la pgina
web de farnell: http://es.farnell.com/
Se han tenido en cuenta diferentes criterios para la eleccin de los
componentes:
1 Tamao: se han elegido componentes de pequeo tamao para hacer
ms sencillas las etapas posteriores pero respetando un tamao mnimo que
pudiese ser soldado con los medios a nuestra disposicin.
2 Calidad: Los componentes elegidos se han elegido de la mayor calidad
posible manteniendo un compromiso econmico de elegir componentes baratos.
3 Precio y tamao del pedido: Se ha tenido en cuenta el nmero de
unidades que era necesario pedir, as como el nmero mnimo de unidades que
hay que pedir y el precio asociado, sin olvidar incluir los costes asociados al
transporte.
Con estos criterios se han elegido los siguientes componentes para la
realizacin del proyecto:
_Resistencias de 1k: CRCW06031K00FKEA de VISHAY DRALORIC.
_Resistencia de 22: MFR3 22R FI de WELWYN
_Jumper: 0-0142270-3 de TYCO ELECTRONICS / AMP
_Condensador de 100nF: 06033C104JAT2A de AVX
_Condensador de 1F: 0603YD105KAT2A de AVX
_Condensador de 10F: 1206YC106KAT2A de AVX
_Condensador de 470nF: 0603YD474KAT2A de AVX
_Condensador de 1nF: 08052C102KAT2A de AVX

Desarrollo tcnico
- 16 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
2.2 DISEO DEL CIRCUITO ELCTRICO
En el circuito elctrico se pueden considerar distintas partes que se pueden
disear de forma prcticamente independiente.
2.2.1 ADAPTACIN DE LAS SEALES A MUESTREAR

Figura 3: Adaptacin de las seales

El circuito de las seales a muestrear (Figura 3) se ha diseado uno para
cada dos seales a muestrear, el circuito estar formado por cuatro resistencias de
1k, dos resistencias de 22, dos condensadores de 1nF, un operacional
OPA2211a y dos jumper.
El sistema est diseado para trabajar adecuadamente con tensiones
comprendidas entre menos doce voltios y doce voltios. La inclusin en el circuito
de la segunda resistencia de 1k, que puedo o no ser conectada gracias al jumper,

Desarrollo tcnico
- 17 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
permite variar la ganancia entre uno y dos de esta etapa para poder tener una
precisin mayor para seales pequeas.
2.2.2 CONDENSADORES PARA ACONDICIONAR EL CONVERSOR

Figura 4: Condensadores del conversor

Los condensadores (Figura 4) necesarios para acondicionar el conversor se
obtienen de los datos proporcionados por el fabricante del conversor en su
datasheet.

Desarrollo tcnico
- 18 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
2.2.3 CONEXIN CON LA FPGA

Figura 5: Conexin datos del conversor

El envi de los 16 bits de datos a la FPGA (Figura 5) se hace a travs de
un conector para cada conversor, el banco de conexiones se utiliza nicamente
para el envi de datos.

Desarrollo tcnico
- 19 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL

Figura 6: Conexin configuracin del conversor

Todas las patillas del conversor dedicadas a la configuracin del conversor
que puede tomar diferentes valores han sido conectadas a la FPGA para poder
configurar al gusto desde la FPGA que es ms sencillo de modificar si a posteriori
queremos modificar la configuracin de los conversores. Se utiliza tambin un
conector para cada conversor.


Desarrollo tcnico
- 20 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
2.2.4 CONEXIONES DEL CONVERSOR

Figura 7: Conexiones del conversor

La mayora de las patillas del conversor (Figura 7) estn conectadas a los
bancos de conexiones de la FPGA anteriormente comentados, seis de ellas son las
seis entradas de las seales analgicas a muestrear, y el resto de las patillas estn
conectadas a tierra, a seales de referencia o condensadores para acondicionar el
conversor segn lo indica el datasheet correspondiente.

Desarrollo tcnico
- 21 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
2.3 DISEO DEL PCB
Para el diseo del PCB se ha decidido utilizar un sistema de cuatro capas
distintas, una de ellas utilizada como capa de tensin de cinco voltios, otra como
capa de tierra, otra utilizada para conectar los elementos y la mayora de las pistas
y la ultima para poner otras pistas para permitir que se puedan cruzar las pistas. Se
utilizarn las indicaciones de diseo Eurocircuits para que se pueda mandar
fabricar a esta misma empresa, utilizando las Design Guidelines de Enero de
2010.
Pattern
class
3 4 5 6 7 8 9
Service
P+S+T+I+O P+S+T+I+O P+S+T+I+O P+S+T+I+O T+O T+O O
OTW
0.300 0.250 0.200 0.150 0.125 0.100 0.090
OTT-OTP-OPP
0.300 0.250 0.200 0.150 0.125 0.100 0.090
OAR
0.200 0.150 0.150 0.125 0.125 0.100 0.100
ITW
0.300 0.250 0.200 0.150 0.125 0.100 0.090
ITT-ITP-IPP
0.300 0.250 0.200 0.150 0.125 0.100 0.090
IAR
0.200 0.200 0.200 0.175 0.150 0.150 0.125
Tabla 5: Diseo de PCBs

A la vista de las opciones disponibles (Tabla 5) se ha elegido el pattern
class 8, mnimo necesario para poder realizar el PCB utilizando los conversores
analgicos digitales que hemos seleccionado. La Tabla 5 muestras las distancias
permitidas entre los diferentes elementos en el circuito (Figura 8) la primera letra
que es una I una O que significa si se refiere a una capa exterior (Outer) o a una
capa interior (Inner), el campo service hace referencia a la disponibilidad del tipo
de proceso con los siguientes significados:

Desarrollo tcnico
- 22 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL

P PCB proto.
S Estandard pool
T Tech pool
I IMS pool
O On demand

Figura 8: Distancias en el PCB

2.4 DISEO DE LA FPGA
El diseo de la FPGA se puede dividir en dos fases fcilmente
diferenciables, por un lado la comunicacin entre la FPGA y los dos conversores
y por el otro la comunicacin, mediante el puerto PCI, entre la FPGA y el
ordenador.

Desarrollo tcnico
- 23 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
El diagrama de bloques de nuestro sistema seria el que sigue:

Figura 9: Diagrama de bloques
PCI Slot.
Esto es simplemente la conexin fsica en el ordenador del puerto PCI
PCI32TLITE.
Esto es el core que se descargar en la FPGA que se encarga de hacer de
driver del puerto PCI. Este core es proporcionado por Opencores y es un core
gratuito.
Bus Wishbone.
Se ha decidido utilizar el bus Wishbone para la comunicacin entre el core
del PCI y la FPGA, se ha tomado esta decisin, porque este bus dispone de todas
las funciones necesarias para la ejecucin de las especificaciones del proyecto y
adems ya existe su cdigo VHDL que est incluido en el driver de la FPGA.
Controlador.
Esta es la parte principalmente diseada de forma especfica en este
proyecto, se encarga de recibir las rdenes del ordenador y trasmitirlas a los
conversores, tambin se encarga de recibir la informacin de los conversores y
devolverla al ordenador cuando corresponda de forma ordenada, el sistema
contar con un banco de registros donde almacenar los resultados de las
conversiones as como las opciones de configuracin de los conversores. Una
maquina de estados se encargar de controlar el correcto funcionamiento de los
conversores.

Desarrollo tcnico
- 24 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Conversores.
Estos son los dos conversores analgico digital ADS8556 de Texas
Instruments.

2.4.1 PCI32TLITE
Esto es el core que se descargar en la FPGA que se encarga de hacer de
driver del puerto PCI, este core es proporcionado por Opencores, se utilizar el
cdigo de libre acceso proporcionado por Varxec en su versin tres, haciendo
aquellas modificaciones que se consideren necesarias.
En primer lugar se tiene que configurar el bus PCI para que el ordenador
reconozca el dispositivo y viceversa, durante esta fase se almacenan en el
ordenador datos (Figura 10) como el fabricante del chip y de la tarjeta, que
pueden diferir, as como el identificador de chip y tarjeta, tipo de tarjeta,
direcciones base de los registros, caractersticas, comandos soportados y tamao
del cache. Hecho esto le queda asignada a la tarjeta PCI una direccin inequvoca
que utilizar el ordenador para la comunicacin. Este proceso se realiza
automticamente al encenderse el ordenador.

Figura 10: Configuracin inicial

Desarrollo tcnico
- 25 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
De las posibles funciones del puerto PCI utilizaremos nicamente las ms
sencillas, el ciclo de lectura simple (Figura 11) y el ciclo de escritura simple
(Figura 12).

Figura 11: Ciclo de lectura simple bus PCI

Desarrollo tcnico
- 26 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL

Figura 12: Ciclo de escritura simple bus PCI
2.4.2 BUS WISHBONE
2.4.2.1 Introduccin
El bus wishbone es una metodologa flexible diseada para usar con cores
de semiconductores. Se trata de crear una interfaz comn entre cores que aporte
portabilidad al sistema y resulte en una solucin rpida para el usuario final. Antes
de la estandarizacin de este bus la interconexin era complicada y requera de
mucho tiempo para disear la unin entre los dos sistemas, con la estandarizacin,
es fcil y sencillo conectar cualquier sistema con un bus wishbone a otro.
Algunas caractersticas importantes del bus son:

Desarrollo tcnico
- 27 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
_Simple y compacto, requiere muy pocas puertas lgicas.
_Dispone de todos los tipos de transferencia de datos habituales.
_Bus de datos regulable hasta los 64 bits.
_Soporta organizacin de datos Big Endian y Little Endian.
_Vectores de interrupcin.
_Arquitectura maestro-esclavo.
_Configuraciones multimaestro.
Aunque el bus dispone de mltiples funciones y de mltiples modos de
funcionamiento, para el desarrollo de este proyecto ser suficiente con el mtodo
ms sencillo. Usaremos el ciclo de lectura y escritura simples, por lo que no
utilizaremos muchas de las seales proporcionadas por el bus al no ser necesarias
para este cometido.
Se ha decidido utilizar el bus Wishbone para la comunicacin entre el
puerto PCI y la FPGA, se ha tomado esta decisin, porque este bus dispone de
todas las funciones necesarias para la ejecucin de las especificaciones del
proyecto y adems ya existe su cdigo VHDL que est incluido en el driver de la
FPGA.
2.4.2.2 Seales utilizadas
El bus Wishbone dispone de muchas ms funciones de la que necesitamos
para realizar este proyecto, por lo que no utilizaremos todas las entradas y salidas
disponibles en el mismo (Figura 13). Utilizaremos las siguientes entradas:
_RST_I: esta entrada permite inicializar el estado del bus en un estado
conocido
_CLK_I: Entrada de reloj para controlar los tiempos del bus
_DAT_I0: Por esta entrada entrarn aquellos datos que queramos que sean
mandados al ordenador por medio del puerto PCI
Utilizaremos las siguientes salidas:

Desarrollo tcnico
- 28 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
_ADR_O0: Por esta salida enviaremos la direccin de los registros del
sistema al que estamos haciendo referencia en la orden que estemos ejecutando
desde el ordenador en ese momento.
_DAT_O0: Por esta salida enviaremos los datos de configuracin de los
conversores a si como los referentes al tipo de conexin y la inicializacin o el
paro de la conversin y cualquier otro tipo de informacin necesaria.
_WE_O: Esta salida nos indicar si nos encontramos ante un ciclo de
lectura o de escritura en el bus, si nos encontramos ante un ciclo de lectura nos
encontraremos un cero lgico, mientras que en los ciclos de escritura nos
encontraremos con un uno lgico.

Figura 13: Bus wishbone
2.4.2.3 Operaciones generales.
2.4.2.3.1 Ciclo de lectura (Figura 14)
Clock edge 0:
El maestro pone una direccin valida en [ADR_O()] y [TGA_O()].
El maestro niega [WE_O] para indicar que es un ciclo de lectura.

Desarrollo tcnico
- 29 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
El maestro selecciona el banco [SEL_O()] para indicar dnde est el dato.
El maestro pone a uno [CYC_O] y [TGC_O()] para indicar el inicio del
ciclo.
El maestro pone a uno [STB_O] para indicar el inicio de la fase.
Clock edge 1:
El esclavo decodifica la entrada y responde poniendo a uno [ACK_I].
El esclavo pone datos validos en [DAT_I()] y [TGD_I()].
El esclavo pone a uno [ACK_I] en repuesta a [STB_O] para indicar que el
dato es vlido.
El maestro monotoriza [ACK_I] y se prepara para coger los datos en
[DAT_I()] y [TGD_I()].
Clock edge 2:
El maestro coge los datos en [DAT_I()] y [TGD_I()].
El maestro niega [STB_O] y [CYC_O] para indicar el final del ciclo.

Desarrollo tcnico
- 30 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
El esclavo niega [ACK_I] en repuesta a [STB_O].

Figura 14: Ciclo de lectura
2.4.2.3.2 Ciclo de escritura (Figura 15)
Clock edge 0:
El maestro pone una direccin vlida en [ADR_O()] y [TGA_O()].
El maestro pone un dato vlido en [DAT_O()] y [TGD_O()].
El maestro pone a uno [WE_O] para indicar que es un ciclo de escritura.
El maestro selecciona el banco [SEL_O()] para indicar dnde manda el
dato.
El maestro pone a uno [CYC_O] y [TGC_O()] para indicar el inicio del
ciclo.

Desarrollo tcnico
- 31 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
El maestro pone a uno [STB_O] para indicar el inicio de la fase.
Clock edge 1:
El esclavo decodifica la entrada y responde poniendo a uno [ACK_I].
El esclavo se prepara para coger datos en [DAT_O()] y [TGD_O()].
El esclavo pone a uno [ACK_I] en repuesta a [STB_O] para indicar que
est preparado.
El maestro monitoriza [ACK_I] y se prepara para terminar el ciclo.
Clock edge 2:
El esclavo coge los datos en [DAT_O()] y [TGD_O()].
El maestro niega [STB_O] y [CYC_O] para indicar el final del ciclo.
El esclavo niega [ACK_I] en repuesta a [STB_O].

Desarrollo tcnico
- 32 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL

Figura 15: Ciclo de escritura
2.4.3 CONTROLADOR
2.4.3.1 Introduccin
Esta es la parte principalmente de la FPGA diseada de forma especfica
en este proyecto, se encarga de recibir las rdenes del ordenador y trasmitirlas a
los conversores, tambin se encarga de recibir la informacin de los conversores y
devolverla al ordenador cuando corresponda de forma ordenada. El sistema
contar con un banco de registros donde almacenar los resultados de las
conversiones as como las opciones de configuracin de los conversores (Figura

Desarrollo tcnico
- 33 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
16). Varias maquinas de estados se encargan de controlar el correcto
funcionamiento del circuito.

Figura 16: Esquema lgico
2.4.3.2 Explicacin de los registros
2.4.3.2.1 Registros tipo CR X.Y

Desarrollo tcnico
- 34 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Estos registros son los encargados de almacenar la informacin sobre la
configuracin de los conversores, guardan la disposicin del conversor X(1 o 2)
su parte Y, siendo 1 los bits menos significativos (Tabla 6) y 2 los ms
significativos (Tabla 7).
15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
PD_C PD_B PD_A 0 CLKSEL CLKOUT_EN REFDAC(9-0)
Tabla 6: Registro CR X.1
15 14 13 12 11 10 9 8
CH_C CH_B CH_A RANGE_C RANGE_B RANGE_A REF
EN
REFBUF
7 6 5 4 3 2 1 0
SEQ A-NAP BUSY/INT BUSY L/H 0 VREF READ_EN C23:0_EN
Tabla 7: Registro CR X.2
Bit Nombre Descripcin
C31
CH_C 0 =Channel pair C disabled for next conversion (default)
1 =Channel pair C enabled
C30
CH_B 0 =Channel pair B disabled for next conversion (default)
1 =Channel pair B enabled
C29
CH_A 0 =Channel pair A disabled for next conversion (default)
1 =Channel pair A enabled
C28
RANGE_C 0 =Input voltage range selection for channel pair C: 4VREF (default)
1 =Input voltage range selection for channel pair C: 2VREF
C27
RANGE_B 0 =Input voltage range selection for channel pair B: 4VREF (default)
1 =Input voltage range selection for channel pair B: 2VREF
C26
RANGE_A 0 =Input voltage range selection for channel pair A: 4VREF (default)
1 =Input voltage range selection for channel pair A: 2VREF
C25
REF
EN
0 =Internal reference source disabled (default)
1 =Internal reference source enabled
C24
REFBUF 0 =Internal reference buffers enabled (default)
1 =Internal reference buffers disabled
C23
SEQ 0 =Sequential convert start mode disabled (default)
1 =Sequential convert start mode enabled (bit 11 must be '1' in this case)

Desarrollo tcnico
- 35 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
C22
A-NAP 0 =Normal operation (default)
1 =Auto-NAP feature enabled
C21
BUSY/INT 0 =BUSY/INT pin in normal mode (BUSY) (default)
1 =BUSY/INT pin in interrupt mode (INT)
C20
BUSY L/H 0 =BUSY active high while INT active low (default)
1 =BUSY active low while INT active high
C19 Sin uso
This bit is always set to '0'
C18
VREF 0 =Internal reference voltage: 2.5V (default)
1 =Internal reference voltage: 3V
C17
READ_EN 0 =Normal operation (conversion results available on SDO_x) (default)
1 =Control register contents output on SDO_x with next access
C16
C23:0_EN 0 =Control register bits C[31:24] update only (serial mode only) (default)
1 =Entire control register update enabled (serial mode only)
C15
PD_C
0 =Normal operation (default)
1 =Power-down for channel pair C enabled (bit 31 must be '0' in this case)
C14
PD_B
0 =Normal operation (default)
1 =Power-down for channel pair B enabled (bit 31 must be '0' in this case)
C13
PD_A
0 =Normal operation (default)
1 =Power-down for channel pair A enabled (bit 31 must be '0' in this case)
C12 Sin uso
This bit is always '0'
C11
CLKSEL
0 =Normal operation with internal conversion clock (default)
1 =External conversion clock (applied through pin 27) used
C10
CLKOUT_EN
0 =Normal operation (default)
1 =Internal conversion clock available at pin 27
C09
REFDAC(9)
Bit 9 (MSB) of reference DAC value; default =1
C08
REFDAC(8)
Bit 8 of reference DAC value; default =1
C07
REFDAC(7)
Bit 7 of reference DAC value; default =1
C06
REFDAC(6)
Bit 6 of reference DAC value; default =1
C05
REFDAC(5)
Bit 5 of reference DAC value; default =1
C04
REFDAC(4)
Bit 4 of reference DAC value; default =1

Desarrollo tcnico
- 36 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
C03
REFDAC(3)
Bit 3 of reference DAC value; default =1
C02
REFDAC(2)
Bit 2 of reference DAC value; default =1
C01
REFDAC(1)
Bit 1 of reference DAC value; default =1
C00
REFDAC(0)
Bit 0 of reference DAC value; default =1

2.4.3.2.2 Registros tipo ZXY
Estos registros almacenan los datos del canal Y(1 o 2) del par de canales
Z(A, B o C) del conversor X(1 o 2) (Tabla 8). Es decir el registro B21 ser el que
almacene la informacin del canal 1 del par de canales B del conversor 2.
15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
DATO(15-0)
Tabla 8: Registro XYZ
2.4.3.2.3 Registro TIMER
Este registro guarda la informacin sobre el tiempo que se deben de
ejecutar conversiones (Tabla 9).
15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
TIMER(15-0)
Tabla 9: Registro TIMER
2.4.3.2.4 Registro CONTROL
Este registro (Tabla 10) guarda informacin sobre el tipo de conversin
deseada as como directrices para empezar o terminar la conversin.
15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
0 0 0 0 0 0 0 0 0 0 0 0 0 PARADA CONTINUA UNICA
Tabla 10: Registro CONTROL
Unica: Si este bit esta a 1 se realiza una nica conversin.

Desarrollo tcnico
- 37 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Continua: Si este bit esta a 1 se realiza una conversin contina.
Parada: Si este bit esta a 1 indica que se debe detener la conversin.
2.4.3.2.5 Registro BUSY
Este registro (Tabla 11) informa al ordenador de si la conversin ha
finalizado.
15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
BUSY 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
Tabla 11: Registro BUSY
2.4.3.3 Mquinas de estado.
2.4.3.3.1 Mquina de estados principal.
Esta mquina de estados (Figura 17) se encarga de controlar el resto de las
maquinas de estado presentes en el sistema.

Figura 17: Maquina de estados principal
_Estado Espera: El sistema est a la espera de recibir la orden de realizar
una o ms conversiones
ESPERA
INICIALI
ZACIN
CONVER
SIN
Proceso=1 FinInicia =1
FinConver =1

Desarrollo tcnico
- 38 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
_Estado Inicializacin: El sistema est a la espera de recibir la seal de que
la inicializacin de los conversores ha terminado
_Estado Conversin: El sistema est a la espera de recibir la seal de que
la conversin o conversiones han terminado.
_Transicin Espera-Inicializacin: Al recibir la seal de inicio de
conversin, Proceso=1, pone Inicia=1
_Transicin Inicializacin-Conversin: Al recibir la seal de fin de
inicializacin, FinInicia=1, pone Conver=1
_Transicin Conversin-Espera: Al recibir la seal de fin de conversin,
FinConver=1, pone Proceso=0
2.4.3.3.2 Mquina de estados de la inicializacin.
Esta mquina de estados (Figura 18) se encarga de configurar los registros
de los conversores para realizar las conversiones de acuerdo a nuestras
condiciones.

Desarrollo tcnico
- 39 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL

Figura 18: Maquina de estados de la inicializacin
_Estado Espera: El sistema est a la espera de configurar los conversores
_Estado Primera carga: El sistema carga la parte alta del registro de control
de los dos conversores
_Estado Intermedio: El sistema espera el tiempo necesario para el correcto
funcionamiento del conversor.
_Estado Segunda carga: El sistema carga la parte baja del registro de
control de los dos conversores
_Transicin Espera-Primera carga: Al recibir la seal de inicio de
inicializacin, Inicia=1, pone CS=1, WR=1, CR1=1, CR2=1, Escritura=1.

Desarrollo tcnico
- 40 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
_Transicin Primera carga-Intermedio: pone WR=0, InicioT2=1
_Transicin Intermedio-Segunda carga: pone WR=1, InicioT1=1
_Transicin Segunda carga-Espera: pone CS=0, WR=0, Inicia=0
2.4.3.3.3 Maquina de estados de la conversin.
Esta mquina de estados (Figura 19) se encarga de administrar todas las
seales necesarias para realizar la conversin as como de almacenar los datos
obtenidos en los registros correspondientes.

Figura 19: Maquina de estados de la conversin
_Estado cero: Estado de espera, el sistema est a la espera de una nueva
orden para realizar una conversin.
_Estado uno: La FPGA ha dado la orden a los conversores para realizar la
lectura y esta a la espera de que los conversores comuniquen que han acabado la
conversin.
_Estado dos: En este estado la FPGA guarda la informacin de dos
canales, uno de cada conversor, el sistema pasa seis veces por este estado en un
mismo ciclo de conversin para leer los doce canales.
_Estado tres: Estado de espera necesario por el conversor entre lectura y
lectura.
E0
E3
E4
E2 E1
Conver =1
BUSY1=0
BUSY2=0
Contador=101 Timer =0

Desarrollo tcnico
- 41 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
_Estado cuatro: Estado de espera necesario por el conversor para poder
empezar una nueva conversin.
El sistema se mueve entre los diferentes estados con las siguientes
transiciones.
_Transicin E0-E1: Cuando se recibe Conver=1 se produce la transicin
de E0 a E1 y se activan las tres seales de conversin CONVST_X de cada
conversor, una por cada dos canales.
_Transicin E1-E2: Cuando ambos conversores comunican que han
terminado su conversin mediante la seal Busy se produce la transicin de E1 a
E2, se activa el chip select y el RD, se le pide a los conversores que muestren el
primer dato y se inicializa un contador para contabilizar el nmero de canales
ledos de cada conversor. Se inicia el Timer.
_Transicin E2-E3: Aumenta en uno el contador de pares de canales
ledos, deja de pedirle el dato a los conversores, se guarda el dato en el registro
correspondiente y si el nmero de pares de canales ledos es menor de 6 cambia al
estado E3. Se desactiva el RD.
_Transicin E3-E2: Cambia al estado E2, pide el siguiente dato a los
conversores. Se activa el RD.
_Transicin E2-E4: Aumenta en uno el contador de canales ledos, deja de
pedirle el dato a los conversores, se guarda el dato en el registro correspondiente y
si el nmero de pares de canales ledos es igual a 6 cambia al estado a E4 y el chip
select y RD se desactivan.
_Transicin E4-E0: Cuando el TimerT0 ha finalizado su cuenta el sistema
ha esperado el tiempo suficiente para hacer otra conversin y vuelve al estado de
espera E0.


Resultados/Experimentos
- 42 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Captulo 3 RESULTADOS/EXPERIMENTOS
Procedimiento de prueba del sistema VHDL.
Se testear el circuito diseado mediante un test bench. En el test bench
primero se cargar el registro de ambos conversores (Figura 20) con la
configuracin por defecto, con todos los canales activados. Ambos registros se
configurarn igual, la configuracin ser la siguiente:
PD_C PD_B PD_A 0 CLKSEL CLKOUT_EN REFDAC(9-0)
0 0 0 0 0 0 1111111111
CH_C CH_B CH_A RANGE_C RANGE_B RANGE_A REF
EN
REFBUF
1 1 1 0 0 0 0

0
SEQ A-NAP BUSY/INT BUSY L/H 0 VREF READ_EN C23:0_EN
0 0 0 0 0 0 0 0


Resultados/Experimentos
- 43 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL

Figura 20 : Carga conversores.
Despus se cargar el tiempo de ejecucin para el modo continuo (Figura
21), se configura para 1080 ns que permitir hacer dos conversiones.
TIMER(15-0)
0000000000100011


Resultados/Experimentos
- 44 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL

Figura 21: Carga tiempo de ejecucin
Finalmente se iniciar la conversin sencilla dando la orden en el registro
de control (Figura 22), y a partir de ese momento se vigilar el registro Busy para
comprobar que la conversin ha finalizado.
CONTROL(15-0)
0000000000000001


Resultados/Experimentos
- 45 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL

Figura 22: Inicio conversin simple.
Seguidamente el Controlador cargar la informacin de configuracin en
los conversores (Figura 23).

Resultados/Experimentos
- 46 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL

Figura 23: Configuracin de los conversores
Cuando se detecte el inicio de conversin, emulando a los conversores, se
iniciar la seal busy1 y busy2 y se mantendr durante 360ns (Figura 24).
Despus de apagar las seales busy se esperar a que el sistema pida el primer
dato y se le irn dando de forma secuencial segn le sean pedidos por el sistema
(Figura 25). Durante todo este tiempo se estar vigilando el registro Busy
esperando a que se ponga a cero (Figura 26).
BUSY(15-0)
0000000000000000


Resultados/Experimentos
- 47 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL

Figura 24: Tiempo de conversin.

Resultados/Experimentos
- 48 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL

Figura 25: Lectura de los conversores

Resultados/Experimentos
- 49 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL

Figura 26: Fin de la conversin
Cuando esto ocurra se empezar a leer todos los registros, si los datos son
correctos, los datos ledos tendrn que ir del 1 al 12 (Figura 27). Despus de esto
se iniciar una conversin continua que dar como resultado dos conversiones
seguidas y se emular el procedimiento antes descrito para realizar las
conversiones.

Resultados/Experimentos
- 50 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL

Figura 27: Lectura de los registros.
Todo esto se ha realizado obteniendo el resultado esperado y validando por
lo tanto el diseo realizado.

Conclusiones
- 51 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Captulo 4 CONCLUSIONES
Aunque el proyecto ha resultado ser largo y complejo el resultado final ha
sido satisfactorio ya que se han alcanzado en gran medida los objetivos impuestos.
El diseo del PCB resulto complicado debido sobre todo al uso de
componentes con pequeas separacin de pines lo que provocaba fuertes
concentraciones de pistas en el rutado y nos obligo a utilizar una clase alta.
En el diseo de la FPGA el simulador nos dio mltiples problemas
difciles de solucionar, ya que el sistema fallaba sin dar una idea del motivo.
A pesar de estos problemas se ha conseguido disear una tarjeta de
adquisicin de datos de 12 canales simultneos con una resolucin de 16bits por
canal y con una velocidad de ms de 450ksps, que es mucho ms del mnimo
buscado y que cumple con las necesidades de comunicacin con el ordenador
mediante el puerto PCI, adems puesto que usa un bus estndar como es el bus
wishbone es fcil de modificar para hacerlo compatible con otros tipos de puertos.
Lo nico malo es que por falta de presupuesto no pudo ser finalmente
fabricada y por tanto el prototipo, que aunque se halla simulado, no se ha testeado.

Futuros desarrollos
- 52 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Captulo 5 FUTUROS DESARROLLOS
Los posibles desarrollos futuros serian entre otros los siguientes:
_Construir y probar el prototipo diseado para comprobar su validez.
_Mejorar algunos aspecto fsicos del diseo del PCB.
_Crear un circuito ms complejo para la FPGA tal vez desarrollando un
sistema por interrupciones.
_Disear la posibilidad de comunicacin entre los conversores y la FPGA
mediante un protocolo serie.
_Diseo de un driver y de una interfaz grafica para la utilizacin del
conversor desde el ordenador de una forma ms amena.


Bibliografa
- 53 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
BIBLIOGRAFA
[1] www.ti.com
[2] www.ni.com
[3] http://www.enterpoint.co.uk/moelbryn/raggedstone1.html
[4] http://es.farnell.com/
[5] http://www.eurocircuits.com/
[6] www.wikipedia.com


Bibliografa
- 54 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Parte II ESTUDIO
ECONMICO

Estudio econmico
- 55 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Captulo 1 ESTUDIO ECONMICO
Como ya se ha comentado antes no existen en el mercado tarjetas que
concuerden con las necesidades existentes y puesto que cada vez es ms habitual
el uso de ordenadores en los sistemas de control existe una posibilidad de negocio
que justifica la realizacin de este proyecto.
Este proyecto sera viable de poner en marcha por que se trata de entrar en
un mercado donde la demanda supera a la oferta, por lo que no tendramos que
luchar mucho con otros proveedores de este tipo de tecnologa asentados en el
sector ya que hay cuota de mercado suficiente para todos, adems la inversin
inicial no ser muy grande lo que reduce el riesgo de la operacin.
El producto se puede vender con un buen margen, lo que aporta una gran
rentabilidad y la obtencin de beneficios con la venta de pocas unidades.
Como se ha comentado anteriormente el sector de las tarjetas de medida,
sobre todo las de medicin simultnea, es un sector en auge con unas excelentes
expectativas de negocio. Nos encontramos en el momento idneo para invertir
este proyecto ya que podemos aprovechar el momento de bonanza del sector para
introducirnos en l y que dentro de un tiempo cuando se empiece a estabilizar
aprovechar la curva de experiencia obtenida para mantenernos en el sector como
un proveedor de referencia.

Estudio econmico
- 56 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Parte III MANUAL DE
USUARIO


Manual de usuario
- 57 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Captulo 1 MANUAL DE USUARIO
1.1 INSTALACIN DEL HARDWARE.
Para instalar el dispositivo tan solo hay que conectarlo a una conexin PCI
estndar presente en la mayora de ordenadores, no son necesarias ms conexiones
de tipo hardware.
1.2 DESARROLLO DEL SOFTWARE.
El usuario tendr que desarrollar el software necesario para enviar y recibir
seales mediante el puerto PCI teniendo en cuenta lo siguiente:
1.2.1 USO DE LOS REGISTROS.
Los registros accesibles desde el ordenador ocupan las direcciones de
memoria 0 a 18. Los 6 primeros registros son registros de solo escritura (Tabla
12). Los registros de configuracin debern ser adecuadamente cargados con la
informacin necesaria para realizar la conversin, si la informacin existente en
los registros no es la correcta, el sistema podra comportarse de manera
inadecuada. El registro TIMER guarda el tiempo durante el cual se llevara a cabo
la conversin en modo continuo, si no se llevarn a cabo conversiones continuas
el valor de este registro es irrelevante y no hace falta definirlo. El registro
CONTROL se encarga de iniciar la conversin nica as como la conversin
continua, tambin se puede detener de manera brusca la conversin mediante una
orden por este registro.

Manual de usuario
- 58 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Registro Direccin
Registro de configuracin de la parte baja del conversor 1 (CR1.1) 0
Registro de configuracin de la parte alta del conversor 1 (CR1.2) 1
Registro de configuracin de la parte baja del conversor 2 (CR2.1) 2
Registro de configuracin de la parte alta del conversor 2 (CR2.2) 3
Registro que almacena el tiempo de conversin (TIMER) 4
Registro de control (CONTROL) 5
Tabla 12: Registros de escritura
Los 13 ltimos registros (Tabla 13) son de solo lectura, los doce primeros
son cada uno de los doce canales de conversin, el registro BUSY, del cual solo
nos interesa el ultimo bit, nos indica si la conversin a finalizada y podemos
empezar a leer los dems registros.
Registro Direccin
Datos del canal A1 del conversor 1 (A11) 6
Datos del canal A2 del conversor 1 (A12) 7
Datos del canal B1 del conversor 1 (B11) 8
Datos del canal B2 del conversor 1 (B12) 9
Datos del canal C1 del conversor 1 (C11) 10
Datos del canal C2 del conversor 1 (C12) 11
Datos del canal A1 del conversor 2 (A21) 12
Datos del canal A2 del conversor 2 (A22) 13
Datos del canal B1 del conversor 2 (B21) 14
Datos del canal B2 del conversor 2 (B22) 15
Datos del canal C1 del conversor 2 (C21) 16

Manual de usuario
- 59 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Datos del canal C2 del conversor 2 (C22) 17
Estado del sistema (BUSY) 18
Tabla 13: Registros de lectura
1.2.2 PROCEDIMIENTO A SEGUIR.
Lo primero se enviar a los registros correspondientes la configuracin de
los conversores para la funcin deseada. Despus, en el caso de quererse una
conversin continua, se enviara el tiempo de conversin que se almacenar en el
registro TIMER, teniendo en cuenta que el tiempo de conversin ser el valor del
registro multiplicado por 30 nanosegundos.
Despus se enviar el comando de inicio por el registro CONTROL,
puesto que el sistema funciona detectando los cambios en el registro CONTROL,
se aconseja poner primero el registro a cero y luego enviar la orden que se quiere
dar al sistema.
Hecho esto se tendr que controlar el registro BUSY hasta que se ponga a
cero, una vez este a cero, se podr empezar a leer los datos de los conversores. En
el modo continuo, puesto que el sistema no es capaz de almacenar ms de un dato
de cada canal, la lectura se tendr que hacer lo ms rpido posible y en orden
ascendente de direccin del registro para no perder ningn dato.

Manual de usuario
- 60 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Parte IV CDIGO FUENTE

Tarjeta
- 61 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL

Tarjeta
- 62 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Captulo 1 TARJETA
1.1 REGISTRO.
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
- - - - - - - - -
- - Company:
- - Engi neer :
- -
- - Cr eat e Dat e: 14: 24: 29 01/ 18/ 2011
- - Desi gn Name:
- - Modul e Name: Regi st r o - Behavi or al
- - Pr oj ect Name:
- - Tar get Devi ces:
- - Tool ver si ons:
- - Descr i pt i on:
- -
- - Dependenci es:
- -
- - Revi si on:
- - Revi si on 0. 01 - Fi l e Cr eat ed
- - Addi t i onal Comment s:
- -
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
- - - - - - - - -
l i br ar y I EEE;
use I EEE. STD_LOGI C_1164. ALL;
use I EEE. STD_LOGI C_ARI TH. ALL;
use I EEE. STD_LOGI C_UNSI GNED. ALL;

- - - - Uncomment t he f ol l owi ng l i br ar y decl ar at i on i f i nst ant i at i ng
- - - - any Xi l i nx pr i mi t i ves i n t hi s code.
- - l i br ar y UNI SI M;
- - use UNI SI M. VComponent s. al l ;

ent i t y Regi st r o i s
por t (
Reset : i n st d_l ogi c;
PCI _CLK : i n st d_l ogi c;
Enabl e : i n st d_l ogi c;
Ent r ada : i n st d_l ogi c_vect or ( 15 downt o 0) ;
Sal i da : out st d_l ogi c_vect or ( 15 downt o 0)
) ;
end Regi st r o;

ar chi t ect ur e Behavi or al of Regi st r o i s

begi n
pr ocess ( PCI _CLK, Reset , Ent r ada, Enabl e)
begi n
i f Reset = ' 1' t hen
Sal i da <= ( ot her s => ' 0' ) ;

Tarjeta
- 63 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
el si f ( PCI _CLK' event and PCI _CLK = ' 1' ) t hen
i f ( Enabl e = ' 1' ) t hen
Sal i da <= Ent r ada;
end i f ;
end i f ;
end pr ocess;

end Behavi or al ;
1.2 TRIESTADO.
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
- - - - - - - - -
- - Company:
- - Engi neer :
- -
- - Cr eat e Dat e: 14: 24: 29 01/ 18/ 2011
- - Desi gn Name:
- - Modul e Name: Tr i est ado - Behavi or al
- - Pr oj ect Name:
- - Tar get Devi ces:
- - Tool ver si ons:
- - Descr i pt i on:
- -
- - Dependenci es:
- -
- - Revi si on:
- - Revi si on 0. 01 - Fi l e Cr eat ed
- - Addi t i onal Comment s:
- -
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
- - - - - - - - -
l i br ar y I EEE;
use I EEE. STD_LOGI C_1164. ALL;
use I EEE. STD_LOGI C_ARI TH. ALL;
use I EEE. STD_LOGI C_UNSI GNED. ALL;

- - - - Uncomment t he f ol l owi ng l i br ar y decl ar at i on i f i nst ant i at i ng
- - - - any Xi l i nx pr i mi t i ves i n t hi s code.
- - l i br ar y UNI SI M;
- - use UNI SI M. VComponent s. al l ;

ent i t y Tr i est ado i s
por t (
Enabl e : i n st d_l ogi c;
Ent r ada : i n st d_l ogi c_vect or ( 15 downt o 0) ;
Sal i da : out st d_l ogi c_vect or ( 15 downt o 0)
) ;
end Tr i est ado;

ar chi t ect ur e Behavi or al of Tr i est ado i s

begi n
pr ocess ( Ent r ada, Enabl e)
begi n
i f Enabl e = ' 1' t hen
Sal i da <= Ent r ada;
el se

Tarjeta
- 64 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Sal i da <= ( ot her s => ' Z' ) ;
end i f ;
end pr ocess;

end Behavi or al ;
1.3 MULTIPLEXOR2
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
- - - - - - - - -
- - Company:
- - Engi neer :
- -
- - Cr eat e Dat e: 14: 24: 29 01/ 18/ 2011
- - Desi gn Name:
- - Modul e Name: Mul t i pl exor 2 - Behavi or al
- - Pr oj ect Name:
- - Tar get Devi ces:
- - Tool ver si ons:
- - Descr i pt i on:
- -
- - Dependenci es:
- -
- - Revi si on:
- - Revi si on 0. 01 - Fi l e Cr eat ed
- - Addi t i onal Comment s:
- -
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
- - - - - - - - -
l i br ar y I EEE;
use I EEE. STD_LOGI C_1164. ALL;
use I EEE. STD_LOGI C_ARI TH. ALL;
use I EEE. STD_LOGI C_UNSI GNED. ALL;

- - - - Uncomment t he f ol l owi ng l i br ar y decl ar at i on i f i nst ant i at i ng
- - - - any Xi l i nx pr i mi t i ves i n t hi s code.
- - l i br ar y UNI SI M;
- - use UNI SI M. VComponent s. al l ;

ent i t y Mul t i pl exor 2 i s
por t (
Di r ecci on : i n st d_l ogi c;
Ent r ada1 : i n st d_l ogi c_vect or ( 15 downt o 0) ;
Ent r ada2 : i n st d_l ogi c_vect or ( 15 downt o 0) ;
Sal i da : out st d_l ogi c_vect or ( 15 downt o 0)
) ;
end Mul t i pl exor 2;

ar chi t ect ur e Behavi or al of Mul t i pl exor 2 i s

begi n
pr ocess ( Di r ecci on, Ent r ada1, Ent r ada2)
begi n
case Di r ecci on i s
when ' 0' => Sal i da <= Ent r ada1;
when ' 1' => Sal i da <= Ent r ada2;
when ot her s => nul l ;
end case;

Tarjeta
- 65 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
end pr ocess;

end Behavi or al ;
1.4 MULTIPLEXOR13
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
- - - - - - - - -
- - Company:
- - Engi neer :
- -
- - Cr eat e Dat e: 14: 24: 29 01/ 18/ 2011
- - Desi gn Name:
- - Modul e Name: Mul t i pl exor 13 - Behavi or al
- - Pr oj ect Name:
- - Tar get Devi ces:
- - Tool ver si ons:
- - Descr i pt i on:
- -
- - Dependenci es:
- -
- - Revi si on:
- - Revi si on 0. 01 - Fi l e Cr eat ed
- - Addi t i onal Comment s:
- -
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
- - - - - - - - -
l i br ar y I EEE;
use I EEE. STD_LOGI C_1164. ALL;
use I EEE. STD_LOGI C_ARI TH. ALL;
use I EEE. STD_LOGI C_UNSI GNED. ALL;

- - - - Uncomment t he f ol l owi ng l i br ar y decl ar at i on i f i nst ant i at i ng
- - - - any Xi l i nx pr i mi t i ves i n t hi s code.
- - l i br ar y UNI SI M;
- - use UNI SI M. VComponent s. al l ;

ent i t y Mul t i pl exor 13 i s
por t (
Di r ecci on : i n st d_l ogi c_vect or ( 4 downt o 0) ;
Ent r ada1 : i n st d_l ogi c_vect or ( 15 downt o 0) ;
Ent r ada2 : i n st d_l ogi c_vect or ( 15 downt o 0) ;
Ent r ada3 : i n st d_l ogi c_vect or ( 15 downt o 0) ;
Ent r ada4 : i n st d_l ogi c_vect or ( 15 downt o 0) ;
Ent r ada5 : i n st d_l ogi c_vect or ( 15 downt o 0) ;
Ent r ada6 : i n st d_l ogi c_vect or ( 15 downt o 0) ;
Ent r ada7 : i n st d_l ogi c_vect or ( 15 downt o 0) ;
Ent r ada8 : i n st d_l ogi c_vect or ( 15 downt o 0) ;
Ent r ada9 : i n st d_l ogi c_vect or ( 15 downt o 0) ;
Ent r ada10 : i n st d_l ogi c_vect or ( 15 downt o 0) ;
Ent r ada11 : i n st d_l ogi c_vect or ( 15 downt o 0) ;
Ent r ada12 : i n st d_l ogi c_vect or ( 15 downt o 0) ;
Ent r ada13 : i n st d_l ogi c_vect or ( 15 downt o 0) ;
Sal i da : out st d_l ogi c_vect or ( 15 downt o 0)
) ;
end Mul t i pl exor 13;

ar chi t ect ur e Behavi or al of Mul t i pl exor 13 i s

Tarjeta
- 66 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL

begi n
pr ocess ( Di r ecci on, Ent r ada1, Ent r ada2, Ent r ada3, Ent r ada4,
Ent r ada5, Ent r ada6, Ent r ada7, Ent r ada8, Ent r ada9, Ent r ada10, Ent r ada11,
Ent r ada12, Ent r ada13)
begi n
case Di r ecci on i s
when " 00110" => Sal i da <= Ent r ada1;
when " 00111" => Sal i da <= Ent r ada2;
when " 01000" => Sal i da <= Ent r ada3;
when " 01001" => Sal i da <= Ent r ada4;
when " 01010" => Sal i da <= Ent r ada5;
when " 01011" => Sal i da <= Ent r ada6;
when " 01100" => Sal i da <= Ent r ada7;
when " 01101" => Sal i da <= Ent r ada8;
when " 01110" => Sal i da <= Ent r ada9;
when " 01111" => Sal i da <= Ent r ada10;
when " 10000" => Sal i da <= Ent r ada11;
when " 10001" => Sal i da <= Ent r ada12;
when " 10010" => Sal i da <= Ent r ada13;
when ot her s => Sal i da <= ( ot her s => ' 0' ) ;
end case;
end pr ocess;

end Behavi or al ;
1.5 DEMULTIPLEXOR6
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
- - - - - - - - -
- - Company:
- - Engi neer :
- -
- - Cr eat e Dat e: 14: 24: 29 01/ 18/ 2011
- - Desi gn Name:
- - Modul e Name: Demul t i pl exor 6 - Behavi or al
- - Pr oj ect Name:
- - Tar get Devi ces:
- - Tool ver si ons:
- - Descr i pt i on:
- -
- - Dependenci es:
- -
- - Revi si on:
- - Revi si on 0. 01 - Fi l e Cr eat ed
- - Addi t i onal Comment s:
- -
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
- - - - - - - - -
l i br ar y I EEE;
use I EEE. STD_LOGI C_1164. ALL;
use I EEE. STD_LOGI C_ARI TH. ALL;
use I EEE. STD_LOGI C_UNSI GNED. ALL;

- - - - Uncomment t he f ol l owi ng l i br ar y decl ar at i on i f i nst ant i at i ng
- - - - any Xi l i nx pr i mi t i ves i n t hi s code.
- - l i br ar y UNI SI M;
- - use UNI SI M. VComponent s. al l ;

Tarjeta
- 67 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL

ent i t y Demul t i pl exor 6 i s
por t (
Di r ecci on : i n st d_l ogi c_vect or ( 4 downt o 0) ;
Sal i da1 : out st d_l ogi c;
Sal i da2 : out st d_l ogi c;
Sal i da3 : out st d_l ogi c;
Sal i da4 : out st d_l ogi c;
Sal i da5 : out st d_l ogi c;
Sal i da6 : out st d_l ogi c
) ;
end Demul t i pl exor 6;

ar chi t ect ur e Behavi or al of Demul t i pl exor 6 i s

begi n
pr ocess ( Di r ecci on)
begi n
Sal i da1 <= ' 0' ;
Sal i da2 <= ' 0' ;
Sal i da3 <= ' 0' ;
Sal i da4 <= ' 0' ;
Sal i da5 <= ' 0' ;
Sal i da6 <= ' 0' ;
case Di r ecci on i s
when " 00000" => Sal i da1 <= ' 1' ;
when " 00001" => Sal i da2 <= ' 1' ;
when " 00010" => Sal i da3 <= ' 1' ;
when " 00011" => Sal i da4 <= ' 1' ;
when " 00100" => Sal i da5 <= ' 1' ;
when " 00101" => Sal i da6 <= ' 1' ;
when ot her s => nul l ;
end case;
end pr ocess;

end Behavi or al ;
1.6 CONTROLADOR
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
- - - - - - - - -
- - Company:
- - Engi neer :
- -
- - Cr eat e Dat e: 14: 24: 29 01/ 18/ 2011
- - Desi gn Name:
- - Modul e Name: Cont r ol ador - Behavi or al
- - Pr oj ect Name:
- - Tar get Devi ces:
- - Tool ver si ons:
- - Descr i pt i on:
- -
- - Dependenci es:
- -
- - Revi si on:
- - Revi si on 0. 01 - Fi l e Cr eat ed
- - Addi t i onal Comment s:
- -

Tarjeta
- 68 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
- - - - - - - - -
l i br ar y I EEE;
use I EEE. STD_LOGI C_1164. ALL;
use I EEE. STD_LOGI C_ARI TH. ALL;
use I EEE. STD_LOGI C_UNSI GNED. ALL;

- - - - Uncomment t he f ol l owi ng l i br ar y decl ar at i on i f i nst ant i at i ng
- - - - any Xi l i nx pr i mi t i ves i n t hi s code.
- - l i br ar y UNI SI M;
- - use UNI SI M. VComponent s. al l ;

ent i t y Cont r ol ador i s
por t (
Reset : i n st d_l ogi c;
PCI _CLK : i n st d_l ogi c;
Ti mer : i n st d_l ogi c_vect or ( 15 downt o 0) ;
Cont r ol : i n st d_l ogi c_vect or ( 15 downt o 0) ;
BUSY1 : i n st d_l ogi c;
BUSY2 : i n st d_l ogi c;
Enabl eA11 : out st d_l ogi c;
Enabl eA12 : out st d_l ogi c;
Enabl eA21 : out st d_l ogi c;
Enabl eA22 : out st d_l ogi c;
Enabl eB11 : out st d_l ogi c;
Enabl eB12 : out st d_l ogi c;
Enabl eB21 : out st d_l ogi c;
Enabl eB22 : out st d_l ogi c;
Enabl eC11 : out st d_l ogi c;
Enabl eC12 : out st d_l ogi c;
Enabl eC21 : out st d_l ogi c;
Enabl eC22 : out st d_l ogi c;
Enabl eBusy : out st d_l ogi c;
Enabl eTr i 1 : out st d_l ogi c;
Enabl eTr i 2 : out st d_l ogi c;
Di r Con1 : out st d_l ogi c;
Di r Con2 : out st d_l ogi c;
PARSER1 : out st d_l ogi c;
PARSER2 : out st d_l ogi c;
HWSW1 : out st d_l ogi c;
HWSW2 : out st d_l ogi c;
REFEN1 : out st d_l ogi c;
REFEN2 : out st d_l ogi c;
CS1 : out st d_l ogi c;
CS2 : out st d_l ogi c;
RD1 : out st d_l ogi c;
RD2 : out st d_l ogi c;
CONVST_A1 : out st d_l ogi c;
CONVST_A2 : out st d_l ogi c;
CONVST_B1 : out st d_l ogi c;
CONVST_B2 : out st d_l ogi c;
CONVST_C1 : out st d_l ogi c;
CONVST_C2 : out st d_l ogi c;
STBY1 : out st d_l ogi c;
STBY2 : out st d_l ogi c;
RANGO1 : out st d_l ogi c;
RANGO2 : out st d_l ogi c;
RESET1 : out st d_l ogi c;
RESET2 : out st d_l ogi c;
WORDBYTE1 : out st d_l ogi c;
WORDBYTE2 : out st d_l ogi c;
Busy : out st d_l ogi c_vect or ( 15 downt o 0)
) ;

Tarjeta
- 69 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
end Cont r ol ador ;

ar chi t ect ur e Behavi or al of Cont r ol ador i s

si gnal Pr oceso : st d_l ogi c;
si gnal Cont i nuo : st d_l ogi c;
si gnal I ni ci a : st d_l ogi c;
si gnal Fi nI ni ci a : st d_l ogi c;
si gnal Conver : st d_l ogi c;
si gnal Fi nConver : st d_l ogi c;
t ype Est adosPr i nci pal _t ype i s ( Esper a, I ni ci al i zaci on,
Conver si on) ;
si gnal est adopr i , est adopr i _si g: Est adosPr i nci pal _t ype;
t ype Est adosI ni ci al i zaci on_t ype i s ( Esper a1, Pr i mer a, I nt er medi o,
Segunda) ;
si gnal est adoi ni , est adoi ni _si g: Est adosI ni ci al i zaci on_t ype;
t ype Est adosConver si on_t ype i s ( Cer o, Uno, Dos, Tr es, Cuat r o) ;
si gnal est adocon, est adocon_si g: Est adosConver si on_t ype;
si gnal Cont ador : i nt eger r ange 1 t o 6;
si gnal Ant er i or : st d_l ogi c_vect or ( 15 downt o 0) ;
si gnal Ti empo : st d_l ogi c_vect or ( 15 downt o 0) : =
" 0000000000000000" ;
si gnal I ni ci oTi empo : st d_l ogi c;

begi n

PARSER1 <= ' 0' ;
PARSER2 <= ' 0' ;
WORDBYTE1 <= ' 0' ;
WORDBYTE2 <= ' 0' ;
HWSW1 <= ' 1' ;
HWSW2 <= ' 1' ;
STBY1 <= ' 1' ;
STBY2 <= ' 1' ;
RANGO1 <= ' 0' ;
RANGO2 <= ' 0' ;

pr ocess ( PCI _CLK, r eset , est adopr i _si g, est adoi ni _si g,
est adocon_si g, cont r ol )
begi n
i f ( PCI _CLK' event and PCI _CLK = ' 1' ) t hen
i f ( Reset = ' 1' or Cont r ol ( 2) = ' 1' ) t hen
est adopr i <= Esper a;
est adoi ni <= Esper a1;
est adocon <= Cer o;
r eset 1<= ' 1' ;
r eset 2<= ' 1' ;
el se
est adopr i <= est adopr i _si g;
est adoi ni <= est adoi ni _si g;
est adocon <= est adocon_si g;
r eset 1<= ' 0' ;
r eset 2<= ' 0' ;
end i f ;
end i f ;
end pr ocess;

pr ocess ( PCI _CLK, I ni ci oTi empo)
begi n
i f ( PCI _CLK' event and PCI _CLK = ' 1' ) t hen
i f ( I ni ci oTi empo = ' 1' ) t hen
Ti empo <= " 0000000000000000" ;
el se

Tarjeta
- 70 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Ti empo<= Ti empo + ' 1' ;
end i f ;
end i f ;
end pr ocess;

pr ocess ( Cont r ol , PCI _CLK, Ant er i or , Cont i nuo, Ti mer , Ti empo)
begi n
i f Reset = ' 1' t hen
Pr oceso <= ' 0' ;
Cont i nuo <= ' 0' ;
I ni ci oTi empo <= ' 0' ;
el si f r i si ng_edge( PCI _CLK) t hen
i f ( Cont r ol ( 0) = ' 1' and Ant er i or ( 0) = ' 0' ) t hen
Pr oceso <= ' 1' ;
Cont i nuo <= ' 0' ;
el si f ( Cont r ol ( 1) = ' 1' and Ant er i or ( 1) = ' 0' ) t hen
Cont i nuo <= ' 1' ;
I ni ci oTi empo <= ' 1' ;
el si f ( Cont i nuo = ' 1' ) t hen
Pr oceso <= ' 1' ;
I ni ci oTi empo <= ' 0' ;
i f ( Ti mer = Ti empo) t hen
Cont i nuo <= ' 0' ;
end i f ;
el se
Pr oceso <= ' 0' ;
end i f ;
Ant er i or <= Cont r ol ;
end i f ;
end pr ocess;

pr ocess ( Pr oceso, Fi nI ni ci a, Fi nConver , est adopr i )
begi n
est adopr i _si g <= est adopr i ;
case ( est adopr i ) i s
when Esper a =>
i f Pr oceso = ' 1' t hen
est adopr i _si g <= I ni ci al i zaci on;
end i f ;
when I ni ci al i zaci on =>
i f Fi nI ni ci a = ' 1' t hen
est adopr i _si g <= Conver si on;
end i f ;
when Conver si on =>
i f Fi nConver = ' 1' t hen
est adopr i _si g <= Esper a;
end i f ;
end case;
end pr ocess;

pr ocess ( est adopr i )
begi n
Enabl eBusy <= ' 1' ;
Busy <= ( ot her s => ' 0' ) ;
I ni ci a <= ' 0' ;
Conver <= ' 0' ;
case est adopr i i s
when Esper a =>
Busy( 15) <= ' 0' ;
when I ni ci al i zaci on =>
I ni ci a <= ' 1' ;
Busy( 15) <= ' 1' ;
when Conver si on =>

Tarjeta
- 71 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Conver <= ' 1' ;
Busy( 15) <= ' 1' ;
end case;
end pr ocess;

pr ocess ( I ni ci a, est adoi ni )
begi n
est adoi ni _si g <= est adoi ni ;
case ( est adoi ni ) i s
when Esper a1 =>
i f ( I ni ci a = ' 1' ) t hen
est adoi ni _si g <= Pr i mer a;
end i f ;
when Pr i mer a =>
est adoi ni _si g <= I nt er medi o;
when I nt er medi o =>
est adoi ni _si g <= Segunda;
when Segunda =>
est adoi ni _si g <= Esper a1;
end case;
end pr ocess;

pr ocess ( est adoi ni )
begi n
CS1 <= ' Z' ;
CS2 <= ' Z' ;
REFEN1 <= ' 0' ;
REFEN2 <= ' 0' ;
Di r Con1 <= ' 0' ;
Di r Con2 <= ' 0' ;
Enabl eTr i 1 <= ' 0' ;
Enabl eTr i 2 <= ' 0' ;
Fi nI ni ci a <= ' 0' ;
case ( est adoi ni ) i s
when Esper a1 =>
nul l ;
when Pr i mer a =>
CS1 <= ' 1' ;
CS2 <= ' 1' ;
REFEN1 <= ' 1' ;
REFEN2 <= ' 1' ;
Di r Con1 <= ' 1' ;
Di r Con2 <= ' 1' ;
Enabl eTr i 1 <= ' 1' ;
Enabl eTr i 2 <= ' 1' ;
when I nt er medi o =>
CS1 <= ' 1' ;
CS2 <= ' 1' ;
Di r Con1 <= ' 1' ;
Di r Con2 <= ' 1' ;
Enabl eTr i 1 <= ' 1' ;
Enabl eTr i 2 <= ' 1' ;
when Segunda =>
CS1 <= ' 1' ;
CS2 <= ' 1' ;
REFEN1 <= ' 1' ;
REFEN2 <= ' 1' ;
Enabl eTr i 1 <= ' 1' ;
Enabl eTr i 2 <= ' 1' ;
Fi nI ni ci a <= ' 1' ;
end case;
end pr ocess;


Tarjeta
- 72 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
pr ocess ( Conver , BUSY1, BUSY2, est adocon)
begi n
est adocon_si g <= est adocon;
case ( est adocon) i s
when Cer o =>
i f ( Conver = ' 1' ) t hen
est adocon_si g <= Uno;
end i f ;
when Uno =>
i f ( BUSY1 = ' 0' and BUSY2 = ' 0' ) t hen
est adocon_si g <= Dos;
Cont ador <= 1;
end i f ;
when Dos =>
i f ( Cont ador = 6) t hen
est adocon_si g <= Cuat r o;
el se
est adocon_si g <= Tr es;
end i f ;
when Tr es =>
est adocon_si g <= Dos;
Cont ador <= Cont ador + 1;
when Cuat r o =>
est adocon_si g <= Cer o;
end case;
end pr ocess;

pr ocess ( est adocon)
begi n
CONVST_A1 <= ' 0' ;
CONVST_A2 <= ' 0' ;
CONVST_B1 <= ' 0' ;
CONVST_B2 <= ' 0' ;
CONVST_C1 <= ' 0' ;
CONVST_C2 <= ' 0' ;
CS1 <= ' Z' ;
CS2 <= ' Z' ;
RD1 <= ' 0' ;
RD2 <= ' 0' ;
Enabl eA11 <= ' 0' ;
Enabl eA21 <= ' 0' ;
Enabl eA12 <= ' 0' ;
Enabl eA22 <= ' 0' ;
Enabl eB11 <= ' 0' ;
Enabl eB21 <= ' 0' ;
Enabl eB12 <= ' 0' ;
Enabl eB22 <= ' 0' ;
Enabl eC11 <= ' 0' ;
Enabl eC21 <= ' 0' ;
Enabl eC12 <= ' 0' ;
Enabl eC22 <= ' 0' ;
Fi nConver <= ' 0' ;
case est adocon i s
when Cer o =>
nul l ;
when Uno =>
CONVST_A1 <= ' 1' ;
CONVST_A2 <= ' 1' ;
CONVST_B1 <= ' 1' ;
CONVST_B2 <= ' 1' ;
CONVST_C1 <= ' 1' ;
CONVST_C2 <= ' 1' ;
when Dos =>

Tarjeta
- 73 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
CS1 <= ' 1' ;
CS2 <= ' 1' ;
RD1 <= ' 1' ;
RD2 <= ' 1' ;
CONVST_A1 <= ' 1' ;
CONVST_A2 <= ' 1' ;
CONVST_B1 <= ' 1' ;
CONVST_B2 <= ' 1' ;
CONVST_C1 <= ' 1' ;
CONVST_C2 <= ' 1' ;
case Cont ador i s
when 1 =>
Enabl eA11 <= ' 1' ;
Enabl eA21 <= ' 1' ;
when 2 =>
Enabl eA12 <= ' 1' ;
Enabl eA22 <= ' 1' ;
when 3 =>
Enabl eB11 <= ' 1' ;
Enabl eB21 <= ' 1' ;
when 4 =>
Enabl eB12 <= ' 1' ;
Enabl eB22 <= ' 1' ;
when 5 =>
Enabl eC11 <= ' 1' ;
Enabl eC21 <= ' 1' ;
when 6 =>
Enabl eC12 <= ' 1' ;
Enabl eC22 <= ' 1' ;
when ot her s => nul l ;
end case;
when Tr es =>
CS1 <= ' 1' ;
CS2 <= ' 1' ;
CONVST_A1 <= ' 1' ;
CONVST_A2 <= ' 1' ;
CONVST_B1 <= ' 1' ;
CONVST_B2 <= ' 1' ;
CONVST_C1 <= ' 1' ;
CONVST_C2 <= ' 1' ;
when Cuat r o =>
CONVST_A1 <= ' 1' ;
CONVST_A2 <= ' 1' ;
CONVST_B1 <= ' 1' ;
CONVST_B2 <= ' 1' ;
CONVST_C1 <= ' 1' ;
CONVST_C2 <= ' 1' ;
Fi nConver <= ' 1' ;
end case;
end pr ocess;

end Behavi or al ;

1.7 OBSERVADOR
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
- - - - - - - - -

Tarjeta
- 74 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
- - Company:
- - Engi neer :
- -
- - Cr eat e Dat e: 12: 54: 35 05/ 28/ 2011
- - Desi gn Name:
- - Modul e Name: Obser vador - Behavi or al
- - Pr oj ect Name:
- - Tar get Devi ces:
- - Tool ver si ons:
- - Descr i pt i on:
- -
- - Dependenci es:
- -
- - Revi si on:
- - Revi si on 0. 01 - Fi l e Cr eat ed
- - Addi t i onal Comment s:
- -
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
- - - - - - - - -
l i br ar y I EEE;
use I EEE. STD_LOGI C_1164. ALL;
use I EEE. STD_LOGI C_ARI TH. ALL;
use I EEE. STD_LOGI C_UNSI GNED. ALL;

- - - - Uncomment t he f ol l owi ng l i br ar y decl ar at i on i f i nst ant i at i ng
- - - - any Xi l i nx pr i mi t i ves i n t hi s code.
- - l i br ar y UNI SI M;
- - use UNI SI M. VComponent s. al l ;

ent i t y Obser vador i s
por t (
st b : i n st d_l ogi c;
PCI _CLK : i n st d_l ogi c;
enabl e : out st d_l ogi c;
ack : out st d_l ogi c
) ;
end Obser vador ;

ar chi t ect ur e Behavi or al of Obser vador i s

t ype Est ados_t ype i s ( Esper a, Val i do) ;
si gnal est ado, est ado_si g: Est ados_t ype;

begi n

pr ocess ( PCI _CLK, est ado_si g)
begi n
i f r i si ng_edge( PCI _CLK) t hen
est ado <= est ado_si g;
end i f ;
end pr ocess;

pr ocess ( st b, est ado)
begi n
est ado_si g <= est ado;
case ( est ado) i s
when Esper a =>
i f st b = ' 1' t hen
est ado_si g <= Val i do;
end i f ;
when Val i do =>
i f st b = ' 0' t hen
est ado_si g <= Esper a;

Tarjeta
- 75 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
end i f ;
end case;
end pr ocess;

pr ocess ( est ado)
begi n
case ( est ado) i s
when Esper a =>
enabl e <= ' 0' ;
ack <= ' 0' ;
when Val i do =>
enabl e <= ' 1' ;
ack <= ' 1' ;
end case;
end pr ocess;

end Behavi or al ;


Simulaciones
- 76 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Captulo 2 SIMULACIONES
2.1 PRUREGISTRO
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
- - - - - - -
- - Company:
- - Engi neer :
- -
- - Cr eat e Dat e: 18: 15: 22 03/ 02/ 2011
- - Desi gn Name:
- - Modul e Name: K: / Pr oyect o/ Compar t i da/ VHDL/ Pr oyect o/ pr uRegi st r o. vhd
- - Pr oj ect Name: Pr oyect o
- - Tar get Devi ce:
- - Tool ver si ons:
- - Descr i pt i on:
- -
- - VHDL Test Bench Cr eat ed by I SE f or modul e: Regi st r o
- -
- - Dependenci es:
- -
- - Revi si on:
- - Revi si on 0. 01 - Fi l e Cr eat ed
- - Addi t i onal Comment s:
- -
- - Not es:
- - Thi s t est bench has been aut omat i cal l y gener at ed usi ng t ypes st d_l ogi c
and
- - st d_l ogi c_vect or f or t he por t s of t he uni t under t est . Xi l i nx
r ecommends
- - t hat t hese t ypes al ways be used f or t he t op- l evel I / O of a desi gn i n
or der
- - t o guar ant ee t hat t he t est bench wi l l bi nd cor r ect l y t o t he post -
i mpl ement at i on
- - si mul at i on model .
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
- - - - - - -
LI BRARY i eee;
USE i eee. st d_l ogi c_1164. ALL;
USE i eee. st d_l ogi c_unsi gned. al l ;
USE i eee. numer i c_st d. ALL;

ENTI TY pr uRegi st r o I S
END pr uRegi st r o;

ARCHI TECTURE behavi or OF pr uRegi st r o I S

- - Component Decl ar at i on f or t he Uni t Under Test ( UUT)

COMPONENT Regi st r o
PORT(

Simulaciones
- 77 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Reset : I N st d_l ogi c;
PCI _CLK : I N st d_l ogi c;
Enabl e : I N st d_l ogi c;
Ent r ada : I N st d_l ogi c_vect or ( 15 downt o 0) ;
Sal i da : OUT st d_l ogi c_vect or ( 15 downt o 0)
) ;
END COMPONENT;


- - I nput s
si gnal Reset : st d_l ogi c : = ' 0' ;
si gnal PCI _CLK : st d_l ogi c : = ' 0' ;
si gnal Enabl e : st d_l ogi c : = ' 0' ;
si gnal Ent r ada : st d_l ogi c_vect or ( 15 downt o 0) : = ( ot her s => ' 0' ) ;

- - Out put s
si gnal Sal i da : st d_l ogi c_vect or ( 15 downt o 0) ;

const ant PCI _CLK_per i od : t i me : = 30 ns;

BEGI N

- - I nst ant i at e t he Uni t Under Test ( UUT)
uut : Regi st r o PORT MAP (
Reset => Reset ,
PCI _CLK => PCI _CLK,
Enabl e => Enabl e,
Ent r ada => Ent r ada,
Sal i da => Sal i da
) ;

- - No cl ocks det ect ed i n por t l i st . Repl ace <cl ock> bel ow wi t h
- - appr opr i at e por t name


PCI _CLK_pr ocess : pr ocess
begi n
PCI _CLK <= ' 0' ;
wai t f or PCI _CLK_per i od/ 2;
PCI _CLK <= ' 1' ;
wai t f or PCI _CLK_per i od/ 2;
end pr ocess;


- - St i mul us pr ocess
st i m_pr oc: pr ocess
begi n
- - hol d r eset st at e.

wai t f or PCI _CLK_per i od*5;

- - i nser t st i mul us her e

Enabl e <= ' 1' ;

wai t f or PCI _CLK_per i od*5;

Ent r ada <= " 0000010100001010" ;

wai t f or PCI _CLK_per i od*5;

Enabl e <= ' 0' ;


Simulaciones
- 78 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
wai t f or PCI _CLK_per i od*5;

Ent r ada <= " 0000000000001111" ;

wai t f or PCI _CLK_per i od*5;

Reset <= ' 1' ;

wai t f or PCI _CLK_per i od*5;

Reset <= ' 0' ;

wai t f or PCI _CLK_per i od*5;

Enabl e <= ' 1' ;

wai t f or PCI _CLK_per i od*5;

Enabl e <= ' 0' ;

wai t ;
end pr ocess;

END;

2.2 PRUTRIESTADO
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
- - - - - - -
- - Company:
- - Engi neer :
- -
- - Cr eat e Dat e: 18: 45: 12 03/ 02/ 2011
- - Desi gn Name:
- - Modul e Name: K: / Pr oyect o/ Compar t i da/ VHDL/ Pr oyect o/ pr uTr i est ado. vhd
- - Pr oj ect Name: Pr oyect o
- - Tar get Devi ce:
- - Tool ver si ons:
- - Descr i pt i on:
- -
- - VHDL Test Bench Cr eat ed by I SE f or modul e: Tr i est ado
- -
- - Dependenci es:
- -
- - Revi si on:
- - Revi si on 0. 01 - Fi l e Cr eat ed
- - Addi t i onal Comment s:
- -
- - Not es:
- - Thi s t est bench has been aut omat i cal l y gener at ed usi ng t ypes st d_l ogi c
and
- - st d_l ogi c_vect or f or t he por t s of t he uni t under t est . Xi l i nx
r ecommends
- - t hat t hese t ypes al ways be used f or t he t op- l evel I / O of a desi gn i n
or der

Simulaciones
- 79 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
- - t o guar ant ee t hat t he t est bench wi l l bi nd cor r ect l y t o t he post -
i mpl ement at i on
- - si mul at i on model .
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
- - - - - - -
LI BRARY i eee;
USE i eee. st d_l ogi c_1164. ALL;
USE i eee. st d_l ogi c_unsi gned. al l ;
USE i eee. numer i c_st d. ALL;

ENTI TY pr uTr i est ado I S
END pr uTr i est ado;

ARCHI TECTURE behavi or OF pr uTr i est ado I S

- - Component Decl ar at i on f or t he Uni t Under Test ( UUT)

COMPONENT Tr i est ado
PORT(
Enabl e : I N st d_l ogi c;
Ent r ada : I N st d_l ogi c_vect or ( 15 downt o 0) ;
Sal i da : OUT st d_l ogi c_vect or ( 15 downt o 0)
) ;
END COMPONENT;


- - I nput s
si gnal Enabl e : st d_l ogi c : = ' 0' ;
si gnal Ent r ada : st d_l ogi c_vect or ( 15 downt o 0) : = ( ot her s => ' 0' ) ;

- - Out put s
si gnal Sal i da : st d_l ogi c_vect or ( 15 downt o 0) ;

const ant PCI _CLK_per i od : t i me : = 30ns;

BEGI N

- - I nst ant i at e t he Uni t Under Test ( UUT)
uut : Tr i est ado PORT MAP (
Enabl e => Enabl e,
Ent r ada => Ent r ada,
Sal i da => Sal i da
) ;

- - St i mul us pr ocess
st i m_pr oc: pr ocess
begi n
- - hol d r eset st at e.

wai t f or PCI _CLK_per i od*5;

- - i nser t st i mul us her e

Ent r ada <= " 0000000000001111" ;

wai t f or PCI _CLK_per i od*5;

Enabl e <= ' 1' ;

wai t f or PCI _CLK_per i od*5;

Enabl e <= ' 0' ;


Simulaciones
- 80 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
wai t ;
end pr ocess;

END;

2.3 PRUMUL2
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
- - - - - - -
- - Company:
- - Engi neer :
- -
- - Cr eat e Dat e: 18: 55: 59 03/ 02/ 2011
- - Desi gn Name:
- - Modul e Name: K: / Pr oyect o/ Compar t i da/ VHDL/ Pr oyect o/ pr uMul 2. vhd
- - Pr oj ect Name: Pr oyect o
- - Tar get Devi ce:
- - Tool ver si ons:
- - Descr i pt i on:
- -
- - VHDL Test Bench Cr eat ed by I SE f or modul e: Mul t i pl exor 2
- -
- - Dependenci es:
- -
- - Revi si on:
- - Revi si on 0. 01 - Fi l e Cr eat ed
- - Addi t i onal Comment s:
- -
- - Not es:
- - Thi s t est bench has been aut omat i cal l y gener at ed usi ng t ypes st d_l ogi c
and
- - st d_l ogi c_vect or f or t he por t s of t he uni t under t est . Xi l i nx
r ecommends
- - t hat t hese t ypes al ways be used f or t he t op- l evel I / O of a desi gn i n
or der
- - t o guar ant ee t hat t he t est bench wi l l bi nd cor r ect l y t o t he post -
i mpl ement at i on
- - si mul at i on model .
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
- - - - - - -
LI BRARY i eee;
USE i eee. st d_l ogi c_1164. ALL;
USE i eee. st d_l ogi c_unsi gned. al l ;
USE i eee. numer i c_st d. ALL;

ENTI TY pr uMul 2 I S
END pr uMul 2;

ARCHI TECTURE behavi or OF pr uMul 2 I S

- - Component Decl ar at i on f or t he Uni t Under Test ( UUT)

COMPONENT Mul t i pl exor 2
PORT(
Di r ecci on : I N st d_l ogi c;
Ent r ada1 : I N st d_l ogi c_vect or ( 15 downt o 0) ;

Simulaciones
- 81 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Ent r ada2 : I N st d_l ogi c_vect or ( 15 downt o 0) ;
Sal i da : OUT st d_l ogi c_vect or ( 15 downt o 0)
) ;
END COMPONENT;


- - I nput s
si gnal Di r ecci on : st d_l ogi c : = ' 0' ;
si gnal Ent r ada1 : st d_l ogi c_vect or ( 15 downt o 0) : = ( ot her s => ' 0' ) ;
si gnal Ent r ada2 : st d_l ogi c_vect or ( 15 downt o 0) : = ( ot her s => ' 0' ) ;

- - Out put s
si gnal Sal i da : st d_l ogi c_vect or ( 15 downt o 0) ;

const ant PCI _CLK_per i od : t i me : = 30ns;

BEGI N

- - I nst ant i at e t he Uni t Under Test ( UUT)
uut : Mul t i pl exor 2 PORT MAP (
Di r ecci on => Di r ecci on,
Ent r ada1 => Ent r ada1,
Ent r ada2 => Ent r ada2,
Sal i da => Sal i da
) ;



- - St i mul us pr ocess
st i m_pr oc: pr ocess
begi n
- - hol d r eset st at e.

wai t f or PCI _CLK_per i od*5;

- - i nser t st i mul us her e

Ent r ada1 <= " 0000000011111111" ;
Ent r ada2 <= " 1111111100000000" ;

wai t f or PCI _CLK_per i od*5;

Di r ecci on <= ' 0' ;

wai t f or PCI _CLK_per i od*5;

Di r ecci on <= ' 1' ;

wai t ;
end pr ocess;

END;


Simulaciones
- 82 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
2.4 PRUMUL13
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
- - - - - - -
- - Company:
- - Engi neer :
- -
- - Cr eat e Dat e: 19: 02: 47 03/ 02/ 2011
- - Desi gn Name:
- - Modul e Name: K: / Pr oyect o/ Compar t i da/ VHDL/ Pr oyect o/ pr uMul 13. vhd
- - Pr oj ect Name: Pr oyect o
- - Tar get Devi ce:
- - Tool ver si ons:
- - Descr i pt i on:
- -
- - VHDL Test Bench Cr eat ed by I SE f or modul e: Mul t i pl exor 13
- -
- - Dependenci es:
- -
- - Revi si on:
- - Revi si on 0. 01 - Fi l e Cr eat ed
- - Addi t i onal Comment s:
- -
- - Not es:
- - Thi s t est bench has been aut omat i cal l y gener at ed usi ng t ypes st d_l ogi c
and
- - st d_l ogi c_vect or f or t he por t s of t he uni t under t est . Xi l i nx
r ecommends
- - t hat t hese t ypes al ways be used f or t he t op- l evel I / O of a desi gn i n
or der
- - t o guar ant ee t hat t he t est bench wi l l bi nd cor r ect l y t o t he post -
i mpl ement at i on
- - si mul at i on model .
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
- - - - - - -
LI BRARY i eee;
USE i eee. st d_l ogi c_1164. ALL;
USE i eee. st d_l ogi c_unsi gned. al l ;
USE i eee. numer i c_st d. ALL;

ENTI TY pr uMul 13 I S
END pr uMul 13;

ARCHI TECTURE behavi or OF pr uMul 13 I S

- - Component Decl ar at i on f or t he Uni t Under Test ( UUT)

COMPONENT Mul t i pl exor 13
PORT(
Di r ecci on : I N st d_l ogi c_vect or ( 4 downt o 0) ;
Ent r ada1 : I N st d_l ogi c_vect or ( 15 downt o 0) ;
Ent r ada2 : I N st d_l ogi c_vect or ( 15 downt o 0) ;
Ent r ada3 : I N st d_l ogi c_vect or ( 15 downt o 0) ;
Ent r ada4 : I N st d_l ogi c_vect or ( 15 downt o 0) ;
Ent r ada5 : I N st d_l ogi c_vect or ( 15 downt o 0) ;
Ent r ada6 : I N st d_l ogi c_vect or ( 15 downt o 0) ;
Ent r ada7 : I N st d_l ogi c_vect or ( 15 downt o 0) ;
Ent r ada8 : I N st d_l ogi c_vect or ( 15 downt o 0) ;
Ent r ada9 : I N st d_l ogi c_vect or ( 15 downt o 0) ;

Simulaciones
- 83 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Ent r ada10 : I N st d_l ogi c_vect or ( 15 downt o 0) ;
Ent r ada11 : I N st d_l ogi c_vect or ( 15 downt o 0) ;
Ent r ada12 : I N st d_l ogi c_vect or ( 15 downt o 0) ;
Ent r ada13 : I N st d_l ogi c_vect or ( 15 downt o 0) ;
Sal i da : OUT st d_l ogi c_vect or ( 15 downt o 0)
) ;
END COMPONENT;


- - I nput s
si gnal Di r ecci on : st d_l ogi c_vect or ( 4 downt o 0) : = ( ot her s => ' 0' ) ;
si gnal Ent r ada1 : st d_l ogi c_vect or ( 15 downt o 0) : = ( ot her s => ' 0' ) ;
si gnal Ent r ada2 : st d_l ogi c_vect or ( 15 downt o 0) : = ( ot her s => ' 0' ) ;
si gnal Ent r ada3 : st d_l ogi c_vect or ( 15 downt o 0) : = ( ot her s => ' 0' ) ;
si gnal Ent r ada4 : st d_l ogi c_vect or ( 15 downt o 0) : = ( ot her s => ' 0' ) ;
si gnal Ent r ada5 : st d_l ogi c_vect or ( 15 downt o 0) : = ( ot her s => ' 0' ) ;
si gnal Ent r ada6 : st d_l ogi c_vect or ( 15 downt o 0) : = ( ot her s => ' 0' ) ;
si gnal Ent r ada7 : st d_l ogi c_vect or ( 15 downt o 0) : = ( ot her s => ' 0' ) ;
si gnal Ent r ada8 : st d_l ogi c_vect or ( 15 downt o 0) : = ( ot her s => ' 0' ) ;
si gnal Ent r ada9 : st d_l ogi c_vect or ( 15 downt o 0) : = ( ot her s => ' 0' ) ;
si gnal Ent r ada10 : st d_l ogi c_vect or ( 15 downt o 0) : = ( ot her s => ' 0' ) ;
si gnal Ent r ada11 : st d_l ogi c_vect or ( 15 downt o 0) : = ( ot her s => ' 0' ) ;
si gnal Ent r ada12 : st d_l ogi c_vect or ( 15 downt o 0) : = ( ot her s => ' 0' ) ;
si gnal Ent r ada13 : st d_l ogi c_vect or ( 15 downt o 0) : = ( ot her s => ' 0' ) ;

- - Out put s
si gnal Sal i da : st d_l ogi c_vect or ( 15 downt o 0) ;

const ant PCI _CLK_per i od : t i me : = 30ns;

BEGI N

- - I nst ant i at e t he Uni t Under Test ( UUT)
uut : Mul t i pl exor 13 PORT MAP (
Di r ecci on => Di r ecci on,
Ent r ada1 => Ent r ada1,
Ent r ada2 => Ent r ada2,
Ent r ada3 => Ent r ada3,
Ent r ada4 => Ent r ada4,
Ent r ada5 => Ent r ada5,
Ent r ada6 => Ent r ada6,
Ent r ada7 => Ent r ada7,
Ent r ada8 => Ent r ada8,
Ent r ada9 => Ent r ada9,
Ent r ada10 => Ent r ada10,
Ent r ada11 => Ent r ada11,
Ent r ada12 => Ent r ada12,
Ent r ada13 => Ent r ada13,
Sal i da => Sal i da
) ;


- - St i mul us pr ocess
st i m_pr oc: pr ocess
begi n
- - hol d r eset st at e f or 100ms.

wai t f or PCI _CLK_per i od*5;

- - i nser t st i mul us her e

Di r ecci on <= " 00000" ;
Ent r ada1 <= " 0000000000000001" ;

Simulaciones
- 84 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Ent r ada2 <= " 0000000000000010" ;
Ent r ada3 <= " 0000000000000100" ;
Ent r ada4 <= " 0000000000001000" ;
Ent r ada5 <= " 0000000000010000" ;
Ent r ada6 <= " 0000000000100000" ;
Ent r ada7 <= " 0000000001000000" ;
Ent r ada8 <= " 0000000010000000" ;
Ent r ada9 <= " 0000000100000000" ;
Ent r ada10 <= " 0000001000000000" ;
Ent r ada11 <= " 0000010000000000" ;
Ent r ada12 <= " 0000100000000000" ;
Ent r ada13 <= " 0001000000000000" ;

wai t f or PCI _CLK_per i od*5;

Di r ecci on <= " 00110" ;

wai t f or PCI _CLK_per i od*5;

Di r ecci on <= " 00111" ;

wai t f or PCI _CLK_per i od*5;

Di r ecci on <= " 01000" ;

wai t f or PCI _CLK_per i od*5;

Di r ecci on <= " 01001" ;

wai t f or PCI _CLK_per i od*5;

Di r ecci on <= " 01010" ;

wai t f or PCI _CLK_per i od*5;

Di r ecci on <= " 01011" ;

wai t f or PCI _CLK_per i od*5;

Di r ecci on <= " 01100" ;

wai t f or PCI _CLK_per i od*5;

Di r ecci on <= " 01101" ;

wai t f or PCI _CLK_per i od*5;

Di r ecci on <= " 01110" ;

wai t f or PCI _CLK_per i od*5;

Di r ecci on <= " 01111" ;

wai t f or PCI _CLK_per i od*5;

Di r ecci on <= " 10000" ;

wai t f or PCI _CLK_per i od*5;

Di r ecci on <= " 10001" ;

wai t f or PCI _CLK_per i od*5;


Simulaciones
- 85 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Di r ecci on <= " 10010" ;

wai t ;
end pr ocess;

END;

2.5 PRUDEMUL6
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
- - - - - - -
- - Company:
- - Engi neer :
- -
- - Cr eat e Dat e: 19: 14: 51 03/ 02/ 2011
- - Desi gn Name:
- - Modul e Name: K: / Pr oyect o/ Compar t i da/ VHDL/ Pr oyect o/ pr uDemul 6. vhd
- - Pr oj ect Name: Pr oyect o
- - Tar get Devi ce:
- - Tool ver si ons:
- - Descr i pt i on:
- -
- - VHDL Test Bench Cr eat ed by I SE f or modul e: Demul t i pl exor 6
- -
- - Dependenci es:
- -
- - Revi si on:
- - Revi si on 0. 01 - Fi l e Cr eat ed
- - Addi t i onal Comment s:
- -
- - Not es:
- - Thi s t est bench has been aut omat i cal l y gener at ed usi ng t ypes st d_l ogi c
and
- - st d_l ogi c_vect or f or t he por t s of t he uni t under t est . Xi l i nx
r ecommends
- - t hat t hese t ypes al ways be used f or t he t op- l evel I / O of a desi gn i n
or der
- - t o guar ant ee t hat t he t est bench wi l l bi nd cor r ect l y t o t he post -
i mpl ement at i on
- - si mul at i on model .
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
- - - - - - -
LI BRARY i eee;
USE i eee. st d_l ogi c_1164. ALL;
USE i eee. st d_l ogi c_unsi gned. al l ;
USE i eee. numer i c_st d. ALL;

ENTI TY pr uDemul 6 I S
END pr uDemul 6;

ARCHI TECTURE behavi or OF pr uDemul 6 I S

- - Component Decl ar at i on f or t he Uni t Under Test ( UUT)

COMPONENT Demul t i pl exor 6
PORT(

Simulaciones
- 86 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Di r ecci on : I N st d_l ogi c_vect or ( 4 downt o 0) ;
Sal i da1 : OUT st d_l ogi c;
Sal i da2 : OUT st d_l ogi c;
Sal i da3 : OUT st d_l ogi c;
Sal i da4 : OUT st d_l ogi c;
Sal i da5 : OUT st d_l ogi c;
Sal i da6 : OUT st d_l ogi c
) ;
END COMPONENT;


- - I nput s
si gnal Di r ecci on : st d_l ogi c_vect or ( 4 downt o 0) : = ( ot her s => ' 0' ) ;

- - Out put s
si gnal Sal i da1 : st d_l ogi c;
si gnal Sal i da2 : st d_l ogi c;
si gnal Sal i da3 : st d_l ogi c;
si gnal Sal i da4 : st d_l ogi c;
si gnal Sal i da5 : st d_l ogi c;
si gnal Sal i da6 : st d_l ogi c;

const ant PCI _CLK_per i od : t i me : = 30ns;

BEGI N

- - I nst ant i at e t he Uni t Under Test ( UUT)
uut : Demul t i pl exor 6 PORT MAP (
Di r ecci on => Di r ecci on,
Sal i da1 => Sal i da1,
Sal i da2 => Sal i da2,
Sal i da3 => Sal i da3,
Sal i da4 => Sal i da4,
Sal i da5 => Sal i da5,
Sal i da6 => Sal i da6
) ;

- - St i mul us pr ocess
st i m_pr oc: pr ocess
begi n
- - hol d r eset st at e f or 100ms.

wai t f or PCI _CLK_per i od*5;

- - i nser t st i mul us her e


wai t f or PCI _CLK_per i od*5;

Di r ecci on <= " 00000" ;

wai t f or PCI _CLK_per i od*5;

Di r ecci on <= " 00001" ;

wai t f or PCI _CLK_per i od*5;

Di r ecci on <= " 00010" ;

wai t f or PCI _CLK_per i od*5;

Di r ecci on <= " 00011" ;


Simulaciones
- 87 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
wai t f or PCI _CLK_per i od*5;

Di r ecci on <= " 00100" ;

wai t f or PCI _CLK_per i od*5;

Di r ecci on <= " 00101" ;

wai t f or PCI _CLK_per i od*5;

Di r ecci on <= " 00110" ;


wai t ;
end pr ocess;

END;

2.6 PRUCONTROL
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
- - - - - - -
- - Company:
- - Engi neer :
- -
- - Cr eat e Dat e: 16: 53: 05 02/ 21/ 2011
- - Desi gn Name:
- - Modul e Name: K: / Pr oyect o/ Compar t i da/ VHDL/ Pr oyect o/ pr uCont r ol . vhd
- - Pr oj ect Name: Pr oyect o
- - Tar get Devi ce:
- - Tool ver si ons:
- - Descr i pt i on:
- -
- - VHDL Test Bench Cr eat ed by I SE f or modul e: Cont r ol ador
- -
- - Dependenci es:
- -
- - Revi si on:
- - Revi si on 0. 01 - Fi l e Cr eat ed
- - Addi t i onal Comment s:
- -
- - Not es:
- - Thi s t est bench has been aut omat i cal l y gener at ed usi ng t ypes st d_l ogi c
and
- - st d_l ogi c_vect or f or t he por t s of t he uni t under t est . Xi l i nx
r ecommends
- - t hat t hese t ypes al ways be used f or t he t op- l evel I / O of a desi gn i n
or der
- - t o guar ant ee t hat t he t est bench wi l l bi nd cor r ect l y t o t he post -
i mpl ement at i on
- - si mul at i on model .
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
- - - - - - -
LI BRARY i eee;
USE i eee. st d_l ogi c_1164. ALL;
USE i eee. st d_l ogi c_unsi gned. al l ;

Simulaciones
- 88 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
USE i eee. numer i c_st d. ALL;

ENTI TY pr uCont r ol I S
END pr uCont r ol ;

ARCHI TECTURE behavi or OF pr uCont r ol I S

- - Component Decl ar at i on f or t he Uni t Under Test ( UUT)

COMPONENT Cont r ol ador
PORT(
Reset : I N st d_l ogi c;
PCI _CLK : I N st d_l ogi c;
Ti mer : I N st d_l ogi c_vect or ( 15 downt o 0) ;
Cont r ol : I N st d_l ogi c_vect or ( 15 downt o 0) ;
BUSY1 : I N st d_l ogi c;
BUSY2 : I N st d_l ogi c;
Enabl eA11 : OUT st d_l ogi c;
Enabl eA12 : OUT st d_l ogi c;
Enabl eA21 : OUT st d_l ogi c;
Enabl eA22 : OUT st d_l ogi c;
Enabl eB11 : OUT st d_l ogi c;
Enabl eB12 : OUT st d_l ogi c;
Enabl eB21 : OUT st d_l ogi c;
Enabl eB22 : OUT st d_l ogi c;
Enabl eC11 : OUT st d_l ogi c;
Enabl eC12 : OUT st d_l ogi c;
Enabl eC21 : OUT st d_l ogi c;
Enabl eC22 : OUT st d_l ogi c;
Enabl eBusy : OUT st d_l ogi c;
Enabl eTr i 1 : OUT st d_l ogi c;
Enabl eTr i 2 : OUT st d_l ogi c;
Di r Con1 : OUT st d_l ogi c;
Di r Con2 : OUT st d_l ogi c;
PARSER1 : OUT st d_l ogi c;
PARSER2 : OUT st d_l ogi c;
HWSW1 : OUT st d_l ogi c;
HWSW2 : OUT st d_l ogi c;
REFEN1 : OUT st d_l ogi c;
REFEN2 : OUT st d_l ogi c;
CS1 : OUT st d_l ogi c;
CS2 : OUT st d_l ogi c;
RD1 : OUT st d_l ogi c;
RD2 : OUT st d_l ogi c;
CONVST_A1 : OUT st d_l ogi c;
CONVST_A2 : OUT st d_l ogi c;
CONVST_B1 : OUT st d_l ogi c;
CONVST_B2 : OUT st d_l ogi c;
CONVST_C1 : OUT st d_l ogi c;
CONVST_C2 : OUT st d_l ogi c;
STBY1 : OUT st d_l ogi c;
STBY2 : OUT st d_l ogi c;
RANGO1 : OUT st d_l ogi c;
RANGO2 : OUT st d_l ogi c;
RESET1 : OUT st d_l ogi c;
RESET2 : OUT st d_l ogi c;
WORDBYTE1 : OUT st d_l ogi c;
WORDBYTE2 : OUT st d_l ogi c;
Busy : OUT st d_l ogi c_vect or ( 15 downt o 0)
) ;
END COMPONENT;



Simulaciones
- 89 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
- - I nput s
si gnal Reset : st d_l ogi c : = ' 0' ;
si gnal PCI _CLK : st d_l ogi c : = ' 0' ;
si gnal Ti mer : st d_l ogi c_vect or ( 15 downt o 0) : = ( ot her s => ' 0' ) ;
si gnal Cont r ol : st d_l ogi c_vect or ( 15 downt o 0) : = ( ot her s => ' 0' ) ;
si gnal BUSY1 : st d_l ogi c : = ' 0' ;
si gnal BUSY2 : st d_l ogi c : = ' 0' ;

- - Out put s
si gnal Enabl eA11 : st d_l ogi c;
si gnal Enabl eA12 : st d_l ogi c;
si gnal Enabl eA21 : st d_l ogi c;
si gnal Enabl eA22 : st d_l ogi c;
si gnal Enabl eB11 : st d_l ogi c;
si gnal Enabl eB12 : st d_l ogi c;
si gnal Enabl eB21 : st d_l ogi c;
si gnal Enabl eB22 : st d_l ogi c;
si gnal Enabl eC11 : st d_l ogi c;
si gnal Enabl eC12 : st d_l ogi c;
si gnal Enabl eC21 : st d_l ogi c;
si gnal Enabl eC22 : st d_l ogi c;
si gnal Enabl eBusy : st d_l ogi c;
si gnal Enabl eTr i 1 : st d_l ogi c;
si gnal Enabl eTr i 2 : st d_l ogi c;
si gnal Di r Con1 : st d_l ogi c;
si gnal Di r Con2 : st d_l ogi c;
si gnal PARSER1 : st d_l ogi c;
si gnal PARSER2 : st d_l ogi c;
si gnal HWSW1 : st d_l ogi c;
si gnal HWSW2 : st d_l ogi c;
si gnal REFEN1 : st d_l ogi c;
si gnal REFEN2 : st d_l ogi c;
si gnal CS1 : st d_l ogi c;
si gnal CS2 : st d_l ogi c;
si gnal RD1 : st d_l ogi c;
si gnal RD2 : st d_l ogi c;
si gnal CONVST_A1 : st d_l ogi c;
si gnal CONVST_A2 : st d_l ogi c;
si gnal CONVST_B1 : st d_l ogi c;
si gnal CONVST_B2 : st d_l ogi c;
si gnal CONVST_C1 : st d_l ogi c;
si gnal CONVST_C2 : st d_l ogi c;
si gnal STBY1 : st d_l ogi c;
si gnal STBY2 : st d_l ogi c;
si gnal RANGO1 : st d_l ogi c;
si gnal RANGO2 : st d_l ogi c;
si gnal RESET1 : st d_l ogi c;
si gnal RESET2 : st d_l ogi c;
si gnal WORDBYTE1 : st d_l ogi c;
si gnal WORDBYTE2 : st d_l ogi c;
si gnal Busy : st d_l ogi c_vect or ( 15 downt o 0) ;

const ant PCI _CLK_per i od : t i me : = 30 ns;

BEGI N

- - I nst ant i at e t he Uni t Under Test ( UUT)
uut : Cont r ol ador PORT MAP (
Reset => Reset ,
PCI _CLK => PCI _CLK,
Ti mer => Ti mer ,
Cont r ol => Cont r ol ,
BUSY1 => BUSY1,

Simulaciones
- 90 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
BUSY2 => BUSY2,
Enabl eA11 => Enabl eA11,
Enabl eA12 => Enabl eA12,
Enabl eA21 => Enabl eA21,
Enabl eA22 => Enabl eA22,
Enabl eB11 => Enabl eB11,
Enabl eB12 => Enabl eB12,
Enabl eB21 => Enabl eB21,
Enabl eB22 => Enabl eB22,
Enabl eC11 => Enabl eC11,
Enabl eC12 => Enabl eC12,
Enabl eC21 => Enabl eC21,
Enabl eC22 => Enabl eC22,
Enabl eBusy => Enabl eBusy,
Enabl eTr i 1 => Enabl eTr i 1,
Enabl eTr i 2 => Enabl eTr i 2,
Di r Con1 => Di r Con1,
Di r Con2 => Di r Con2,
PARSER1 => PARSER1,
PARSER2 => PARSER2,
HWSW1 => HWSW1,
HWSW2 => HWSW2,
REFEN1 => REFEN1,
REFEN2 => REFEN2,
CS1 => CS1,
CS2 => CS2,
RD1 => RD1,
RD2 => RD2,
CONVST_A1 => CONVST_A1,
CONVST_A2 => CONVST_A2,
CONVST_B1 => CONVST_B1,
CONVST_B2 => CONVST_B2,
CONVST_C1 => CONVST_C1,
CONVST_C2 => CONVST_C2,
STBY1 => STBY1,
STBY2 => STBY2,
RANGO1 => RANGO1,
RANGO2 => RANGO2,
RESET1 => RESET1,
RESET2 => RESET2,
WORDBYTE1 => WORDBYTE1,
WORDBYTE2 => WORDBYTE2,
Busy => Busy
) ;

- - No cl ocks det ect ed i n por t l i st . Repl ace <cl ock> bel ow wi t h
- - appr opr i at e por t name



PCI _CLK_pr ocess : pr ocess
begi n
PCI _CLK <= ' 0' ;
wai t f or PCI _CLK_per i od/ 2;
PCI _CLK <= ' 1' ;
wai t f or PCI _CLK_per i od/ 2;
end pr ocess;


- - St i mul us pr ocess
st i m_pr oc: pr ocess
begi n
- - hol d r eset st at e.

Simulaciones
- 91 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL

wai t f or PCI _CLK_per i od*2;

- - i nser t st i mul us her e

Cont r ol ( 0) <= ' 1' ;

wai t f or PCI _CLK_per i od*6;

BUSY1 <= ' 1' ;
BUSY2 <= ' 1' ;

wai t f or PCI _CLK_per i od*2;

BUSY1 <= ' 0' ;
BUSY2 <= ' 0' ;

wai t f or PCI _CLK_per i od*14;

Cont r ol ( 0) <= ' 0' ;

wai t f or PCI _CLK_per i od*2;

Cont r ol ( 0) <= ' 1' ;

wai t f or PCI _CLK_per i od*6;

r eset <= ' 1' ;

wai t f or PCI _CLK_per i od*2;

r eset <= ' 0' ;
Cont r ol ( 0) <= ' 0' ;

wai t f or PCI _CLK_per i od*2;

Cont r ol ( 0) <= ' 1' ;

wai t f or PCI _CLK_per i od*6;

Cont r ol ( 2) <= ' 1' ;
Cont r ol ( 0) <= ' 0' ;

wai t f or PCI _CLK_per i od*2;

Cont r ol ( 0) <= ' 1' ;
Cont r ol ( 2) <= ' 0' ;

wai t f or PCI _CLK_per i od*6;

BUSY1 <= ' 1' ;
BUSY2 <= ' 1' ;

wai t f or PCI _CLK_per i od*2;

BUSY1 <= ' 0' ;
BUSY2 <= ' 0' ;

wai t f or PCI _CLK_per i od*14;

Ti mer <= " 0000000000100011" ;
Cont r ol ( 0) <= ' 0' ;
Cont r ol ( 1) <= ' 1' ;

Simulaciones
- 92 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL

wai t f or PCI _CLK_per i od*7;

BUSY1 <= ' 1' ;
BUSY2 <= ' 1' ;

wai t f or PCI _CLK_per i od*2;

BUSY1 <= ' 0' ;
BUSY2 <= ' 0' ;

wai t f or PCI _CLK_per i od*19;

BUSY1 <= ' 1' ;
BUSY2 <= ' 1' ;

wai t f or PCI _CLK_per i od*2;

BUSY1 <= ' 0' ;
BUSY2 <= ' 0' ;

wai t ;
end pr ocess;

END;
2.7 PRUOBSERVADOR
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
- - - - - - -
- - Company:
- - Engi neer :
- -
- - Cr eat e Dat e: 18: 06: 56 05/ 28/ 2011
- - Desi gn Name:
- - Modul e Name:
C: / J uan/ Pr oyect o/ Compar t i da/ VHDL/ Pr oyect o/ pr uObser vador . vhd
- - Pr oj ect Name: Pr oyect o
- - Tar get Devi ce:
- - Tool ver si ons:
- - Descr i pt i on:
- -
- - VHDL Test Bench Cr eat ed by I SE f or modul e: Obser vador
- -
- - Dependenci es:
- -
- - Revi si on:
- - Revi si on 0. 01 - Fi l e Cr eat ed
- - Addi t i onal Comment s:
- -
- - Not es:
- - Thi s t est bench has been aut omat i cal l y gener at ed usi ng t ypes st d_l ogi c
and
- - st d_l ogi c_vect or f or t he por t s of t he uni t under t est . Xi l i nx
r ecommends
- - t hat t hese t ypes al ways be used f or t he t op- l evel I / O of a desi gn i n
or der

Simulaciones
- 93 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
- - t o guar ant ee t hat t he t est bench wi l l bi nd cor r ect l y t o t he post -
i mpl ement at i on
- - si mul at i on model .
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
- - - - - - -
LI BRARY i eee;
USE i eee. st d_l ogi c_1164. ALL;
USE i eee. st d_l ogi c_unsi gned. al l ;
USE i eee. numer i c_st d. ALL;

ENTI TY pr uObser vador I S
END pr uObser vador ;

ARCHI TECTURE behavi or OF pr uObser vador I S

- - Component Decl ar at i on f or t he Uni t Under Test ( UUT)

COMPONENT Obser vador
PORT(
st b : I N st d_l ogi c;
PCI _CLK : I N st d_l ogi c;
enabl e : OUT st d_l ogi c;
ack : OUT st d_l ogi c
) ;
END COMPONENT;


- - I nput s
si gnal st b : st d_l ogi c : = ' 0' ;
si gnal PCI _CLK : st d_l ogi c : = ' 0' ;

- - Out put s
si gnal enabl e : st d_l ogi c;
si gnal ack : st d_l ogi c;

const ant PCI _CLK_per i od : t i me : = 30 ns;

BEGI N

- - I nst ant i at e t he Uni t Under Test ( UUT)
uut : Obser vador PORT MAP (
st b => st b,
PCI _CLK => PCI _CLK,
enabl e => enabl e,
ack => ack
) ;

- - No cl ocks det ect ed i n por t l i st . Repl ace <cl ock> bel ow wi t h
- - appr opr i at e por t name


PCI _CLK_pr ocess : pr ocess
begi n
PCI _CLK <= ' 1' ;
wai t f or PCI _CLK_per i od/ 2;
PCI _CLK <= ' 0' ;
wai t f or PCI _CLK_per i od/ 2;
end pr ocess;


- - St i mul us pr ocess
st i m_pr oc: pr ocess
begi n

Simulaciones
- 94 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
- - hol d r eset st at e.

wai t f or PCI _CLK_per i od*2;

- - i nser t st i mul us her e

STB <= ' 1' ;

WAI T FOR PCI _CLK_PERI OD*2;

STB <= ' 0' ;

wai t ;
end pr ocess;

END;
2.8 CONJUNTO
- - Vhdl t est bench cr eat ed f r om schemat i c
K: \ Pr oyect o\ Compar t i da\ VHDL\ Pr oyect o\ Tar j et a. sch - Wed Mar 23 18: 58: 47
2011
- -
- - Not es:
- - 1) Thi s t est bench t empl at e has been aut omat i cal l y gener at ed usi ng
t ypes
- - st d_l ogi c and st d_l ogi c_vect or f or t he por t s of t he uni t under t est .
- - Xi l i nx r ecommends t hat t hese t ypes al ways be used f or t he t op- l evel
- - I / O of a desi gn i n or der t o guar ant ee t hat t he t est bench wi l l bi nd
- - cor r ect l y t o t he t i mi ng ( post - r out e) si mul at i on model .
- - 2) To use t hi s t empl at e as your t est bench, change t he f i l ename t o any
- - name of your choi ce wi t h t he ext ensi on . vhd, and use t he " Sour ce- >Add"
- - menu i n Pr oj ect Navi gat or t o i mpor t t he t est bench. Then
- - edi t t he user def i ned sect i on bel ow, addi ng code t o gener at e t he
- - st i mul us f or your desi gn.
- -
LI BRARY i eee;
USE i eee. st d_l ogi c_1164. ALL;
USE i eee. numer i c_st d. ALL;
LI BRARY UNI SI M;
USE UNI SI M. Vcomponent s. ALL;
ENTI TY Tar j et a_Tar j et a_sch_t b I S
END Tar j et a_Tar j et a_sch_t b;
ARCHI TECTURE behavi or al OF Tar j et a_Tar j et a_sch_t b I S

COMPONENT Tar j et a
PORT( CLK : I N STD_LOGI C;
RESET : I N STD_LOGI C;
DPCI O : I N STD_LOGI C_VECTOR ( 15 DOWNTO 0) ;
DCON1 : I NOUT STD_LOGI C_VECTOR ( 15 DOWNTO 0) ;
DCON2 : I NOUT STD_LOGI C_VECTOR ( 15 DOWNTO 0) ;
DI R : I N STD_LOGI C_VECTOR ( 4 DOWNTO 0) ;
DPCI I : OUT STD_LOGI C_VECTOR ( 15 DOWNTO 0) ;
PS1 : OUT STD_LOGI C;
PS2 : OUT STD_LOGI C;
HS1 : OUT STD_LOGI C;
HS2 : OUT STD_LOGI C;
REF1 : OUT STD_LOGI C;

Simulaciones
- 95 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
REF2 : OUT STD_LOGI C;
CS_1 : OUT STD_LOGI C;
CS_2 : OUT STD_LOGI C;
RD_1 : OUT STD_LOGI C;
RD_2 : OUT STD_LOGI C;
ST1 : OUT STD_LOGI C;
ST2 : OUT STD_LOGI C;
CONA1 : OUT STD_LOGI C;
CONA2 : OUT STD_LOGI C;
CONB1 : OUT STD_LOGI C;
CONB2 : OUT STD_LOGI C;
CONC1 : OUT STD_LOGI C;
CONC2 : OUT STD_LOGI C;
RAN1 : OUT STD_LOGI C;
RAN2 : OUT STD_LOGI C;
RES1 : OUT STD_LOGI C;
RES2 : OUT STD_LOGI C;
WB1 : OUT STD_LOGI C;
WB2 : OUT STD_LOGI C;
BU1 : I N STD_LOGI C;
BU2 : I N STD_LOGI C;
STB_O : I N STD_LOGI C;
ACK_I : OUT STD_LOGI C) ;
END COMPONENT;

SI GNAL CLK : STD_LOGI C;
SI GNAL RESET : STD_LOGI C;
SI GNAL DPCI O : STD_LOGI C_VECTOR ( 15 DOWNTO 0) ;
SI GNAL DCON1 : STD_LOGI C_VECTOR ( 15 DOWNTO 0) ;
SI GNAL DCON2 : STD_LOGI C_VECTOR ( 15 DOWNTO 0) ;
SI GNAL DI R : STD_LOGI C_VECTOR ( 4 DOWNTO 0) ;
SI GNAL DPCI I : STD_LOGI C_VECTOR ( 15 DOWNTO 0) ;
SI GNAL PS1 : STD_LOGI C;
SI GNAL PS2 : STD_LOGI C;
SI GNAL HS1 : STD_LOGI C;
SI GNAL HS2 : STD_LOGI C;
SI GNAL REF1 : STD_LOGI C;
SI GNAL REF2 : STD_LOGI C;
SI GNAL CS_1 : STD_LOGI C;
SI GNAL CS_2 : STD_LOGI C;
SI GNAL RD_1 : STD_LOGI C;
SI GNAL RD_2 : STD_LOGI C;
SI GNAL ST1 : STD_LOGI C;
SI GNAL ST2 : STD_LOGI C;
SI GNAL CONA1 : STD_LOGI C;
SI GNAL CONA2 : STD_LOGI C;
SI GNAL CONB1 : STD_LOGI C;
SI GNAL CONB2 : STD_LOGI C;
SI GNAL CONC1 : STD_LOGI C;
SI GNAL CONC2 : STD_LOGI C;
SI GNAL RAN1 : STD_LOGI C;
SI GNAL RAN2 : STD_LOGI C;
SI GNAL RES1 : STD_LOGI C;
SI GNAL RES2 : STD_LOGI C;
SI GNAL WB1 : STD_LOGI C;
SI GNAL WB2 : STD_LOGI C;
SI GNAL BU1 : STD_LOGI C;
SI GNAL BU2 : STD_LOGI C;
SI GNAL STB_O : STD_LOGI C;
SI GNAL ACK_I : STD_LOGI C;

const ant CLK_per i od : t i me : = 30 ns;


Simulaciones
- 96 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
BEGI N

UUT: Tar j et a PORT MAP(
CLK => CLK,
RESET => RESET,
DPCI O => DPCI O,
DCON1 => DCON1,
DCON2 => DCON2,
DI R => DI R,
DPCI I => DPCI I ,
PS1 => PS1,
PS2 => PS2,
HS1 => HS1,
HS2 => HS2,
REF1 => REF1,
REF2 => REF2,
CS_1 => CS_1,
CS_2 => CS_2,
RD_1 => RD_1,
RD_2 => RD_2,
ST1 => ST1,
ST2 => ST2,
CONA1 => CONA1,
CONA2 => CONA2,
CONB1 => CONB1,
CONB2 => CONB2,
CONC1 => CONC1,
CONC2 => CONC2,
RAN1 => RAN1,
RAN2 => RAN2,
RES1 => RES1,
RES2 => RES2,
WB1 => WB1,
WB2 => WB2,
BU1 => BU1,
BU2 => BU2,
STB_O => STB_O,
ACK_I => ACK_I
) ;

- - *** Test Bench - User Def i ned Sect i on ***
CLK_pr ocess : PROCESS
begi n
CLK <= ' 0' ;
wai t f or CLK_per i od/ 2;
CLK <= ' 1' ;
wai t f or CLK_per i od/ 2;
end pr ocess;

st i m_pr oc: pr ocess
begi n

- - Reset del si st ema

bu1 <= ' 0' ;
bu2 <= ' 0' ;
r eset <= ' 1' ;
STB_O <= ' 0' ;
WAI T FOR CLK_PERI OD/ 2;
wai t FOR CLK_per i od*2;

- - car ga de l a par t e baj a del r egi st r o del conver t i dor 1


Simulaciones
- 97 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
r eset <= ' 0' ;
DPCI O <= " 0000001111111111" ;
DI R <= " 00000" ;
DCON1 <= " ZZZZZZZZZZZZZZZZ" ;
DCON2 <= " ZZZZZZZZZZZZZZZZ" ;
STB_O <= ' 1' ;

wai t f or CLK_per i od*2;

STB_O <= ' 0' ;

WAI T FOR CLK_PERI OD;

- - car ga de l a par t e al t a del r egi st r o del conver t i dor 1

DPCI O <= " 1110000000000000" ;
DI R <= " 00001" ;
STB_O <= ' 1' ;

wai t f or CLK_per i od*2;

STB_O <= ' 0' ;

WAI T FOR CLK_PERI OD;

- - car ga de l a par t e baj a del r egi st r o del conver t i dor 2

DPCI O <= " 0000001111111111" ;
DI R <= " 00010" ;
STB_O <= ' 1' ;

wai t f or CLK_per i od*2;

STB_O <= ' 0' ;

WAI T FOR CLK_PERI OD;

- - car ga de l a par t e al t a del r egi st r o del conver t i dor 2

DPCI O <= " 1110000000000000" ;
DI R <= " 00011" ;
STB_O <= ' 1' ;

wai t f or CLK_per i od*2;

STB_O <= ' 0' ;

WAI T FOR CLK_PERI OD;

- - Ti empo de ej ecuci on en modo cont i nuo

DPCI O <= " 0000000000100011" ;
DI R <= " 00100" ;
STB_O <= ' 1' ;

wai t f or CLK_per i od*2;

STB_O <= ' 0' ;

WAI T FOR CLK_PERI OD;

- - i ni ci o conver si on uni ca


Simulaciones
- 98 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
DPCI O <= " 0000000000000001" ;
DI R <= " 00101" ;
STB_O <= ' 1' ;

wai t f or CLK_per i od*2;

STB_O <= ' 0' ;

WAI T FOR CLK_PERI OD;

- - obser vando el r egi st r o busy

DI R <= " 10010" ;
STB_O <= ' 1' ;

wai t unt i l CONA1 = ' 1' ; - - esper ar hast a que empi eze l a
conver si on
wai t f or CLK_per i od/ 2;

- - l os conver sor es ent an convi r t i endo

BU1 <= ' 1' ;
BU2 <= ' 1' ;

wai t f or CLK_per i od*12;

- - f i n de l a conver si on de l os conver sor es

BU1 <= ' 0' ;
BU2 <= ' 0' ;

wai t unt i l RD_1 = ' 1' ;

- - r eci bi endo pr i mer dat o de ambos conver sor es

DCON1 <= " 0000000000000001" ;
DCON2 <= " 0000000000000010" ;

wai t unt i l RD_1 = ' 0' ;

DCON1 <= " 0000000000000000" ;
DCON2 <= " 0000000000000000" ;

wai t unt i l RD_1 = ' 1' ;

- - r eci bi endo segundo dat o de ambos conver sor es

DCON1 <= " 0000000000000011" ;
DCON2 <= " 0000000000000100" ;

wai t unt i l RD_1 = ' 0' ;

DCON1 <= " 0000000000000000" ;
DCON2 <= " 0000000000000000" ;

wai t unt i l RD_1 = ' 1' ;

- - r eci bi endo t er cer dat o de ambos conver sor es

DCON1 <= " 0000000000000101" ;
DCON2 <= " 0000000000000110" ;

wai t unt i l RD_1 = ' 0' ;

Simulaciones
- 99 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL

DCON1 <= " 0000000000000000" ;
DCON2 <= " 0000000000000000" ;

wai t unt i l RD_1 = ' 1' ;

- - r eci bi endo cuar t o dat o de ambos conver sor es

DCON1 <= " 0000000000000111" ;
DCON2 <= " 0000000000001000" ;

wai t unt i l RD_1 = ' 0' ;

DCON1 <= " 0000000000000000" ;
DCON2 <= " 0000000000000000" ;

wai t unt i l RD_1 = ' 1' ;

- - r eci bi endo qui nt o dat o de ambos conver sor es

DCON1 <= " 0000000000001001" ;
DCON2 <= " 0000000000001010" ;

wai t unt i l RD_1 = ' 0' ;

DCON1 <= " 0000000000000000" ;
DCON2 <= " 0000000000000000" ;

wai t unt i l RD_1 = ' 1' ;

- - r eci bi endo sext o dat o de ambos conver sor es

DCON1 <= " 0000000000001011" ;
DCON2 <= " 0000000000001100" ;

wai t unt i l RD_1 = ' 0' ;

DCON1 <= " ZZZZZZZZZZZZZZZZ" ;
DCON2 <= " ZZZZZZZZZZZZZZZZ" ;

wai t unt i l DPCI I ( 15) = ' 0' ; - - el si st ema ha t er mi nado l a
conver si on
wai t f or CLK_per i od;
- - guar dando el dat o numer o 1 por el PCI

DI R <= " 00110" ;
STB_O <= ' 1' ;

wai t f or CLK_per i od*2;
asser t DPCI I = X" 0001"
r epor t " Er r or en l a l ect ur a del r egi st r o 1"
sever i t y f ai l ur e;

STB_O <= ' 0' ;

WAI T FOR CLK_PERI OD;

- - guar dando el dat o numer o 2 por el PCI

DI R <= " 00111" ;
STB_O <= ' 1' ;

wai t f or CLK_per i od*2;

Simulaciones
- 100 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL

STB_O <= ' 0' ;

WAI T FOR CLK_PERI OD;

- - guar dando el dat o numer o 3 por el PCI

DI R <= " 01000" ;
STB_O <= ' 1' ;

wai t f or CLK_per i od*2;

STB_O <= ' 0' ;

WAI T FOR CLK_PERI OD;


- - guar dando el dat o numer o 4 por el PCI

DI R <= " 01001" ;
STB_O <= ' 1' ;

wai t f or CLK_per i od*2;

STB_O <= ' 0' ;

WAI T FOR CLK_PERI OD;


- - guar dando el dat o numer o 5 por el PCI

DI R <= " 01010" ;
STB_O <= ' 1' ;

wai t f or CLK_per i od*2;

STB_O <= ' 0' ;

WAI T FOR CLK_PERI OD;


- - guar dando el dat o numer o 6 por el PCI

DI R <= " 01011" ;
STB_O <= ' 1' ;

wai t f or CLK_per i od*2;

STB_O <= ' 0' ;

WAI T FOR CLK_PERI OD;


- - guar dando el dat o numer o 7 por el PCI

DI R <= " 01100" ;
STB_O <= ' 1' ;

wai t f or CLK_per i od*2;

STB_O <= ' 0' ;

WAI T FOR CLK_PERI OD;

Simulaciones
- 101 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL


- - guar dando el dat o numer o 8 por el PCI

DI R <= " 01101" ;
STB_O <= ' 1' ;

wai t f or CLK_per i od*2;

STB_O <= ' 0' ;

WAI T FOR CLK_PERI OD;


- - guar dando el dat o numer o 9 por el PCI

DI R <= " 01110" ;
STB_O <= ' 1' ;

wai t f or CLK_per i od*2;

STB_O <= ' 0' ;

WAI T FOR CLK_PERI OD;


- - guar dando el dat o numer o 10 por el PCI

DI R <= " 01111" ;
STB_O <= ' 1' ;

wai t f or CLK_per i od*2;

STB_O <= ' 0' ;

WAI T FOR CLK_PERI OD;


- - guar dando el dat o numer o 11 por el PCI

DI R <= " 10000" ;
STB_O <= ' 1' ;

wai t f or CLK_per i od*2;

STB_O <= ' 0' ;

WAI T FOR CLK_PERI OD;


- - guar dando el dat o numer o 12 por el PCI

DI R <= " 10001" ;
STB_O <= ' 1' ;

wai t f or CLK_per i od*2;

STB_O <= ' 0' ;

WAI T FOR CLK_PERI OD;


- - i ni ci o conver si on cont i nua

Simulaciones
- 102 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL

DPCI O <= " 0000000000000010" ;
DI R <= " 00101" ;
STB_O <= ' 1' ;

wai t f or CLK_per i od*2;

STB_O <= ' 0' ;

WAI T FOR CLK_PERI OD;

DI R <= " 10010" ;
STB_O <= ' 1' ;

wai t unt i l CONA1 = ' 1' ;
wai t f or CLK_per i od/ 2;

BU1 <= ' 1' ;
BU2 <= ' 1' ;

wai t f or CLK_per i od*12;

BU1 <= ' 0' ;
BU2 <= ' 0' ;

wai t unt i l RD_1 = ' 1' ;

DCON1 <= " 0000000000000001" ;
DCON2 <= " 0000000000000010" ;

wai t unt i l RD_1 = ' 0' ;

DCON1 <= " 0000000000000000" ;
DCON2 <= " 0000000000000000" ;

wai t unt i l RD_1 = ' 1' ;

DCON1 <= " 0000000000000011" ;
DCON2 <= " 0000000000000100" ;

wai t unt i l RD_1 = ' 0' ;

DCON1 <= " 0000000000000000" ;
DCON2 <= " 0000000000000000" ;

wai t unt i l RD_1 = ' 1' ;

DCON1 <= " 0000000000000101" ;
DCON2 <= " 0000000000000110" ;

wai t unt i l RD_1 = ' 0' ;

DCON1 <= " 0000000000000000" ;
DCON2 <= " 0000000000000000" ;

wai t unt i l RD_1 = ' 1' ;

DCON1 <= " 0000000000000111" ;
DCON2 <= " 0000000000001000" ;

wai t unt i l RD_1 = ' 0' ;

DCON1 <= " 0000000000000000" ;

Simulaciones
- 103 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
DCON2 <= " 0000000000000000" ;

wai t unt i l RD_1 = ' 1' ;

DCON1 <= " 0000000000001001" ;
DCON2 <= " 0000000000001010" ;

wai t unt i l RD_1 = ' 0' ;

DCON1 <= " 0000000000000000" ;
DCON2 <= " 0000000000000000" ;

wai t unt i l RD_1 = ' 1' ;

DCON1 <= " 0000000000001011" ;
DCON2 <= " 0000000000001100" ;

wai t unt i l RD_1 = ' 0' ;

DCON1 <= " ZZZZZZZZZZZZZZZZ" ;
DCON2 <= " ZZZZZZZZZZZZZZZZ" ;

wai t unt i l DPCI I ( 15) = ' 0' ;

DI R <= " 00110" ;

wai t f or CLK_per i od;

DI R <= " 00111" ;

wai t f or CLK_per i od;

DI R <= " 01000" ;

wai t f or CLK_per i od;

DI R <= " 01001" ;

wai t f or CLK_per i od;

DI R <= " 01010" ;

wai t f or CLK_per i od;

DI R <= " 01011" ;

WAI T FOR CLK_per i od/ 2;

BU1 <= ' 1' ;
BU2 <= ' 1' ;

wai t f or CLK_per i od/ 2;

DI R <= " 01100" ;

wai t f or CLK_per i od;

DI R <= " 01101" ;

wai t f or CLK_per i od;

DI R <= " 01110" ;


Simulaciones
- 104 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
wai t f or CLK_per i od;

DI R <= " 01111" ;

wai t f or CLK_per i od;

DI R <= " 10000" ;

wai t f or CLK_per i od;

DI R <= " 10001" ;

wai t f or CLK_per i od;

DI R <= " 10010" ;

wai t f or CLK_per i od*4. 5;

BU1 <= ' 0' ;
BU2 <= ' 0' ;

wai t unt i l RD_1 = ' 1' ;

DCON1 <= " 0000000000000001" ;
DCON2 <= " 0000000000000010" ;

wai t unt i l RD_1 = ' 0' ;

DCON1 <= " 0000000000000000" ;
DCON2 <= " 0000000000000000" ;

wai t unt i l RD_1 = ' 1' ;

DCON1 <= " 0000000000000011" ;
DCON2 <= " 0000000000000100" ;

wai t unt i l RD_1 = ' 0' ;

DCON1 <= " 0000000000000000" ;
DCON2 <= " 0000000000000000" ;

wai t unt i l RD_1 = ' 1' ;

DCON1 <= " 0000000000000101" ;
DCON2 <= " 0000000000000110" ;

wai t unt i l RD_1 = ' 0' ;

DCON1 <= " 0000000000000000" ;
DCON2 <= " 0000000000000000" ;

wai t unt i l RD_1 = ' 1' ;

DCON1 <= " 0000000000000111" ;
DCON2 <= " 0000000000001000" ;

wai t unt i l RD_1 = ' 0' ;

DCON1 <= " 0000000000000000" ;
DCON2 <= " 0000000000000000" ;

wai t unt i l RD_1 = ' 1' ;


Simulaciones
- 105 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
DCON1 <= " 0000000000001001" ;
DCON2 <= " 0000000000001010" ;

wai t unt i l RD_1 = ' 0' ;

DCON1 <= " 0000000000000000" ;
DCON2 <= " 0000000000000000" ;

wai t unt i l RD_1 = ' 1' ;

DCON1 <= " 0000000000001011" ;
DCON2 <= " 0000000000001100" ;

wai t unt i l RD_1 = ' 0' ;

DCON1 <= " ZZZZZZZZZZZZZZZZ" ;
DCON2 <= " ZZZZZZZZZZZZZZZZ" ;

wai t unt i l DPCI I ( 15) = ' 0' ;

DI R <= " 00110" ;

wai t f or CLK_per i od;

DI R <= " 00111" ;

wai t f or CLK_per i od;

DI R <= " 01000" ;

wai t f or CLK_per i od;

DI R <= " 01001" ;

wai t f or CLK_per i od;

DI R <= " 01010" ;

wai t f or CLK_per i od;

DI R <= " 01011" ;

wai t f or CLK_per i od;

DI R <= " 01100" ;

wai t f or CLK_per i od;

DI R <= " 01101" ;

wai t f or CLK_per i od;

DI R <= " 01110" ;

wai t f or CLK_per i od;

DI R <= " 01111" ;

wai t f or CLK_per i od;

DI R <= " 10000" ;

wai t f or CLK_per i od;

Simulaciones
- 106 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL

DI R <= " 10001" ;

wai t ;

END PROCESS;


- - *** End Test Bench - User Def i ned Sect i on ***

END;
2.9 FINAL
- - Vhdl t est bench cr eat ed f r om schemat i c
C: \ J uan\ Pr oyect o\ Compar t i da\ VHDL\ Pr oyect o\ t ar j et apci . sch - Thu Apr 28
17: 31: 22 2011
- -
- - Not es:
- - 1) Thi s t est bench t empl at e has been aut omat i cal l y gener at ed usi ng
t ypes
- - st d_l ogi c and st d_l ogi c_vect or f or t he por t s of t he uni t under t est .
- - Xi l i nx r ecommends t hat t hese t ypes al ways be used f or t he t op- l evel
- - I / O of a desi gn i n or der t o guar ant ee t hat t he t est bench wi l l bi nd
- - cor r ect l y t o t he t i mi ng ( post - r out e) si mul at i on model .
- - 2) To use t hi s t empl at e as your t est bench, change t he f i l ename t o any
- - name of your choi ce wi t h t he ext ensi on . vhd, and use t he " Sour ce- >Add"
- - menu i n Pr oj ect Navi gat or t o i mpor t t he t est bench. Then
- - edi t t he user def i ned sect i on bel ow, addi ng code t o gener at e t he
- - st i mul us f or your desi gn.
- -
LI BRARY i eee;
USE i eee. st d_l ogi c_1164. ALL;
USE i eee. numer i c_st d. ALL;
LI BRARY UNI SI M;
USE UNI SI M. Vcomponent s. ALL;
ENTI TY t ar j et apci _t ar j et apci _sch_t b I S
END t ar j et apci _t ar j et apci _sch_t b;
ARCHI TECTURE behavi or al OF t ar j et apci _t ar j et apci _sch_t b I S

COMPONENT t ar j et apci
PORT( di r ecci on : OUT STD_LOGI C_VECTOR ( 24 DOWNTO 0) ;
DENTRADA : OUT STD_LOGI C_VECTOR ( 15 DOWNTO 0) ;
CLK_F : I N STD_LOGI C;
RESET_F : I N STD_LOGI C;
PS1_F : OUT STD_LOGI C;
PS2_F : OUT STD_LOGI C;
HS1_F : OUT STD_LOGI C;
HS2_F : OUT STD_LOGI C;
REF1_F : OUT STD_LOGI C;
REF2_F : OUT STD_LOGI C;
CS_1_F : OUT STD_LOGI C;
CS_2_F : OUT STD_LOGI C;
RD_1_F : OUT STD_LOGI C;
RD_2_F : OUT STD_LOGI C;
ST1_F : OUT STD_LOGI C;
ST2_F : OUT STD_LOGI C;
CONA1_F : OUT STD_LOGI C;

Simulaciones
- 107 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
CONA2_F : OUT STD_LOGI C;
CONB1_F : OUT STD_LOGI C;
CONB2_F : OUT STD_LOGI C;
CONC1_F : OUT STD_LOGI C;
CONC2_F : OUT STD_LOGI C;
RAN1_F : OUT STD_LOGI C;
RAN2_F : OUT STD_LOGI C;
RES1_F : OUT STD_LOGI C;
RES2_F : OUT STD_LOGI C;
WB1_F : OUT STD_LOGI C;
WB2_F : OUT STD_LOGI C;
DCON1_F : I NOUT STD_LOGI C_VECTOR ( 15 DOWNTO 0) ;
DCON2_F : I NOUT STD_LOGI C_VECTOR ( 15 DOWNTO 0) ;
BU1_F : I N STD_LOGI C;
BU2_F : I N STD_LOGI C;
FRAME_F : I N STD_LOGI C;
I RDY_F : I N STD_LOGI C;
CBE_F : I N STD_LOGI C_VECTOR ( 3 DOWNTO 0) ;
AD_F : I NOUT STD_LOGI C_VECTOR ( 31 DOWNTO 0) ;
TRDY_F : OUT STD_LOGI C;
DEVSEL_F : OUT STD_LOGI C) ;
END COMPONENT;

SI GNAL di r ecci on : STD_LOGI C_VECTOR ( 24 DOWNTO 0) ;
SI GNAL DENTRADA : STD_LOGI C_VECTOR ( 15 DOWNTO 0) ;
SI GNAL CLK_F : STD_LOGI C;
SI GNAL RESET_F : STD_LOGI C;
SI GNAL PS1_F : STD_LOGI C;
SI GNAL PS2_F : STD_LOGI C;
SI GNAL HS1_F : STD_LOGI C;
SI GNAL HS2_F : STD_LOGI C;
SI GNAL REF1_F : STD_LOGI C;
SI GNAL REF2_F : STD_LOGI C;
SI GNAL CS_1_F : STD_LOGI C;
SI GNAL CS_2_F : STD_LOGI C;
SI GNAL RD_1_F : STD_LOGI C;
SI GNAL RD_2_F : STD_LOGI C;
SI GNAL ST1_F : STD_LOGI C;
SI GNAL ST2_F : STD_LOGI C;
SI GNAL CONA1_F : STD_LOGI C;
SI GNAL CONA2_F : STD_LOGI C;
SI GNAL CONB1_F : STD_LOGI C;
SI GNAL CONB2_F : STD_LOGI C;
SI GNAL CONC1_F : STD_LOGI C;
SI GNAL CONC2_F : STD_LOGI C;
SI GNAL RAN1_F : STD_LOGI C;
SI GNAL RAN2_F : STD_LOGI C;
SI GNAL RES1_F : STD_LOGI C;
SI GNAL RES2_F : STD_LOGI C;
SI GNAL WB1_F : STD_LOGI C;
SI GNAL WB2_F : STD_LOGI C;
SI GNAL DCON1_F : STD_LOGI C_VECTOR ( 15 DOWNTO 0) ;
SI GNAL DCON2_F : STD_LOGI C_VECTOR ( 15 DOWNTO 0) ;
SI GNAL BU1_F : STD_LOGI C;
SI GNAL BU2_F : STD_LOGI C;
SI GNAL FRAME_F : STD_LOGI C;
SI GNAL I RDY_F : STD_LOGI C;
SI GNAL CBE_F : STD_LOGI C_VECTOR ( 3 DOWNTO 0) ;
SI GNAL AD_F : STD_LOGI C_VECTOR ( 31 DOWNTO 0) ;
SI GNAL TRDY_F : STD_LOGI C;
SI GNAL DEVSEL_F : STD_LOGI C;

const ant CLK_per i od : t i me : = 30 ns;

Simulaciones
- 108 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL

BEGI N

UUT: t ar j et apci PORT MAP(
di r ecci on => di r ecci on,
DENTRADA => DENTRADA,
CLK_F => CLK_F,
RESET_F => RESET_F,
PS1_F => PS1_F,
PS2_F => PS2_F,
HS1_F => HS1_F,
HS2_F => HS2_F,
REF1_F => REF1_F,
REF2_F => REF2_F,
CS_1_F => CS_1_F,
CS_2_F => CS_2_F,
RD_1_F => RD_1_F,
RD_2_F => RD_2_F,
ST1_F => ST1_F,
ST2_F => ST2_F,
CONA1_F => CONA1_F,
CONA2_F => CONA2_F,
CONB1_F => CONB1_F,
CONB2_F => CONB2_F,
CONC1_F => CONC1_F,
CONC2_F => CONC2_F,
RAN1_F => RAN1_F,
RAN2_F => RAN2_F,
RES1_F => RES1_F,
RES2_F => RES2_F,
WB1_F => WB1_F,
WB2_F => WB2_F,
DCON1_F => DCON1_F,
DCON2_F => DCON2_F,
BU1_F => BU1_F,
BU2_F => BU2_F,
FRAME_F => FRAME_F,
I RDY_F => I RDY_F,
CBE_F => CBE_F,
AD_F => AD_F,
TRDY_F => TRDY_F,
DEVSEL_F => DEVSEL_F
) ;

- - *** Test Bench - User Def i ned Sect i on ***
CLK_pr ocess : PROCESS
begi n
CLK_f <= ' 0' ;
wai t f or CLK_per i od/ 2;
CLK_f <= ' 1' ;
wai t f or CLK_per i od/ 2;
end pr ocess;

st i m_pr oc : pr ocess
begi n

bu1_f <= ' 0' ;
bu2_f <= ' 0' ;
r eset _f <= ' 1' ;
f r ame_f <= ' 1' ;
devsel _f <= ' 1' ;
i r dy_f <= ' 1' ;
t r dy_f <= ' 1' ;

Simulaciones
- 109 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL

wai t FOR CLK_per i od*2;

r eset _f <= ' 0' ;
f r ame_f <= ' 0' ;
ad_f <=x" 00000000" ;
cbe_f <=x" 7" ;
DCON1_F <=" ZZZZZZZZZZZZZZZZ" ;
DCON2_F <=" ZZZZZZZZZZZZZZZZ" ;

wai t f or CLK_per i od;

ad_f <=x" 000003f f " ;
cbe_f <=x" f " ;
devsel _f <= ' 0' ;
i r dy_f <= ' 0' ;
t r dy_f <= ' 0' ;

WAI T FOR CLK_PERI OD;

f r ame_f <= ' 1' ;
devsel _f <= ' 1' ;
i r dy_f <= ' 1' ;
t r dy_f <= ' 1' ;

wai t f or cl k_per i od;

f r ame_f <= ' 0' ;
ad_f <=x" 00000001" ;
cbe_f <=x" 7" ;

wai t f or cl k_per i od;

ad_f <=x" 0000e000" ;
cbe_f <=x" f " ;
devsel _f <= ' 0' ;
i r dy_f <= ' 0' ;
t r dy_f <= ' 0' ;

wai t f or cl k_per i od;

f r ame_f <= ' 1' ;
devsel _f <= ' 1' ;
i r dy_f <= ' 1' ;
t r dy_f <= ' 1' ;

wai t f or cl k_per i od;

f r ame_f <= ' 0' ;
ad_f <=x" 00000002" ;
cbe_f <=x" 7" ;

wai t f or cl k_per i od;

ad_f <=x" 000003f f " ;
cbe_f <=x" f " ;
devsel _f <= ' 0' ;
i r dy_f <= ' 0' ;
t r dy_f <= ' 0' ;

wai t f or cl k_per i od;

f r ame_f <= ' 1' ;

Simulaciones
- 110 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
devsel _f <= ' 1' ;
i r dy_f <= ' 1' ;
t r dy_f <= ' 1' ;

wai t f or cl k_per i od;

f r ame_f <= ' 0' ;
ad_f <=x" 00000003" ;
cbe_f <=x" 7" ;

wai t f or cl k_per i od;

ad_f <=x" 0000e000" ;
cbe_f <=x" f " ;
devsel _f <= ' 0' ;
i r dy_f <= ' 0' ;
t r dy_f <= ' 0' ;

wai t f or cl k_per i od;

f r ame_f <= ' 1' ;
devsel _f <= ' 1' ;
i r dy_f <= ' 1' ;
t r dy_f <= ' 1' ;

wai t f or cl k_per i od;

f r ame_f <= ' 0' ;
ad_f <=x" 00000004" ;
cbe_f <=x" 7" ;

wai t f or cl k_per i od;

ad_f <=x" 00000023" ;
cbe_f <=x" f " ;
devsel _f <= ' 0' ;
i r dy_f <= ' 0' ;
t r dy_f <= ' 0' ;

wai t f or cl k_per i od;

f r ame_f <= ' 1' ;
devsel _f <= ' 1' ;
i r dy_f <= ' 1' ;
t r dy_f <= ' 1' ;

wai t f or cl k_per i od;

f r ame_f <= ' 0' ;
ad_f <=x" 00000005" ;
cbe_f <=x" 7" ;

wai t f or cl k_per i od;

ad_f <=x" 00000001" ;
cbe_f <=x" f " ;
devsel _f <= ' 0' ;
i r dy_f <= ' 0' ;
t r dy_f <= ' 0' ;

wai t f or cl k_per i od;

f r ame_f <= ' 1' ;

Simulaciones
- 111 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
devsel _f <= ' 1' ;
i r dy_f <= ' 1' ;
t r dy_f <= ' 1' ;

wai t f or cl k_per i od;

f r ame_f <= ' 0' ;
ad_f <=x" 00000012" ;
cbe_f <=x" 6" ;

wai t f or cl k_per i od;

cbe_f <=x" f " ;
devsel _f <= ' 0' ;
i r dy_f <= ' 0' ;
t r dy_f <= ' 0' ;

wai t unt i l CONA1_F = ' 1' ;
WAI T FOR CLK_PERI OD/ 2;

BU1_F <= ' 1' ;
BU2_F <= ' 1' ;

wai t f or CLK_per i od*12;

- - f i n de l a conver si on de l os conver sor es

BU1_F <= ' 0' ;
BU2_F <= ' 0' ;

wai t unt i l RD_1_F = ' 1' ;

- - r eci bi endo pr i mer dat o de ambos conver sor es

DCON1_F <= " 0000000000000001" ;
DCON2_F <= " 0000000000000010" ;

wai t unt i l RD_1_F = ' 0' ;

DCON1_F <= " 0000000000000000" ;
DCON2_F <= " 0000000000000000" ;

wai t unt i l RD_1_F = ' 1' ;

- - r eci bi endo segundo dat o de ambos conver sor es

DCON1_F <= " 0000000000000011" ;
DCON2_F <= " 0000000000000100" ;

wai t unt i l RD_1_F = ' 0' ;

DCON1_F <= " 0000000000000000" ;
DCON2_F <= " 0000000000000000" ;

wai t unt i l RD_1_F = ' 1' ;

- - r eci bi endo t er cer dat o de ambos conver sor es

DCON1_F <= " 0000000000000101" ;
DCON2_F <= " 0000000000000110" ;

wai t unt i l RD_1_F = ' 0' ;


Simulaciones
- 112 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
DCON1_F <= " 0000000000000000" ;
DCON2_F <= " 0000000000000000" ;

wai t unt i l RD_1_F = ' 1' ;

- - r eci bi endo cuar t o dat o de ambos conver sor es

DCON1_F <= " 0000000000000111" ;
DCON2_F <= " 0000000000001000" ;

wai t unt i l RD_1_F = ' 0' ;

DCON1_F <= " 0000000000000000" ;
DCON2_F <= " 0000000000000000" ;

wai t unt i l RD_1_F = ' 1' ;

- - r eci bi endo qui nt o dat o de ambos conver sor es

DCON1_F <= " 0000000000001001" ;
DCON2_F <= " 0000000000001010" ;

wai t unt i l RD_1_F = ' 0' ;

DCON1_F <= " 0000000000000000" ;
DCON2_F <= " 0000000000000000" ;

wai t unt i l RD_1_F = ' 1' ;

- - r eci bi endo sext o dat o de ambos conver sor es

DCON1_F <= " 0000000000001011" ;
DCON2_F <= " 0000000000001100" ;

wai t unt i l RD_1_F = ' 0' ;

DCON1_F <= " ZZZZZZZZZZZZZZZZ" ;
DCON2_F <= " ZZZZZZZZZZZZZZZZ" ;

wai t unt i l ad_f ( 15) = ' 0' ; - - el si st ema ha t er mi nado l a
conver si on
wai t f or CLK_per i od;

- - guar dando el dat o numer o 1 por el PCI

f r ame_f <= ' 1' ;
devsel _f <= ' 1' ;
i r dy_f <= ' 1' ;
t r dy_f <= ' 1' ;

wai t f or cl k_per i od;

f r ame_f <= ' 0' ;
ad_f <=x" 00000006" ;
cbe_f <=x" 6" ;

wai t f or CLK_per i od;

cbe_f <=x" f " ;
devsel _f <= ' 0' ;
i r dy_f <= ' 0' ;
t r dy_f <= ' 0' ;


Simulaciones
- 113 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
wai t f or CLK_per i od;

- - guar dando el dat o numer o 2 por el PCI

f r ame_f <= ' 1' ;
devsel _f <= ' 1' ;
i r dy_f <= ' 1' ;
t r dy_f <= ' 1' ;

wai t f or cl k_per i od;

f r ame_f <= ' 0' ;
ad_f <=x" 00000007" ;
cbe_f <=x" 6" ;

wai t f or CLK_per i od;

cbe_f <=x" f " ;
devsel _f <= ' 0' ;
i r dy_f <= ' 0' ;
t r dy_f <= ' 0' ;

wai t f or CLK_per i od;

- - guar dando el dat o numer o 3 por el PCI

f r ame_f <= ' 1' ;
devsel _f <= ' 1' ;
i r dy_f <= ' 1' ;
t r dy_f <= ' 1' ;

wai t f or cl k_per i od;

f r ame_f <= ' 0' ;
ad_f <=x" 00000008" ;
cbe_f <=x" 6" ;

wai t f or CLK_per i od;

cbe_f <=x" f " ;
devsel _f <= ' 0' ;
i r dy_f <= ' 0' ;
t r dy_f <= ' 0' ;

wai t f or CLK_per i od;

- - guar dando el dat o numer o 4 por el PCI

f r ame_f <= ' 1' ;
devsel _f <= ' 1' ;
i r dy_f <= ' 1' ;
t r dy_f <= ' 1' ;

wai t f or cl k_per i od;

f r ame_f <= ' 0' ;
ad_f <=x" 00000009" ;
cbe_f <=x" 6" ;

wai t f or CLK_per i od;

cbe_f <=x" f " ;
devsel _f <= ' 0' ;

Simulaciones
- 114 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
i r dy_f <= ' 0' ;
t r dy_f <= ' 0' ;

wai t f or CLK_per i od;

- - guar dando el dat o numer o 5 por el PCI

f r ame_f <= ' 1' ;
devsel _f <= ' 1' ;
i r dy_f <= ' 1' ;
t r dy_f <= ' 1' ;

wai t f or cl k_per i od;

f r ame_f <= ' 0' ;
ad_f <=x" 0000000a" ;
cbe_f <=x" 6" ;

wai t f or CLK_per i od;

cbe_f <=x" f " ;
devsel _f <= ' 0' ;
i r dy_f <= ' 0' ;
t r dy_f <= ' 0' ;

wai t f or CLK_per i od;

- - guar dando el dat o numer o 6 por el PCI

f r ame_f <= ' 1' ;
devsel _f <= ' 1' ;
i r dy_f <= ' 1' ;
t r dy_f <= ' 1' ;

wai t f or cl k_per i od;

f r ame_f <= ' 0' ;
ad_f <=x" 0000000b" ;
cbe_f <=x" 6" ;

wai t f or CLK_per i od;

cbe_f <=x" f " ;
devsel _f <= ' 0' ;
i r dy_f <= ' 0' ;
t r dy_f <= ' 0' ;

wai t f or CLK_per i od;

- - guar dando el dat o numer o 7 por el PCI

f r ame_f <= ' 1' ;
devsel _f <= ' 1' ;
i r dy_f <= ' 1' ;
t r dy_f <= ' 1' ;

wai t f or cl k_per i od;

f r ame_f <= ' 0' ;
ad_f <=x" 0000000c" ;
cbe_f <=x" 6" ;

wai t f or CLK_per i od;

Simulaciones
- 115 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL

cbe_f <=x" f " ;
devsel _f <= ' 0' ;
i r dy_f <= ' 0' ;
t r dy_f <= ' 0' ;

wai t f or CLK_per i od;

- - guar dando el dat o numer o 8 por el PCI

f r ame_f <= ' 1' ;
devsel _f <= ' 1' ;
i r dy_f <= ' 1' ;
t r dy_f <= ' 1' ;

wai t f or cl k_per i od;

f r ame_f <= ' 0' ;
ad_f <=x" 0000000d" ;
cbe_f <=x" 6" ;

wai t f or CLK_per i od;

cbe_f <=x" f " ;
devsel _f <= ' 0' ;
i r dy_f <= ' 0' ;
t r dy_f <= ' 0' ;

wai t f or CLK_per i od;

- - guar dando el dat o numer o 9 por el PCI

f r ame_f <= ' 1' ;
devsel _f <= ' 1' ;
i r dy_f <= ' 1' ;
t r dy_f <= ' 1' ;

wai t f or cl k_per i od;

f r ame_f <= ' 0' ;
ad_f <=x" 0000000e" ;
cbe_f <=x" 6" ;

wai t f or CLK_per i od;

cbe_f <=x" f " ;
devsel _f <= ' 0' ;
i r dy_f <= ' 0' ;
t r dy_f <= ' 0' ;

wai t f or CLK_per i od;

- - guar dando el dat o numer o 10 por el PCI

f r ame_f <= ' 1' ;
devsel _f <= ' 1' ;
i r dy_f <= ' 1' ;
t r dy_f <= ' 1' ;

wai t f or cl k_per i od;

f r ame_f <= ' 0' ;
ad_f <=x" 0000000f " ;

Simulaciones
- 116 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
cbe_f <=x" 6" ;

wai t f or CLK_per i od;

cbe_f <=x" f " ;
devsel _f <= ' 0' ;
i r dy_f <= ' 0' ;
t r dy_f <= ' 0' ;

wai t f or CLK_per i od;

- - guar dando el dat o numer o 11 por el PCI

f r ame_f <= ' 1' ;
devsel _f <= ' 1' ;
i r dy_f <= ' 1' ;
t r dy_f <= ' 1' ;

wai t f or cl k_per i od;

f r ame_f <= ' 0' ;
ad_f <=x" 00000010" ;
cbe_f <=x" 6" ;

wai t f or CLK_per i od;

cbe_f <=x" f " ;
devsel _f <= ' 0' ;
i r dy_f <= ' 0' ;
t r dy_f <= ' 0' ;

wai t f or CLK_per i od;

- - guar dando el dat o numer o 12 por el PCI

f r ame_f <= ' 1' ;
devsel _f <= ' 1' ;
i r dy_f <= ' 1' ;
t r dy_f <= ' 1' ;

wai t f or cl k_per i od;

f r ame_f <= ' 0' ;
ad_f <=x" 00000011" ;
cbe_f <=x" 6" ;

wai t f or CLK_per i od;

cbe_f <=x" f " ;
devsel _f <= ' 0' ;
i r dy_f <= ' 0' ;
t r dy_f <= ' 0' ;

wai t f or CLK_per i od;


wai t ;
end pr ocess;
- - *** End Test Bench - User Def i ned Sect i on ***

END;


- 117 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL



- 118 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Parte V DATASHEETS

ads8556
- 119 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL

ads8556
- 120 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Captulo 1 ADS8556


ads8556
- 121 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL



opa2211
- 122 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Captulo 2 OPA2211




opa2211
- 123 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL



NDICE DE LA MEMORIA
124
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL

ndice de planos


Parte I Esquema elctrico ....................................................................... 126
Captulo 1 Esquema elctrico ....................................................................... 127
Parte II PCB ............................................................................................. 128
Captulo 1 Capa superior.............................................................................. 129
Captulo 2 Capa inferior ............................................................................... 130
Captulo 3 Capa de masa .............................................................................. 131
Captulo 4 Capa de tensin........................................................................... 132
Captulo 5 Componentes............................................................................... 133
Parte III FPGA .......................................................................................... 134
Captulo 1 Tarjeta.sch .................................................................................. 135
Captulo 2 TarjetaPCI.sch ............................................................................ 136

Esquema elctrico
- 125 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL

Esquema elctrico
- 126 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Parte I ESQUEMA
ELCTRICO

Esquema elctrico
- 127 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Captulo 1 ESQUEMA ELCTRICO


Esquema elctrico
- 128 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Parte II PCB

Capa superior
- 129 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Captulo 1 CAPA SUPERIOR



Capa inferior
- 130 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Captulo 2 CAPA INFERIOR


Capa de masa
- 131 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Captulo 3 CAPA DE MASA


Capa de tensin
- 132 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Captulo 4 CAPA DE TENSIN


Componentes
- 133 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Captulo 5 COMPONENTES


Componentes
- 134 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Parte III FPGA

Tarjeta.sch
- 135 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Captulo 1 TARJETA.SCH


TarjetaPCI.sch
- 136 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Captulo 2 TARJETAPCI.SCH


NDICE DE LA MEMORIA
137
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL

ndice del presupuesto


Parte I Presupuesto ................................................................................. 139
Captulo 1 Mediciones .................................................................................. 140
1.1 Resistencias .................................................................................................. 140
1.2 Condensadores ............................................................................................. 140
1.3 Circuitos integrados .................................................................................... 140
1.4 Tarjetas ........................................................................................................ 141
1.5 Horas de ingeniera ..................................................................................... 141
Captulo 2 Precios unitarios ......................................................................... 142
2.1 Resistencias .................................................................................................. 142
2.2 Condensadores ............................................................................................. 142
2.3 Circuitos integrados .................................................................................... 142
2.4 Tarjetas ........................................................................................................ 143
2.5 Horas de ingeniera ..................................................................................... 143
Captulo 3 Sumas parciales .......................................................................... 144
3.1 Resistencias .................................................................................................. 144
3.2 Condensadores ............................................................................................. 144
3.3 Circuitos integrados .................................................................................... 144
3.4 Tarjetas ........................................................................................................ 145
3.5 Horas de ingeniera ..................................................................................... 145
Captulo 4 Presupuesto general ................................................................... 146

Presupuesto
- 138 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL

Mediciones
- 139 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Parte I PRESUPUESTO


Mediciones
- 140 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Captulo 1 MEDICIONES
1.1 RESISTENCIAS
Valor Fabricante Referencia Cantidad
1k VISHAY DRALORIC CRCW06031K00FKEA 24
22 VISHAY DRALORIC CRCW060322R0FKEAHP 12
1.2 CONDENSADORES
Valor Fabricante Referencia Cantidad
1nf AVX 08052C102KAT2A 12
10uf AVX 1206YC106KAT2A 12
0.1uf AVX 06033C104JAT2A 20
1uf AVX 0603YD105KAT2A 2
0.47uf AVX 0603YD474KAT2A 2
1.3 CIRCUITOS INTEGRADOS
Tipo Fabricante Referencia Cantidad
Operacional TEXAS INSTRUMENTS OPA2211AIDDA 6
Conversor TEXAS INSTRUMENTS ADS8556IPM 2

Mediciones
- 141 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
1.4 TARJETAS
Tipo Fabricante Descripcin Cantidad
PCB Eurocircuits PCB de 4 capas 1
FPGA Raggedstone Spartan-3 FPGA PCI 1
1.5 HORAS DE INGENIERA
El nmero total de horas de ingeniera para la realizacin de este proyecto
ha sido de 1000 horas.

Precios unitarios
- 142 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Captulo 2 PRECIOS UNITARIOS
2.1 RESISTENCIAS
Valor Fabricante Referencia Precio
1k VISHAY DRALORIC CRCW06031K00FKEA 0.021
22 VISHAY DRALORIC CRCW060322R0FKEAHP 0.043
2.2 CONDENSADORES
Valor Fabricante Referencia Precio
1nf AVX 08052C102KAT2A 0.082
10uf AVX 1206YC106KAT2A 1.08
0.1uf AVX 06033C104JAT2A 0.034
1uf AVX 0603YD105KAT2A 0.156
0.47uf AVX 0603YD474KAT2A 0.197
2.3 CIRCUITOS INTEGRADOS
Tipo Fabricante Referencia Precio
Operacional TEXAS INSTRUMENTS OPA2211AIDDA 17.14
Conversor TEXAS INSTRUMENTS ADS8556IPM 45.22

Precios unitarios
- 143 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
2.4 TARJETAS
Tipo Fabricante Descripcin Precio
PCB Eurocircuits PCB de 4 capas 233.41
FPGA Raggedstone Spartan-3 FPGA PCI 277.68
2.5 HORAS DE INGENIERA
Se ha estimado un precio de la hora de ingeniera de 40/hora


Sumas parciales
- 144 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Captulo 3 SUMAS PARCIALES
3.1 RESISTENCIAS
Valor Cantidad Precio unitario Total
1k 24 0.021 0.504
22 12 0.043 0.516
3.2 CONDENSADORES
Valor Cantidad Precio unitario Total
1nf 12 0.082 0.984
10uf 12 1.08 12.96
0.1uf 20 0.034 0.68
1uf 2 0.156 0.312
0.47uf 2 0.197 0.394
3.3 CIRCUITOS INTEGRADOS
Tipo Cantidad Precio unitario Total
Operacional 6 17.14 102.84
Conversor 2 45.22 90.44

Sumas parciales
- 145 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
3.4 TARJETAS
Tipo Cantidad Precio unitario Total
PCB 1 233.41 233.41
FPGA 1 277.68 277.68
3.5 HORAS DE INGENIERA
El coste total de las horas de ingeniera es de 40000

Presupuesto general
- 146 -
UNIVERSIDAD PONTIFICIA COMILLAS
ESCUELA TCNICA SUPERIOR DE INGENIERA (ICAI)
INGENIERO INDUSTRIAL
Captulo 4 PRESUPUESTO GENERAL
Concepto Precio
Resistencias 1.02
Condensadores 15.33
Circuitos integrados 193.28
Tarjetas 511.09
Horas de ingeniera 40000
Total 40720.72

Vous aimerez peut-être aussi