Vous êtes sur la page 1sur 15

DISEO DE UN MULTIPLICADOR DE 2 X 2 BITS Publicado por franciscoalavez el 13 marzo, 2008 10 comentarios

DISEO DIGITAL OBJETIVOS


Disear y comprobar el funcionamiento de un Multiplicador de 2 x 2 bits

MATERIAL UTILIZADO
2 1 4 4 4 1 1 1 Compuerta AND Compuerta OR EX Leds rojos Resistencias Resistencias Protoboards Regulador de Voltaje Dipswitch Alambre para Protoboard LM7805 1 k 220 74LS08 74HC86

MARCO TERICO

Para observar como puede implantarse un arreglo multiplicador con un circuito combinatorio consideremos al multiplicacin de dos nmeros de 2 bits. Los bits del multiplicando son C y D, los bits del multiplicador son A y B, mientras que el producto es X0 X1, X2 y X3.

El primer producto parcial se forma al multiplicar D por AB. La multiplicacin de dos bits D y A produce un 1 si los dos digitos son 1; de otra manera produce un 0.

Esto es identico a una operacin AND y puede lograrse con una compuerta AND; en el diagrama veremos que el primer producto parcial se forma mediante dos compuertas AND.

El segundo producto parcial se forma al multiplicar C por AB y se recorre una posicin a la izquierda.

Los dos productos parciales se suman con dos circuitos semisumadores.

Por lo general hay ms bits en los productos parciales y ser necesario utilizar sumadores completos para producir la suma.

Notese que el bit menos significativo no tiene que recorrer un sumador por que est formado por la salida de la primera compuerta AND.

DESARROLLO / DIAGRAMA

Obtencin de productos parciales

AB

AB

00
CD

11

CD

00

11 11 11 1001

Las salidas 1 0 0 1(A, B, C y D) nos indican que existen cuatro salidas, es decir, X 0 X1, X2 y X3.

De este modo tenemos que:

Circuito comparador
Un circuito comparador combinatorio compara dos entradas binarias (A y B de n bits) para indicar la relacin de igualdad o desigualdad entre ellas por medio de "tres banderas lgicas" que corresponden a las relaciones A igual B, A mayor que B y A menor que B. Cada una de estas banderas se activara solo cuando la relacin a la que corresponde sea verdadera, es decir, su salida ser 1 y las otras dos producirn una salida igual a cero. Dentro de la familia de circuitos TTL se les denomina a estos circuitos con el nmero 7485 y manejan entradas de 4 bits, adems de que tambin se les puede conectar en cascada para manejar entradas ms grandes.
ndice
[ocultar]

1 Introduccin. 2 Metodologa. 3 Diseo de un circuito comparador de 2 bits. 4 Diseo de un circuito comparador de n bits.

o o o

4.1 Circuito A=B 4.2 Circuito A>B de n bits. 4.3 Circuito A<B de n bits.

5 Esquemas de los circuitos y reutilizacin de compuertas. 6 Diseo de las salidas del comparador binario. 7 Diseo de un circuito comparador de n bits con signo.

o o o

7.1 Premisa 1. 7.2 Premisa 2. 7.3 Premisa 3.

8 Diseo de las salidas del comparador binario con signo. 9 Consideraciones.

o o

9.1 Referencias. 9.2 Software para realizar los diseos.

Introduccin.[editar editar cdigo]


Mediante lgebra de Boole y diagramas se quiere mostrar como se puede construir fcilmente un comparador binario de n bits a partir de comparadores ms simples de dos y cuatro bits para implementar de manera rpida uno de estos circuitos combinatorios. Todo el texto cubrir de manera detallada los procesos y anlisis requeridos para desarrollar un comparador que puede

procesar nmeros enteros con signo y retorne su salida activando con una seal igual a 1 la bandera que indique el tipo de relacin entre dos nmeros binarios de n bits.

Metodologa.[editar editar cdigo]


Para disear este circuito se va requerir un conocimiento intermedio del lgebra booleana y la simplificacin booleana, uso de tablas de verdad, lgica y diseo de circuitos lgicos, se recomienda tambin tener un programa para disear circuitos lgicos como Ktechlab para crear los diagramas. Algunas expresiones booleanas se van a representar como funciones cuyo nombre corresponder a una letra mayscula del alfabeto para simplificar su representacin, mientras que la salida principal en el diagrama de un circuito se va a representar como una funcin. As mismo a las entradas/salidas de n bits que corresponden a un solo dato se les llamara vectores, cada uno de esos vectores ser nombrado con un letra mayscula y cada entrada de un bit dentro de un vector se identificar con el nombre del vector mas la posicin que ocupa dentro de l, por ejemplo una entrada de 5 bits se llamara A y a cada posicin dentro del vector A se le llamara as: A4 que seria el bit ms significativo, A3, A2, A1 y A0 que correspondera al bit menos significativo.

Diseo de un circuito comparador de 2 bits.[editar editar cdigo]


Para este caso solo se requiere crear la tabla de verdad correspondiente y luego determinar las funciones booleanas que producen las salidas requeridas. Sean A y B entradas de un bit, entonces:
A B A<B A=B A>B

Figura.1 Diagrama de un comparador binario de 2 bits.

Diseo de un circuito comparador de n bits.[editar editar cdigo]


Antes de construir un comparador binario en cascada se va mostrar como a partir de las expresiones obtenidas en el apartado anterior es posible construir cualquier comparador de n bits utilizando la lgica de christhofer y lgebra fernandez. As se definir el razonamiento que lleva a la formulacin de un caso general para n bits y luego se dar un ejemplo para la expresin requerida para un comparador de 4 bits. Sean A y B dos vectores de 2 bits. [blanca]

Circuito A=B[editar editar cdigo]


Aqu es evidente que dos entradas de n bits A y B, son iguales si solo si, son iguales bit a bit, es decir: A = B si y solo si Q(An-1,Bn-1)*Q(An-2,Bn-2)*...*Q(A1,B1)*Q(A0, B0) = 1 Por lo tanto, si n=4, tenemos que: A = B si y solo si Q(A3, B3)*Q(A2 B2)*Q(A1,B1)*Q(A0,B0) = 1 En adelante, A=B se denominara como F(A,B)

Circuito A>B de n bits.[editar editar cdigo]


Para este caso se va crear una expresin general similar, cuyo enunciado seria: A > B si y solo si Z(An-1,Bn-1) + Q(An-1,Bn-1)*Z(An-2,Bn-2) + Q(An-1,Bn-1)*Q(An-2,Bn-2)*Z(An3,Bn-3) +... + Q(An-1,Bn-1)*Q(An-2,Bn-2)*...*Q(A1,B1)*Z(A0,B0) = 1 Por lo tanto, si n=4, tenemos que: A > B si y solo si Z(A3,B3) + Q(A3,B3)*Z(A2,B2) + Q(A3,B3)*Q(A2,B2)*Z(A1,B1) + Q(A3,B3)*Q(A2,B2)*Q(A1,B1)*Z(A0,B0) = 1 En adelante, A>B se denominara como G(A,B)

Circuito A<B de n bits.[editar editar cdigo]

Formalmente este caso define como: A < B si y solo si X(An-1,Bn-1) + Q(An-1,Bn-1)*X(An-2,Bn-2) + Q(An-1,Bn-1)*Q(An-2,Bn-2)*X(An3,Bn-3) +... + Q(An-1,Bn-1)*Q(An-2,Bn-2)*...*Q(A1,B1)*X(A0,B0) = 1 Por lo tanto, si n=4, tenemos que: A < B si y solo si X(A3,B3) + Q(A3,B3)*X(A2,B2) + Q(A3,B3)*Q(A2,B2)*X(A1,B1) + Q(A3,B3)*Q(A2,B2)*Q(A1,B1)*X(A0,B0) = 1 En adelante, A<B se denominara como H(A,B) Pero por deduccin, se puede concluir que: si A=B es FALSO y A>B es FALSO, entonces A<B es VERDADERO lo que seria igual a H(A,B)= [F(A,B)][G(A,B)]. Si se implementa la conclusin anterior mediante compuertas lgicas entonces se habra un gran ahorro de trabajo en el diseo y construccin del circuito. Tambin cabe sealar que la funcin "Q" puede ser reemplazada por una compuerta NXOR.

Esquemas de los circuitos y reutilizacin de compuertas.[editar editar cdigo]


Al construir el diagrama o esquema del circuito comparador se debe comenzar alambrando la salida de F(A,B) para reducir la cantidad de compuertas que se van a requerir ya que ello permitir obtener el resultado de las operaciones XNOR necesarias para construir la funcin G(A,B), tambin se usara la expresin H(A,B)= [F(A,B)][G(A,B)] para simplificar an ms el diseo.

Figura 2. Mediante compuertas XNOR unidas por ms compuertas AND, se obtiene la funcin F(A,B) a la vez que se usa la salida de cada AND y el primer XNOR para formar un vector de salida adicional que se denominara S de 3 bits de longitud.

Figura 3. Luego utilizando a cada lnea del nuevo vector S se construye la funcin G(A,B), vase "Circuito A>B de n bits." para saber por que.

Diseo de las salidas del comparador binario.[editar editar cdigo]


Por ltimo el siguiente diagrama muestra como se juntan las salidas de F(A,B) y G(A,B), A=B y A>B respectivamente, para crear la salida de H(A,B) o mejor dicho A<B, y por fin tener las tres banderas lgicas que indicaran cual es la relacin de igualdad o desigualdad entre los vectores de entrada A y B de n bits de largo (que en el caso de este ejemplo seria 4).

Figura 4. Salidas del circuito comparador.

Diseo de un circuito comparador de n bits con signo.[editar editar


cdigo]
El circuito comparador de n bits con signo que se va a implementar se basa en el circuito comparador que se dise antes e incluye varias caractersticas adicionales.

- todas las entradas se expresan como enteros binarios en la notacin signo-magnitud

- el bit de signo corresponde a la posicin n-1 (el bit ms significativo del vector) - las magnitudes y los signos se procesan por separado

De las anteriores caractersticas, la nica que se va examinar a fondo es la ltima, ya que en el circuito comparador con signo que se va a implementar compara primero las magnitudes de las entradas, el resultado de esa comparacin (en adelante conocido como premisa) junto con el signo de cada entrada permite determinar la salida definitiva de este nuevo circuito. En total hay tres premisas que se vern a continuacin y cada una de ellas produce tres salidas que correspondern a las banderas A=B, A>B o A<B; cuyo valor ser verdadero si y solo si la premisa asociada es verdadera. Sea J el bit de signo correspondiente a la entrada A, y... Sea K el bit de signo correspondiente a la entrada B.

Premisa 1.[editar editar cdigo]


El resultado de la funcin F(A,B) = 1; o sea A es igual que B Si la premisa 1 es cierta, entonces la siguiente tabla de verdad tambin es cierta:
J K A=B A>B A<B

De manera tal que: A=B es igual a NXOR(J,K) * F(A,B) A>B es igual a JK * F(A,B) A<B es igual a JK * F(A,B)

Premisa 2.[editar editar cdigo]


El resultado de la funcin G(A,B) = 1; o sea A es mayor que B Si la premisa 2 es cierta, entonces la siguiente tabla de verdad tambin es cierta:

A=B

A>B

A<B

A=B es 0; por lo tanto no se toma en cuenta. A>B es igual a J*G(A,B) A<B es igual a J*G(A,B)

Premisa 3.[editar editar cdigo]


El resultado de la funcin H(A,B) = 1; o sea A es menor que B Si la premisa 2 es cierta, entonces la siguiente tabla de verdad tambin es cierta:
J K A=B A>B A<B

A=B es 0; por lo tanto no se toma en cuenta. A>B es igual a K*H(A,B) A<B es igual a K*H(A,B)

Diseo de las salidas del comparador binario con signo.[editar editar cdigo]
En el siguiente diagrama se muestra como se integran las premisas 1,2 y 3 que en total generan 7 salidas para obtener solo 3 que indicaran cual es la relacin entre las entradas A y B de n bits con signo, sabiendo que solo hay una premisa cierta y que las otras son falsas.

Figura 5.

Consideraciones.[editar editar cdigo]


Se debe sealar que un modo de refinar los diagramas mostrados sera usar buffers para compensar la velocidad de cada bit de salida; por ejemplo en la figura 2 se puede apreciar que para generar el vector de salida S cada bit pasa por una cantidad distinta de compuertas, al respecto de puede decir que el tiempo que requiere el vector S para producir su salida es igual al tiempo que tarda en salir bit que requiere de ms compuertas para para dar su valor correcto, el bit ms lento por decirlo de otra forma; sin embargo el uso de los buffers y el clculo de los tiempos de un circuito estn ms all del mbito que cubre esta pgina.

Referencias.[editar editar cdigo]


El mtodo empleado para desarrollar el circuito comparador visto es una extensin del emplea en el libro "Diseo Lgico" de Antonio Lloris y Alberto Prieto.

Software para realizar los diseos.[editar editar cdigo]


Todos los diagramas se pueden replicar y probar utilizando un simulador y diseador de circuitos como Ktechlab disponible para la plataforma GNU/Linux.

MULTIPLICADOR COMBINATORIO
Un multiplicador combinatorio permite realizar la operacin de multiplicacin mediante circuitos combinacionales. Como ejemplo, un circuito construido para este propsito es un multiplicador combinacional paralelo de 4 bits, mostrado en la figura 3.13.2. Este multiplicador estn constituido internamente por circuitos sumadores completos, que a su vez internamente estn diseados a nivel de puertas lgicas. En el primer nivel de compuertas de la figura se obtienen las operaciones A0B0, A1B0, A2B0y A3B0. En el segundo nivel de compuertas, las operaciones A0B1, A1B1, A2B1 y A3B1. En el tercero, las

operaciones A0B2, A1B2,A2B2 y A3B2 y en el cuarto A0B3, A1B3, A2B3 y A3B3. Por ejemplo, A0B0 es directamente el resultado P0. El dgito P3, se obtiene de la suma de los bits de entrada a los sumadores S3, S6, S9 y el bit A3B0. La figura 3.13.1 recuerda el proceso de multiplicacin de dos nmeros de cuatro bits.

Figura 3.13.1. Multiplicacin de dos nmeros de cuatro bits

Figura 3.13.2. Circuito lgico del multiplicador combinatorio

Vous aimerez peut-être aussi