Vous êtes sur la page 1sur 117

lrfan Ali Khan

Per!ormance AnaIysis o!
OpIicaI CDMA 5ysIems
Ahalysis o! OCDMA sysIems usihg MA1LA8
1his book presehIs Ihe e!!ecI o! dispersioh properIy o! opIical !iber ahd
mulIiple access ihIer!erehce (MAl) due Io uhique sighaIure codes ih Ihe
opIical code divisioh mulIiple access (OCDMA) sysIems. A maIhemaIical
ahalysis is presehIed Io evaluaIe Ihe e!!ecI o! !iber chromaIic dispersioh oh
Ihe biI error raIe (8ER) per!ormahce o! a direcI sequehce opIical code
divisioh mulIiple access sysIem wiIh ihIehsiIy modulaIioh direcI deIecIioh
Irahsmissioh lihk usihg sequehce ihverse keyihg opIical correlaIor receiver.
MA1LA8 simulaIiohs are used Io presehIs sighal-Io-hoise raIio (SNR) versus
received opIical power (ROP) ploI !or various humbers o! users. lI cah be
dohe !or Ihe ROP v/s 8ER !or di!!erehI lehgIhs o! sihgle mode opIical !iber
wiIh cohsideraIioh Ihe e!!ecI o! chromaIic dispersioh ihdex. Also visualize
whaI amouhI o! IrahsmiIIed power is required ih order Io obIaih a 8ER o!
10-9 wheh Ihe lehgIh o! Ihe opIical !iber is ihcreased. lI hoIiced IhaI wheh
Ihe !iber lehgIh is decreased, Ihe ihdex o! chromaIic dispersioh o! Ihe
opIical !iber ihcreases.
Irfan AIi Khan
lr!ah Ali Khah, M.1ech. ih ElecIrohics ahd
CommuhicaIioh. He has beeh servihg as a FaculIy ih
DeparImehI o! ElecIrohic lhsIrumehIaIioh ahd
CohIrol uhder Global 1echhical Campus, Jaipur
(lhdia). His area o! lhIeresI is OpIical CDMA sysIems,
8io-Medical lhsIrumehIaIioh, Wireless
CommuhicaIiohs.
978-3-659-47673-0
O
p
t
i
c
a
l

C
D
M
A

S
y
s
t
e
m
s
A
l
i

K
h
a
n
Irfan AIi Khan
Performance AnaIysis of OpticaI CDMA Systems
Irfan AIi Khan
Performance AnaIysis of OpticaI CDMA
Systems
AnaIysis of OCDMA systems using MATLAB
LAP LAMBERT Academic PubIishing
LAP LAMBERT Academic PubIishing
Impressum / ImprinI
8ibliogra!ische lh!ormaIioh der DeuIscheh NaIiohalbiblioIhek: Die DeuIsche
NaIiohalbiblioIhek verzeichheI diese PublikaIioh ih der DeuIscheh NaIiohalbibliogra!ie,
deIaillierIe bibliogra!ische DaIeh sihd im lhIerheI ber hIIp://dhb.d-hb.de abru!bar.
Alle ih diesem 8uch gehahhIeh Markeh uhd ProdukIhameh uhIerliegeh warehzeicheh-,
markeh- oder paIehIrechIlichem SchuIz bzw. sihd Warehzeicheh oder eihgeIragehe
Warehzeicheh der |eweiligeh lhhaber. Die Wiedergabe voh Markeh, ProdukIhameh,
Cebrauchshameh, Hahdelshameh, Warehbezeichhuhgeh u.s.w. ih diesem Werk berechIigI
auch ohhe besohdere Kehhzeichhuhg hichI zu der Ahhahme, dass solche Nameh im Sihhe
der Warehzeicheh- uhd MarkehschuIzgeseIzgebuhg als !rei zu beIrachIeh wareh uhd
daher voh |edermahh behuIzI werdeh dr!Ieh.
8ibliographic ih!ormaIioh published by Ihe DeuIsche NaIiohalbiblioIhek: 1he DeuIsche
NaIiohalbiblioIhek lisIs Ihis publicaIioh ih Ihe DeuIsche NaIiohalbibliogra!ie, deIailed
bibliographic daIa are available ih Ihe lhIerheI aI hIIp://dhb.d-hb.de.
Ahy brahd hames ahd producI hames mehIiohed ih Ihis book are sub|ecI Io Irademark,
brahd or paIehI proIecIioh ahd are Irademarks or regisIered Irademarks o! Iheir respecIive
holders. 1he use o! brahd hames, producI hames, commoh hames, Irade hames, producI
descripIiohs eIc. eveh wiIhouI a parIicular markihg ih Ihis works is ih ho way Io be
cohsIrued Io meah IhaI such hames may be regarded as uhresIricIed ih respecI o!
Irademark ahd brahd proIecIioh legislaIioh ahd could Ihus be used by ahyohe.
Coverbild / Cover image: www.ihgimage.com
Verlag / Publisher:
LAP LAM8ER1 Academic Publishihg
isI eih lmprihI der / is a Irademark o!
OmhiScripIum CmbH & Co. KC
Heihrich-8ckihg-SIr. 6-8, 66121 Saarbrckeh, DeuIschlahd / Cermahy
Email: ih!o@lap-publishihg.com
HersIelluhg: siehe leIzIe SeiIe /
PrihIed aI: see lasI page
I5N: 978-3-659-47673-0
Zugl. / Approved by: Jaipur (lNDlA), JagahNaIh UhiversiIy, May 2013
CopyrighI 2013 OmhiScripIum CmbH & Co. KC
Alle RechIe vorbehalIeh. / All righIs reserved. Saarbrckeh 2013
Effects of Dispersion and Multiple Access Interference (MAI) on
Optical Code Division Multiple Access (OCDMA) Systems
A
Dissertation
submitted
in partial fulfilment
for the award of the Degree of
Master of Technology
in Department of Electronics and Communication Engineering
by
Irfan Ali
020611044
Under the supervision of
Mr. Ankit Agarwal Mr. Ramesh Bharti
Assistant Professor, BMIT Assistant Professor, JU
Department of Electronics and Communication Engineering
Jagan Nath University
Jaipur
May 2013
Effects of Dispersion and Multiple Access Interference (MAI) on
Optical Code Division Multiple Access (OCDMA) Systems
A
Dissertation
submitted
in partial fulfilment
for the award of the Degree of
Master of Technology
in Department of Electronics and Communication Engineering
by
Irfan Ali
020611044
Under the supervision of
Mr. Ankit Agarwal Mr. Ramesh Bharti
Assistant Professor, BMIT Assistant Professor, JU
Department of Electronics and Communication Engineering
Jagan Nath University
Jaipur
May 2013
i
Candidate Declaration
I, Irfan Ali hereby declare that the work presented in this dissertation
entitled Effects of Dispersion and Multiple Access Interference
(MAI) on Optical Code Division Multiple (OCDMA) Systems in
partial fulfilment of the requirements for the award of Degree of Master
of Technology, submitted in the Department of Electronics and
Communication at Jagan Nath University, Jaipur, is an authentic record
of my own research work under the supervision of Mr. Ankit Agarwal
and Mr. Ramesh Bharti.
I also declare that the work embodied in the present thesis is my original
work/extension of the existing work and has not been copied from any
Journal/thesis/book, and has not been submitted by me for any other
Degree/Diploma.
(Irfan Ali)
Enrollment No. : 020611044
Date:
ii
Certificate of the Supervisor
This is to certify that the dissertation entitled Effects of Dispersion and
Multiple Access Interference (MAI) on Optical Code Division Multiple
Access (OCDMA) Systems submitted by Irfan Ali for the award of
Degree of Master of Technology in the Department of Electronics and
Communication of Jagan Nath University, Jaipur, is a record of authentic
work carried out by him under our supervision.
The matter embodied in this thesis is the original work of the candidate
and has not been submitted for the award of any other degree or diploma.
It is further certified that he has worked with us for the required period in
the Department of Electronics and Communication, Jagan Nath
University, Jaipur.
(Mr. Ankit Agarwal) (Mr. Ramesh Bharti)
Assistant Professor, BMIT Assistant Professor, JU
Date: Date:
iii
Acknowledgement
All my gratitude and thanks to ALLAH, who is the heavenly power of the Earth and
the store of every information and knowledge.
On the submission of my dissertation report of Effects of Dispersion and MAI on
Optical CDMA Systems, I would like to express my sincere gratitude to my
project supervisor Mr. Ankit Agarwal, Assistant Professor, Department of
Electronics and Communication, BMIT (East) Jaipur and co-supervisor
Mr. Ramesh Bharti, Assistant Professor, Department of Electronics and
Communication, Jagan Nath University, Jaipur for giving me the opportunity to work
on this topic. It would never be possible for us to take this project to this level
without their innovative ideas and relentless support and encouragement.
I would also like to thank Mr. Abhishek Sharma and Mr. Vikash Sharma, Assistant
Professor, Department of Electronics and Communication, BMIT (East) Jaipur for
their help and encouragement during the project.
I expand earnest thanks to my parents for their constant support and kindness at
every stage of my life. They always help me with their lucent thoughts that
encouraged me to overcome all obstacles.
Finally, I would like to thank all whose direct and indirect support helped me
completing my dissertation work in time.
Irfan Ali
(1106041)
iv
Abstract
This dissertation presents the effect of dispersion property of optical fiber and
multiple access interference (MAI) due to unique signature codes in the optical code
division multiple access (OCDMA) systems.
A mathematical analysis is presented to evaluate the effect of fiber chromatic
dispersion on the bit error rate (BER) performance of a direct sequence optical code
division multiple access system with intensity modulation direct detection
transmission link using sequence inverse keying optical correlator receiver.
MATLAB simulations are used to presents signal-to-noise ratio (SNR) versus
received optical power (ROP) plot for various numbers of users. It can be done for
the ROP v/s BER for different lengths of single mode optical fiber with consideration
the effect of chromatic dispersion index ( ). Also visualize what amount of
transmitted power is required in order to obtain a BER of 10
-9
when the length of the
optical fiber is increased. It noticed that when the fiber length is decreased, the index
of chromatic dispersion ( ) of the optical fiber increases. It is also observed that a
higher power of the optical transmitter is required in order to maintain a BER of 10
-9
for increasing number of users.
Finally the dissertation report shows performance analysis of optical CDMA systems
under the effects of dispersion and multiple access interference (MAI).
Keywords: Bit Error Rate, MAI, OCDMA, Signal to Noise Ratio, Received Optical
Power
v
Table of Contents
Declaration...................................................................................................................i
Certificate................................ii
Acknowledgments.......................................................iii
Abstract....................................................................................................................iv
Table of Contents.........................................................................................................v
List of Figures.........................................vi
List of Tables......................................................................................vii
List of Abbreviations.........................................................................viii
Chapter 1 Introduction..............................................................................................1
1.1 Introduction to Optical Communication.........................................................1
1.1.1 Advantages of optical fiber communication. .....5
1.1.2 Generations of optical fiber communication......8
1.1.3 The General Optical Fiber Communication System..13
1.2 Multiple Access Techniques..............................................................................15
1.2.1 Time Division Multiple Access (TDMA).....16
1.2.2 Wavelength Division Multiple Access (WDMA).....17
1.2.3 Code Division Multiple Access (CDMA).....18
1.3 Motivation and Objective..............................................................................22
1.4 Outline of the Dissertation............................................................................24
Chapter 2 Literature Review..................26
2.1 Literature Survey....... ................26
2.2 Chromatic Dispersion..........................................................................................35
2.3 Multiple Access Interference..............................................................................38
2.4 Overview of Optical CDMA...............................................................................39
vi
2.5 Optical Codes......................................................................................................41
2.5.1 Optical Orthogonal Codes (OOC)............................................................44
Chapter 3 Optical CDMA Systems...............................................................................49
3.1 Introduction..........................................................................................................49
3.2 Block diagram of OCDMA system......................................................................50
3.3 Implementation of OCDMA Technology............................................................53
3.4 Features................................................................................................................55
3.5 Advantages...........................................................................................................55
3.6 Drawbacks............................................................................................................58
3.7 Applications of OCDMA.....................................................................................61
3.7.1 Wireless Optical CDMA LAN...................................................................61
3.7.2 Radio over Fiber network...........................................................................62
3.7.3 Multimedia Transmission...........................................................................64
Chapter 4 Mathematical Analysis of Optical CDMA System........................................66
4.1 System Description.............................................................................................66
4.2 Simulation Parameters........................................................................................73
4.3 MATLAB Program.............................................................................................75
Chapter 5 Simulation Results..........................................................................................81
5.1 Results.................................................................................................................81
Chapter 6 Conclusion and Future Scope.........................................................................87
6.1 Conclusion..........................................................................................................87
6.2 Future Scope.......................................................................................................88
References........................................................................................................................89
vii
List of Figures
Figure 1.1 The Electromagnetic Spectrum region used for Optical Communications... 2
Figure 1.2 Increase in the BL product over the period 1975 to 1980 through several
generations of light wave systems................................................................. 9
Figure 1.3 (a) The General Communication System......................................................... 14
Figure 1.3 (b) The Optical Fiber Communication System............................................... 14
Figure 1.4 A digital optical fiber link using a semiconductor laser source and an
avalanche photodiode detector...................................................... ............15
Figure 1.5 Time Division Multiple Access (TDMA)....................................... ............17
Figure 1.6 Wavelength Division Multiple Access (WDMA).........................................18
Figure 1.7 Code Division Multiple Access (CDMA)......................................................19
Figure 1.8 Spread Spectrum technique with CDMA network..................................... 19
Figure 1.9 CDMA spreading............................................................................... ........... 20
Figure 2.1 Dispersion Coefficient and wavelength curve of single mode fiber........... 38
Figure 2.2 Optical CDMA network configuration........................................... ........... 40
Figure 2.3 (a) sequences for fiber optics........................................................................... 44
Figure 2.3 (b) sequences for radio frequency........................................................ ............ 44
Figure 2.4 (a) Optical Orthogonal Code 1.......................................................................... 45
Figure 2.4 (b) Optical Orthogonal Code 2.............................................................. ............ 45
Figure 2.5 (c) Auto-correlation........................................................................... ....................... 45
Figure 2.5 (d) Cross-correlation................................................................ ....................... 45
Figure 3.1 Block diagram of an Optical CDMA System................................... ............ 51
viii
Figure 3.2 Waveforms employed to transmit data in OCDMA System................ ............ 53
Figure 3.3 A typical Wireless OCDMA LAN.................................................. ............ 62
Figure 3.4 FBG encoder for receiving the radio signal............................................... 63
Figure 3.5 FBG encoder for receiving the radio signal............................................... 64
Figure 4.1 Optical CDMA Transmitter with Sequence Inversion Keying (SIK)......... 67
Figure 4.2 Optical Correlator Receiver (OCR) with switched SIK.............................. 69
Figure 5.1 BER vs. ROP performance for 2/4users........................................... ........... 81
Figure 5.2 BER vs. ROP performance for 6/8/10 users.................................................. 82
Figure 5.3 BER vs. ROP performance for 2/4/6/8/10/12/14 users................................. 83
Figure 5.4 SNR vs. ROP performance for 2/4 users............................................................ 84
Figure 5.5 SNR vs. ROP performance for 6/8/10 users.......................................... ............ 85
Figure 5.6 SNR vs. ROP performance for 2/4/6/8/10/12/14 users................... ............ 86
ix
List of Tables
Table 1.1: ITU Spectral Bands Specifications for Optical Fiber
Table 1.2: Comparison of Major Multiple Access Schemes
Table 4.1: Simulation Parameters in Optical CDMA Systems
x
List of Abbreviations
BER Bit Error Rate
CDMA Code Division Multiple Access
MAI Multiple Access Interference
OCDMA Optical Code Division Multiple Access
SNR Signal to Noise Ratio
SIK Sequence Inversion Keying
OOCs Optical Orthogonal Codes
IM-DD Intensity Modulation-Direct Detection
DS-OCDMA Direct Sequence OCDMA
ROP Received Optical Power
OOK On-Off Keying
OCR Optical Correlator Receiver
PIN P-intrinsic-N
WDM Wavelength Division Multiplexing
LED Light Emitting Diode
APD Avalanche Photo Diode
PMD Polarization Mode Dispersion
PON Passive Optical Network
SMF Single Mode Fibre
TDMA Time Division Multiple Access
PPM Pulse Position Modulation
ISI Inter Symbol Interference
LAN Local Area Network
QOS Quality Of Service
FBG Fiber Bragg Grating
GVD Group Velocity Dispersion
1
CHAPTER 1
INTRODUCTION
1.1 INTRODUCTION TO OPTICAL COMMUNICATION
In the ancient times, in order to increase the transmission speed of information, humans have
started to learn how to use optical signals for communication. For instance, communicate using
hand, smoke signals, semaphores, etc. However the utility of these methods are very limited due
to the error rate is very high and it is very easy to be eavesdropped. Now optical communication
involves optical fiber as the communication median as from one point to another uses light as a
carrier. Optical fiber communication started in the early 1960s, when ruby laser is invented [1],
together with the propose of optical communication via dielectric waveguides or glass optical
fiber by Kao and Hockham during 1966s [2]. Initially the optical fibers exhibited very high
attenuation (i.e., 1000dB/km) and were therefore not competitive with the coaxial cables which
they were to replace (i.e., 5 to 10dB/km). In 1970, the Corning Company in America
manufactured a fiber-optic with attenuation of 17dB/km, and the optical fiber losses at 1310 nm
wavelength were reduced to 0.3dB/km [3] in 1974. In 1977, the field trial of the first
commercial use of the multimode fibers between two telephone offices in Chicago 7000 meters
distant was made successfully [4]. The use of visible optical carrier waves or light for
communication has been common for many years. Simple systems such as signal fires,
reflecting mirrors and, more recently, signalling lamps have provided successful, if limited,
information transfer. Moreover, as early as 1880 Alexander Graham Bell reported the
transmission of speech using a light beam. The photo phone proposed by Bell just four years
after the invention of the telephone modulated sunlight with a diaphragm giving speech
transmission over a distance of 200 m. However, although some investigation of optical
communication continued in the early part of the twentieth century its use was limited to mobile
low capacity communication links. This was due to both the lack of suitable light sources and
the problem that light transmission in the atmosphere is restricted to line of sight and is severely
affected by disturbances such as rain, snow, fog, dust and atmospheric turbulence.
Never
and m
less a
electro
amoun
capaci
is gen
freque
capaci
higher
micro
wavel
spectr
optica
104 o
carrier
availa
Figure 1.
rtheless lowe
microwave) p
affected by
omagnetic c
nt of inform
ity is directly
nerally limite
ency, the lar
ity of the com
r frequencies
wave and,
lengths of th
rum shown
al frequencie
over high-fre
r frequencie
able power w
.1: Electroma
er frequency
proved suitab
these atm
arriers can b
mation they
y related to t
ed to a fixed
rger the avai
mmunication
s (i.e. VHF a
latterly, m
hese types of
in Figure 1.
es offers an
equency mic
es is the ge
within the tra
agnetic spect
y and hence
ble carriers
ospheric co
be transmitte
can convey
the bandwidt
fraction of th
ilable transm
n system. Fo
and UHF) lea
millimetre w
f electromagn
.1. In this c
increase in t
crowave tran
eneral ability
ansmitted ele
2
trum region
longer wave
for informat
onditions. D
ed over cons
y by their fr
th or frequen
he carrier fre
mission band
or this reason
ading to the
wave transm
netic wave c
context it ma
the potential
nsmission. A
y of the co
ectromagneti
used for opti
elength elect
tion transfer
Depending o
siderable dis
requencies (
ncy extent of
equency). In
dwidth and t
n radio comm
introduction
mission. Th
can be observ
ay also be n
l usable band
An additiona
mmunication
ic wave, thu
ical commun
tromagnetic
in the atmo
on their wa
stances but a
(i.e. the info
f the modulat
theory, the g
thus the info
munication w
n of the even
he relative
ved from the
noted that c
dwidth by a
al benefit of
n system to
us giving an
nications
waves (i.e.
osphere, bein
avelengths,
are limited i
ormation-car
ted carrier, w
greater the c
ormation-car
were develop
higher frequ
frequencies
e electromag
communicati
a factor of ar
f the use of
o concentrat
improved sy
radio
ng far
these
in the
rrying
which
arrier
rrying
ped to
uency
and
gnetic
on at
round
f high
e the
ystem
3
performance. In parallel with the development of the fiber waveguide, attention was also
focused on the other optical components which would constitute the optical fiber
communication system. Since optical frequencies are accompanied by extremely small
wavelengths, the development of all these optical components essentially required a new
technology. Thus semiconductor optical sources (i.e. injection lasers and light-emitting diodes)
and detectors (i.e. photodiodes and to a lesser extent phototransistors) compatible in size with
optical fibers were designed and fabricated to enable successful implementation of the optical
fiber system. Initially the semiconductor lasers exhibited very short lifetimes of at best a few
hours, but significant advances in the device structure enabled lifetimes greater than 1000 h and
7000 h to be obtained by 1973 and 1977 respectively. These devices were originally fabricated
from alloys of gallium arsenide (AlGaAs) which emitted in the near infrared between 0.8 and
0.9 m. Subsequently the above wavelength range was extended to include the 1.1 to 1.6 m
region by the use of other semiconductor alloys (see Section 6.3.6) to take advantage of the
enhanced performance characteristics displayed by optical fibers over this range. In particular
for this longer wavelength region around 1.3 m and 1.55 m, semiconductor lasers and also
the simpler structured light-emitting diodes based on the quaternary alloy InGaAsP grown
lattice matched to an InP substrate have been available since the late 1980s with projected
median lifetimes in excess of 25 years (when operated at 10 C) for the former and 100 years
(when operated at 70 C) for the latter device types. Hence the materials growth and fabrication
technology has been developed specifically for telecommunication applications and it is now
mature. Moreover, for telecommunication applications such lasers are often provided with a
thermoelectric cooler together with a monitoring photodiode in the device package in order to
facilitate current and thus temperature control. Direct modulation of commercial semiconductor
lasers at 2.5 Gbps over single-mode fiber transmission distances up to 200 km at a wavelength
of 1.55 m can be achieved and this may be extended up to 10 Gbps over shorter unrepeated
fiber links. Indeed, more recent research and development has focused on 40 Gbps transmission
where external laser modulation is required using, for example, a MachZehnder or an electro
absorption modulator. This aspect also proves useful in the first longer wavelength window
region around 1.3 m where fiber intramodal dispersion is minimized and hence the
transmission bandwidth is maximized, particularly for single-mode fibers. It is also noteworthy
that this fiber type quickly came to dominate system applications within telecommunications
4
since its initial field trial demonstration in 1982. Moreover, the lowest silica glass fiber losses to
date of 0.1484 dB/km were reported in 2002 for the other longer wavelength window at 1.57
m but, unfortunately, chromatic dispersion is greater at this wavelength, thus limiting the
maximum bandwidth achievable with conventional single-mode fiber. To obtain low loss over
the entire fiber transmission longer wavelength region from 1.3 to 1.6 m, or alternatively, very
low loss and low dispersion at the same operating wavelength of typically 1.55 m, advanced
single-mode fiber structures have been commercially realized: namely, low-water-peak fiber
and nonzero dispersion-shifted fiber. Although developments in fiber technology have
continued rapidly over recent years, certain previously favoured areas of interest such as the
application of fluoride fibers for even longer wavelength operation in the mid-infrared (2 to 5
m) and far-infrared (8 to 12 m) regions have declined due to their failure to demonstrate
practically the theoretically predicted, extremely low fiber losses combined with the emergence
of optical amplifiers suitable for use with silica-based fibers. An important development,
however, concerns the discovery of the phenomenon of photonic band gaps which can be
created in structures which propagate light, such as crystals or optical fibers. One particular
form of photonic crystal fiber, for example, comprises a micro structured regular lattice of air
holes running along its length. Such holey fibers have the unusual property that they only
transmit a single mode of light and hence form an entirely new single-mode fiber type which
can carry more optical power than a conventional one. A further class of photonic band gap
fiber is defined by a large hollow core in which the light is guided. Such air guiding or hollow-
core optical fibers could find application in photonic band gap devices to provide dispersion
compensation on long-haul fiber links or for high-resolution, tunable spectral filters.
Nevertheless, even without the commercial availability of photonic band gap devices, the
implementation of a wide range of conventional fiber components (splices, connectors,
couplers, etc.) and active optoelectronic devices (sources, detectors, amplifiers, etc.) has also
moved to a stage of maturity. High-performance, reliable optical fiber communication systems
and networks are therefore now widely deployed within the worldwide telecommunication
network and in many more localized communication application areas [5].
5
1.1.1 Advantages of optical fiber communication
Communication using an optical carrier wave guided along a glass fiber has a number of
extremely attractive features, several of which were apparent when the technique was originally
conceived. Furthermore, the advances in the technology to date have surpassed even the most
optimistic predictions, creating additional advantages. Hence it is useful to consider the merits
and special features offered by optical fiber communications over more conventional electrical
communications. In this context we commence with the originally foreseen advantages and then
consider additional features which have become apparent as the technology has been developed.
(a) Enormous communication capacity: In general, the capacity of communication depends
on the carrier frequency. The higher the carrier frequency, the larger the available transmission
bandwidth and thus the greater information capacity of the communication systems. The optical
carrier frequencies in the range of 1013 to 1016 Hz (generally in the near infrared around 1014
Hz or 105 GHz) can yield a far greater potential transmission bandwidth than metallic cable
systems (i.e., coaxial cable bandwidth up to around 500 MHz) or even millimetre wave radio
systems (i.e., system currently operating with modulation bandwidths of 700 MHz). Indeed, by
the year 2000 the typical bandwidth multiplied by length product for an optical fiber link
incorporating fiber amplifiers was 5000 GHz km in comparison with the typical bandwidth
length product for coaxial cable of around 100 MHz km. Hence at this time optical fiber was
already demonstrating a factor of 50 000 bandwidth improvement over coaxial cable while also
providing this superior information-carrying capacity over much longer transmission distances.
Although the usable fiber bandwidth will be extended further towards the optical carrier
frequency, it is clear that this parameter is limited by the use of a single optical carrier signal.
Hence a much enhanced bandwidth utilization for an optical fiber can be achieved by
transmitting several optical signals, each at different center wavelengths, in parallel on the same
fiber. This wavelength division multiplexed operation, particularly with dense packing of the
optical wavelengths (or, essentially, fine frequency spacing), offers the potential for a fiber
information-carrying capacity that is many orders of magnitude in excess of that obtained using
copper cables or a wideband radio system.
6
(b) Low transmission loss: The attenuation or transmission losses in optical fibers are very
low, in contrast with that in the best metallic cable. Optical fibers have been fabricated with
losses as low as 0.2 dB/km. With the advances of technology of fiber-optic manufacturing,
optical fibers with lower attenuations will be made and they will facilitate the implementation
of communication links with extremely long repeater spacing (long transmission distances
without intermediate electronics), thus further reducing both communication system cost and
complexity.
(c) Small size and weight: Optical fibers have very small diameters, which are often no greater
than the diameter of a human hair. Even when such optical fibers are covered with protective
coatings they are far smaller and much lighter than corresponding copper cables. This is a
tremendous boon towards the alleviation of duct congestion in cities, as well as allowing for an
expansion of signal transmission within mobiles such as aircraft, satellites and even ships.
Fiber-optic cables need little layout space and they are very convenient to transport and
construct.
(d) Electrical isolation: Optical fibers which are fabricated from glass, or sometimes a plastic
polymer, are electrical insulators and therefore, unlike their metallic counterparts, they do not
exhibit earth loop and interface problems. Furthermore, this property makes optical fiber
transmission ideally suited for communication in electrically hazardous environments as the
fibers create no arcing or spark hazard at abrasions or short circuits.
(e) Immunity to interference and crosstalk: Optical fibers form a dielectric waveguide and
are therefore free from electromagnetic interference (EMI), radio-frequency interference (RFI),
or switching transients giving electromagnetic pulses (EMPs). Hence the operation of an optical
fiber communication system is unaffected by transmission through an electrically noisy
environment and the fiber cable requires no shielding from EMI. The fiber cable is also not
susceptible to lightning strikes if used overhead rather than underground. Moreover, it is fairly
easy to ensure that there is no optical interference between fibers and hence, unlike
communication using electrical conductors, crosstalk is negligible, even when many fibers are
cabled together. and high signal security in transmission.
7
Optical fibers, which are made of glass, or sometimes of a plastic polymer, are electrical
insulators and therefore free from electromagnetic interference (EMI). They can be used in
electromagnetic hazardous environments and dont need to be shielded from electromagnetism.
Cross interference among several distinct optical signals doesnt occur when they
simultaneously transmit in different fibers in the same fiber-optic cable. Furthermore, the
optical signals in optical fibers generally dont radiate outside so that it is highly secure for the
information to be transmitted in it, except possibly for hostile attack.
(f) Signal security: The light from optical fibers does not radiate significantly and therefore
they provide a high degree of signal security. Unlike the situation with copper cables, a
transmitted optical signal cannot be obtained from a fiber in a non-invasive manner (i.e. without
drawing optical power from the fiber). Therefore, in theory, any attempt to acquire a message
signal transmitted optically may be detected. This feature is obviously attractive for military,
banking and general data transmission (i.e. computer network) applications.
(g) Ruggedness and flexibility: Although protective coatings are essential, optical fibers may
be manufactured with very high tensile strengths. Perhaps surprisingly for a glassy substance,
the fibers may also be bent to quite small radii or twisted without damage. Furthermore, cable
structures have been developed which have proved flexible, compact and extremely rugged.
Taking the size and weight advantage into account, these optical fiber cables are generally
superior in terms of storage, transportation, handling and installation to corresponding copper
cables, while exhibiting at least comparable strength and durability.
(h) System reliability and ease of maintenance: These features primarily stem from the low-
loss property of optical fiber cables which reduces the requirement for intermediate repeaters or
line amplifiers to boost the transmitted signal strength. Hence with fewer optical repeaters or
amplifiers, system reliability is generally enhanced in comparison with conventional electrical
conductor systems. Furthermore, the reliability of the optical components is no longer a
problem with predicted lifetimes of 20 to 30 years being quite common. Both these factors also
tend to reduce maintenance time and costs.
8
(i) Potential low cost: The glass which generally provides the optical fiber transmission
medium is made from sand not a scarce resource. So, in comparison with copper conductors,
optical fibers offer the potential for low-cost line communication. Although over recent years
this potential has largely been realized in the costs of the optical fiber transmission medium
which for bulk purchases has become competitive with copper wires (i.e. twisted pairs), it has
not yet been achieved in all the other component areas associated with optical fiber
communications. For example, the costs of high-performance semiconductor lasers and detector
photodiodes are still relatively high, as well as some of those concerned with the connection
technology (demountable connectors, couplers, etc.). The reducing cost of optical fiber
communications has provided strong competition not only with electrical line transmission
systems, but also for microwave and millimetre wave radio transmission systems. Although
these systems are reasonably wideband, the relatively short-span line of sight transmission
necessitates expensive aerial towers at intervals no greater than a few tens of kilometres. Hence,
with the exception of the telecommunication access network due primarily to current first
installed cost constraints, optical fiber has become the dominant transmission medium within
the major industrialized societies. Many advantages are therefore provided by the use of a light
wave carrier within a transmission medium consisting of an optical fiber [5].
1.1.2 Generations of optical fiber communication
The research phase of fiber-optic communication systems started around 1975. The enormous
progress realized over the 25-year period extending from 1975 to 2000 can be grouped into
several distinct generations. Because optical fibers have so many afore mentioned advantages
and the technologies of manufacturing optical devices and optical fiber systems have been
improved and matured, a flourishing period has come in the development, research and
applications of optical fiber communication systems. So far, the developments of optical fiber
communication have proceeded through four generations. Figure 1.2 shows the increase in the
BL product over this time period as quantified through various laboratory experiments. The
straight line corresponds to a doubling of the BL product every year. In every generation, BL
increa
brings
Figure
of ligh
The fi
lasers
comm
up to
an im
mainte
comm
materi
light s
alloys
Si ava
ases initially
s a fundamen
e 1.2: Increa
ht wave syste
irst generatio
. After seve
mercially in 1
10 km. The
mportant mo
enance costs
munication sy
ial based on
source was
s of gallium
alanche photo
but then be
ntal change th
se in the BL
ems [6].
on of lightw
eral field tria
1980. They o
larger repea
otivation for
s associated
ystems used
n quartz and
a light emit
arsenide (Al
odiode (APD
egins to satur
hat helps to
product ove
ave systems
als during th
operated at a
ater spacing
r system de
with each
short wavel
whose diam
tting diode (
lGaAs), and
D).
9
rate as the te
improve the
er the period
operated ne
he period 1
a bit rate of 4
compared w
esigners bec
repeater. In
length at 0.8
meter was 50
(LED) made
the optical d
echnology m
system perfo
1975 to 198
ear 0.8 m an
97779, suc
45 Mb/s and
with 1-km sp
cause it dec
every The
85 m and m
0 m and w
e from III-V
detector was
matures. Each
formance furt
0 through se
nd used GaA
ch systems b
d allowed rep
acing of coa
creased the
first generat
multimode f
whose loss w
Vs semicond
s a P-i-N (PI
h new gener
ther.
everal genera
As semicond
became avai
peater spacin
axial systems
installation
tion optical
fibers which
was 4 dB/km
ductor compo
N) photodio
ration
ations
ductor
ilable
ngs of
s was
n and
fiber
used
. The
ound,
ode or
10
It was clear during the 1970s that the repeater spacing could be increased considerably by
operating the lightwave system in the wavelength region near 1.3 m, where fiber loss is below
1 dB/km. Furthermore, optical fibers exhibit minimum dispersion in this wavelength region.
This realization led to a worldwide effort for the development of InGaAsP semiconductor lasers
and detectors operating near 1.3 m. The second generation of fiber-optic communication
systems became available in the early 1980s, but the bit rate of early systems was limited to
below 100 Mb/s because of dispersion in multimode fibers. This limitation was overcome by
the use of single-mode fibers.
A laboratory experiment in 1981 demonstrated transmission at 2 Gbps over 44 km of single-
mode fiber. The introduction of commercial systems soon followed. By 1987, second-
generation lightwave systems, operating at bit rates of up to 1.7 Gbps with a repeater spacing of
about 50 km, were commercially available. The first generation optical fiber communication
systems were mainly used in the links among central offices and transmitted digital signals with
less than third-level (E3) of PCM (pulse code modulation). The second generation optical fiber
communication systems used long wavelength with 1.31 m single-mode fibers whose loss had
been reduced from 4 dB/km to 0.5 dB/km. LEDs made from III-Vs semiconductor, the
quarternary alloy InGaAsP, or laser diodes (LD) were used as light sources and InGaAs-
PIN/GaAs-FETs were used as optical detectors. The second generation was suitable for being
used in the links among central offices with the bit rate of 140 Mbps or long-haul links with the
high bit rate of 400- 565 Mbps and distance could achieve 40 km without repeaters. The
repeater spacing of the second-generation lightwave systems was limited by the fiber losses at
the operating wavelength of 1.3 m (typically 0.5 dB/km). Losses of silica fibers become
minimum near 1.55 m. Indeed, a 0.2-dB/km loss was realized in 1979 in this spectral region.
However, the introduction of third-generation lightwave systems operating at 1.55 m was
considerably delayed by a large fiber dispersion near 1.55 m. The ITU spectral band
specifications for optical fiber are shown in below table 1.1. Conventional InGaAsP
semiconductor lasers could not be used because of pulse spreading occurring as a result of
simultaneous oscillation of several longitudinal modes.
11
Table 1.1: ITU Spectral Bands Specifications for Optical Fiber
Both approaches were followed during the 1980s. By 1985, laboratory experiments indicated
the possibility of transmitting information at bit rates of up to 4 Gbps over distances in excess
of 100 km. Third-generation lightwave systems operating at 2.5 Gbps became available
commercially in 1990. Such systems are capable of operating at a bit rate of up to 10 Gbps. The
best performance is achieved using dispersion-shifted fibers in combination with lasers
oscillating in a single longitudinal mode.
The third generation optical fiber communication systems uses dispersion shifted single-mode
fibers with wavelength of 1.55 m, with loss reduced to 0.2 dB/km. They can be used in long-
haul telecommunications or submarine long-span telecommunications with a high bit rate of 2.5
Gbps and an InGaAsP Laser Diode light source or a distributed feedback (DFB) Laser Diode. A
drawback of third-generation 1.55-m systems is that the signal is regenerated periodically by
using electronic repeaters spaced apart typically by 6070 km. The repeater spacing can be
increased by making use of a homodyne or heterodyne detection scheme because its use
improves receiver sensitivity. Such systems are referred to as coherent lightwave systems.
Coherent systems were under development worldwide during the 1980s, and their potential
benefits were demonstrated in many system experiments. However, commercial introduction of
12
such systems was postponed with the advent of fiber amplifiers in 1989. The fourth generation
optical fiber communication systems use nonzero dispersion single-mode fibers with the
wavelength of 1.55 m and WDM, using optical amplifiers such as erbium-doped fiber
amplifiers (EDFAs), and Raman amplifiers used to increase the transmission distance. The data
rate per wavelength is in the range 2.5 Gbps to 10 Gbps. The fifth generation of fiber-optic
communication systems is concerned with extending the wavelength range over which a WDM
system can operate simultaneously. The conventional wavelength window, known as the C
band, covers the wavelength range 1.531.57m. It is being extended on both the long- and
short-wavelength sides, resulting in the L and S bands, respectively. The Raman amplification
technique can be used for signals in all three wavelength bands. Moreover, a new kind of fiber,
known as the dry fiber has been developed with the property that fiber losses are small over the
entire wavelength region extending from 1.30 to 1.65 m. Availability of such fibers and new
amplification schemes may lead to lightwave systems with thousands of WDM channels. The
fifth-generation systems also attempt to increase the bit rate of each channel within the WDM
signal. Starting in 2000, many experiments used channels operating at 40 Gbps; migration
toward 160 Gbps is also likely in the future.
Such systems require an extremely careful management of fiber dispersion. An interesting
approach is based on the concept of optical solitons pulses that preserve their shape during
propagation in a lossless fiber by counteracting the effect of dispersion through the fiber
nonlinearity. Although the basic idea was proposed as early as 1973, it was only in 1988 that a
laboratory experiment demonstrated the feasibility of data transmission over 4000 km by
compensating the fiber loss through Raman amplification. Erbium-doped fiber amplifiers were
used for soliton amplification starting in 1989. Since then, many system experiments have
demonstrated the eventual potential of soliton communication systems. By 1994, solitons were
transmitted over 35,000 km at 10 Gbps and over 24,000 km at 15 Gbps. Starting in 1996, the
WDM technique was also used for solitons in combination with dispersion management. In a
2000 experiment, up to 27 WDM channels, each operating at 20 Gbps, were transmitted over
9000 km using a hybrid amplification scheme. Even though the fiber-optic communication
technology is barely 25 years old, it has progressed rapidly and has reached a certain stage of
maturity [6].
13
1.1.3 The General Optical Fiber Communication System
An optical fiber communication system is similar in basic concept to any type of
communication system. A block schematic of a general communication system is shown in
Figure 1.2 (a), the function of which is to convey the signal from the information source over
the transmission medium to the destination. The communication system therefore consists of a
transmitter or modulator linked to the information source, the transmission medium, and a
receiver or demodulator at the destination point. In electrical communications the information
source provides an electrical signal, usually derived from a message signal which is not
electrical (e.g. sound), to a transmitter comprising electrical and electronic components which
converts the signal into a suitable form for propagation over the transmission medium. This is
often achieved by modulating a carrier, which, as mentioned previously, may be an
electromagnetic wave. The transmission medium can consist of a pair of wires, a coaxial cable
or a radio link through free space down which the signal is transmitted to the receiver, where it
is transformed into the original electrical information signal (demodulated) before being passed
to the destination. However, it must be noted that in any transmission medium the signal is
attenuated, or suffers loss, and is subject to degradations due to contamination by random
signals and noise, as well as possible distortions imposed by mechanisms within the medium
itself. Therefore, in any communication system there is a maximum permitted distance between
the transmitter and the receiver beyond which the system effectively ceases to give intelligible
communication. For long haul applications these factors necessitate the installation of repeaters
or line amplifiers. An optical fiber communication system is similar in basic concept to any
communication system which is shown in Figure 1.3 (a) & (b). For optical fiber
communications the system shown in Figure 1.3 (a) may be considered in slightly greater detail,
as given in Figure 1.3 (b). In this case the information source provides an electrical signal to a
transmitter comprising an electrical stage which drives an optical source to give modulation of
the lightwave carrier.
14
Figure 1.3: (a) General communication system (b) Optical fiber communication system
The optical source which provides the electricaloptical conversion may be either a
semiconductor laser or light emitting diode (LED). The transmission medium consists of an
optical fiber cable and the receiver consists of an optical detector which drives a further
electrical stage and hence provides demodulation of the optical carrier. Photodiodes (pn, pin
or avalanche) and, in some instances, phototransistors and photoconductors are utilized for the
detection of the optical signal and the opticalelectrical conversion. Thus there is a requirement
for electrical interfacing at either end of the optical link and at present the signal processing is
usually performed electrically. The optical carrier may be modulated using either an analog or
digital information signal. In the system shown in Figure 1.3 (b) analog modulation involves the
variation of the light emitted from the optical source in a continuous manner. With digital
modulation, however, discrete changes in the light intensity are obtained (i.e. onoff pulses).
Although often simpler to implement, analog modulation with an optical fiber communication
system is less efficient, requiring a far higher signal-to-noise ratio at the receiver than digital
modulation. Also, the linearity needed for analog modulation is not always provided by
semiconductor optical sources, especially at high modulation frequencies.
15
For these reasons, analog optical fiber communication links are generally limited to shorter
distances and lower bandwidth operation than digital links. Figure 1.4 shows a block schematic
of a typical digital optical fiber link. Initially, the input digital signal from the information
source is suitably encoded for optical transmission. The laser drive circuit directly modulates
the intensity of the semiconductor laser with the encoded digital signal. Hence a digital optical
signal is launched into the optical fiber cable. The avalanche photodiode (APD) detector is
followed by a front-end amplifier and equalizer or filter to provide gain as well as linear signal
processing and noise bandwidth reduction. Finally, the signal obtained is decoded to give the
original digital information. The huge bandwidth of optical fiber communication system can be
utilized maximum by using multiple access techniques [5].
Figure 1.4: A digital optical fiber link
1.2 MULTIPLE ACCESS TECHNIQUES
In order to make full use of the available bandwidth in optical fibres and to satisfy the
bandwidth demand in future networks, it is necessary to multiplex low-rate data streams onto
optical fibre to accommodate great number of subscribers. There is a need for technologies that
allow multiple users to share the same frequency, especially as wireless telecommunications
continues to increase in popularity. A multiple access is required for combining and separating
traffics on a shared physical medium when the users are not at the same place [7]. Currently,
there are three major types of multiple access systems:
16
Time Division Multiple Access (TDMA)
Wavelength Division Multiple Access (WDMA)
Code Division Multiple Access (CDMA)
Traditionally, fiber optic communication systems use either TDMA or WDMA schemes to
allocate bandwidth among multiple users. In a TDMA system, each channel occupies a time
slot, which interleaves with time slots of other channels. In a WDMA system, each channel
occupies a narrow bandwidth around a center wavelength or frequency. In CDMA, each user is
identified by different codes or addresses. The explosive growth of numbers of data being
transferred and received has increased the demands of the bandwidth of the network. For every
four to six months the internet traffic has been double, this causes a tremendous requirement for
development of future optical networks. Wavelength division multiplexing (WDM), time
division multiplexing (TDM) or hybrid approach is focused to achieve the Tbps aggregate
channel capacity. Multiplexing schemes are to manage the transmission link between one user,
where else multiple access are to manage the transmission link between multiple users.
1.2.1 Time Division Multiple Access (TDMA)
Time Division Multiple Access (TDMA) is a multiple access method to divides time axis into
different time slots, where each data packet or burst is assigned to a certain time slot [8]. In
TDMA system, each channel occupies a pre-assigned time slot, which interleaves with the time
slots of other channels as shown in Figure 1.5. It requires careful time synchronization since
users share the bandwidth in the frequency domain. Since the number of channels are less, inter
channel interference is almost negligible, hence the guard time between the channels is
considerably smaller. Guard time is spacing in time between the TDMA bursts. It uses different
time slots for transmission and reception. In cellular communications, when a user moves from
one cell to another there is a chance that user could experience a call loss if there are no free
time slots available.
17
Figure 1.5: Time Division Multiple Access (TDMA)
1.2.2 Wavelength Division Multiple Access (WDMA)
WDMA is one of the earliest multiple access techniques for cellular systems when continuous
transmission is required for analog services. In WDMA system, each channel occupies a narrow
optical bandwidth (= 100 GHz) around a centre wavelength or frequency. The modulation
format and speed at each wavelength can be independent of those of other channels as shown in
Figure 1.6. The channels are assigned only when demanded by the users. Therefore when a channel
is not in use it becomes wasted resource. Because each channel is transmitted at a different
wavelength, they can be selected using an optical fiber. In this each user is assigned a fixed slot of
wavelength all the time which makes it simple to implement, control and use. Since the user has his
portion of the bandwidth all the time. It does not require synchronization or timing control, which
makes it algorithmically simple. Even though no two users use the same frequency band at the same
time, guard bands are introduced between frequency bands to minimize adjacent channel
interference. Guard bands are unused frequency slots that separate neighboring channels. This leads
to a waste of bandwidth. When continues transmission is not required, bandwidth goes wasted since
18
it is not being utilized for a portion of the time. To increase the capacity of the fiber link using
WDMA we need to use more carriers or wavelengths. Due to greater number of channels and larger
optical power the increased nonlinear effects in fibers cause optical crosstalk such as four wave
mixing over wide spectral ranges.
Figure 1.6: Wavelength Division Multiple Access (WDMA)
1.2.3 Code Division Multiple Access (CDMA)
Code Division Multiple Access (CDMA) is proposed by spectrally spread the data stream with
specific sequences called spreading codes as CDMA allows simultaneous access on the channel in
same frequency, as shown in Figure 1.7. Investigation of CDMA for over 20 years of application
in optical and wireless network, the multiplexing scheme could potentially achieve a throughput
of the rate of Tbps. The roots of CDMA are found in Spread Spectrum communication
techniques [9]. CDMA is a form of multiplexing and a method of multiple access to a physical
medium such as a radio channel, where different users use the medium at the same time using
different code sequences. Spread Spectrum was developed during the mid 1950s, where the
transmission can overcome the rigid restrictions in radio bandwidth allocation [10] [11]. During
World War II, Spread Spectrum communication is applied on the military communication, as
the information can be transmitted in a very rigid environment and very secure. A normal
19
spread spectrum communication started on transmitting a noise-like signal to the receiver which
claims as a spreading action, and the received signal is hard to be recovered as if the receiver
side is not authorized. Thats why Spread Spectrum is widely being used on military application
during the old days. Figure 1.8 shows a schematic drawing of a wireless spread spectrum
network.
Figure 1.7 : Resource sharing based on CDMA technique
Figure 1.8 - Spread Spectrum technique with CDMA network [12].
20
By allocating a unique code to each individual user and distinguish them from other users,
CDMA is done by code division multiplexing and de-multiplexing. It uses unique spreading
codes to spread the baseband data before transmission. The signal is transmitted in a channel,
which is below noise level. The receiver then uses a correlator to despread the wanted signal,
which is passed through a narrow bandpass filter. Unwanted signals will not be despread and
will not pass through the filter. Codes take the form of a carefully designed one/zero sequence
produced at a much higher rate than that of the baseband data. The rate of a spreading code is
referred to as chip rate rather than bit rate.
Figure 1.9 - CDMA Spreading
The advantages of CDMA include the flexibility in the allocation of channels, the ability to
operate asynchronously, enhanced privacy, and increased capacity in bursty nature networks.
Spread spectrum CDMA allows asynchronous multiple access to a local area network (LAN)
with no waiting. The additional bandwidth required by spread spectrum can be accommodated
by using a fiber optic channel and incoherent optical signal processing. Optical Code Division
Multiple Access (OCDMA) is a technology to realize multiplexing transmission and multiple
access by coding in the optical domain, which supports multiple simultaneous transmissions in
the same timeslot and the same frequency. It is another technology of multiplexing and multiple
21
access besides OTDM and WDM and a potentially promising technique for optical networks in
the future, and especially, due to its easy access and flexible network structure, it is very
applicable to the access network. The following table 1.2 shown comparisons between major
multiple access techniques.
Scheme Merit Demerit
TDMA Dedicated channels
provided
High throughput
Deterministic access
Accurate synchronization
needed
Not efficient in bursty traffic
Bandwidth wasted
Performance degrade with
the number of simultaneous
users
WDMA Dedicated channels
provided
High bandwidth efficiency
Simultaneous users
allowed
Channel crosstalk
Channel idle most of time
Non-linear effects
CDMA Simultaneous users
allowed
Asynchronous access
No delay or scheduling
High bandwidth efficiency
Efficient for bursty traffic
Dedicated channels
provided
Performance degrade with
the number of simultaneous
users
Table 1.2: Comparison of Major Multiple Access Schemes
Optical CDMA started during the late 1970s in the area of fiber delays lines for optical
processing that is based on incoherent and coherent optical match filtering. In 1986, Prucnal,
Santoro and Fan proposed to realize the fiber-optic LAN by using optical signal processing
22
[13,14] and used prime codes to carry out the experiment of electronic encoding and fiber-optic
delay line decoding, verifying the feasibility to implement incoherent OCDMA system by
encoding in the time domain. In 1988, Weiner, Heritage and Salehi [15] demonstrated how to
spread the femto-second optical pulse into picosecond duration pseudonoise bursts. The spread
frequency was achieved by encoding the light spectrum into pseudorandom binary phase and
then by decoding the spectrum phase encoded to recover the original pulse. They proposed that
the coherent ultra-short pulse coding and decoding could be applied to the fast reconfigurable
OCDMA communication networks. Both breakthrough studies were milestones for the
development of OCDMA. Coherent OCDMA is based on using interference of the incoming
optical signals to convert electric field values into intensity variations that can then be detected
by a photo receiver. Thus it enables cancellation of the undesired user channels through
destructive interference. Incoherent OCDMA detects the signals by superposition the incoming
optical signal. Incoherent OCDMA is impossible to achieve perfect cancellation between
interfering channels, as the optical intensity is a non-negative value. But the benefits of
incoherent OCDMA is the system enables the use to inexpensive broadband source such as light
emitting diodes (LED) and amplified spontaneous noise (ASE). Furthermore, it reduces the
sensitivity to both environmental changes and polarization problems. The main advantage of an
OCDMA network is that it is totally asynchronous, that does not require any clock signals for
synchronization in the network. Hence, OCDMA provide a network that is simpler and offers
the potential for scalability to higher levels of connectivity. Furthermore, the OCDMA encoding
/decoding process also provides a level of security directly implemented in the physical layer.
Finally OCDMA enables high spectral efficiency to be achieved, enabling such optical network
to achieve throughputs in excess of Tbps by making efficient use of fiber bandwidth.
1.3 MOTIVATION AND OBJECTIVE
Fiber Optics technology has becomes the new leads for digital communication during this
decade. From communication systems thats hybrid between optical domain and electrical
domain, till a full communication system undergoes only optical domain humans tends to puts a
lot of effort on researching, testing and implementing. As is the demand for speed, data rate,
23
throughput growth rapidly. As many researches are conducted throughout the world, optical
fibre communication has become the renowned technology. Multiple access techniques are
required to meet the demand for high-speed and large capacity communications in the optical
networks, which allow multiple users to share the huge fibre bandwidth. There are two major
multiple access approaches: each user is allocated a specific time slot in time-division multiple-
access (TDMA), or a specific frequency (wavelength) slot in wavelength division multiple-
access (WDMA). Both techniques have been extensively explored and utilized in optical
communication systems [16]. Alternatively, optical code-division multiple-access (OCDMA)
[17] is receiving increasing attention due to its potential for enhanced information security,
simplified and decentralized network control, improved spectral efficiency, and increased
flexibility in the granularity of bandwidth that can be provisioned.
In Optical CDMA, different users whose signals may be overlapped both in time and frequency
share a common communications medium; multiple-access is achieved by assigning unlike
minimally interfering code sequences to different transmitters, which must subsequently be
detected in the presence of Multiple Access Interference (MAI) from other users. MAI may be
seen as a kind of noise, which is minimized in this research work by using the m-sequence
signature code. OCDMA is the method of sharing the bandwidth of optical fiber among
multiple active users. It plays a main role in digital communication, backbone networks, high
speed LAN, MAN. Thus the main advantage of using optical fiber communication is high
speed, large capacity and large reliability. Optical CDMA is most suitable to be applied to high
speed LAN to achieve contention free, zero delay access, where traffic tends to be bursty rather
than continuous. Optical systems use different types of optical codes. Codes can be bipolar or
unipolar. In case of practical OCDMA network applications, the capacity of asynchronous
multiuser access is essential. Furthermore, recent developments in coherent OCDMA
encoders/decoders allow for the efficient separation of large number of simultaneously users
providing thus a feasible solution for low-cost applications in multi-user Local Area Networks
(LAN) environments [18]. In addition, an aspect of dispersion, namely the limitation of the
OCDMA system is also presented here. The main focus of the dissertation is to do research on
what can be done in order to reduce the dispersion of the OCDMA network such as to obtain a
given bit error rate (BER).
24
The main objectives of this dissertation work are as follows:
To study the basic fundamentals of optical fiber communication systems.
To study and understand the fundamentals of Optical Code Division Multiple Access
(OCDMA) Systems.
To analyze the OCDMA system parameters using mathematical calculations.
Evaluate the Impact of fiber chromatic dispersion and multiple access interference
(MAI) on the bit-error-rate (BER) performance of a direct sequence optical code
division multiple access (OCDMA) system.
Find out the Bit Error Rate (BER) versus Received Optical Power (ROP) for various
numbers of users.
Find out the Signal to Noise Ratio (SNR) versus Received Optical Power (ROP) of an
Optical CDMA transmission system with different numbers of system users.
1.4 OUTLINE OF THE DISSERTATION
Chapter one gives a brief introduction to optical communication system, its advantages and
different generations. Short introduction of various multiple access techniques and Optical Code
Division Multiple Access system illustrated with diagrams. With relevant to the report, the
motivation, aim and objective, and problem statement are discussed based on personal finding.
25
Chapter two will focus on literature survey. In this chapter dispersion and multiple access
interference (MAI) terms are briefly discussed. The basic overview of OCDMA systems is
described.
Chapter three introduces basic concepts of Optical CDMA systems. In this chapter block
diagram of an OCDMA system is discussed. The implementation, features, merits, demerits and
applications of OCDMA systems are also described.
Chapter four shows Mathematical analysis of Optical CDMA system. In this chapter we derive
the mathematical formulas that will simulate later on by using the MATLAB software.
Chapter five introduces results and discussion. In this chapter we present the results for different
parameters that improved the performance of the Optical CDMA system.
Chapter six gives the conclusion to this project and suggests the future scope of work. Also
concluded the project work.
26
CHAPTER 2
LITERARTURE REVIEW
2.1 LITERATURE SURVEY
Over the last one to two decades, there has been a lot of interest and research in optical CDMA
Systems. More than 250 papers have been written in this area since 1985 [19]. A vast number of
different schemes using time domain or frequency domain encoding approaches have been
proposed [13] [17]. Coherent and non-coherent manipulations of optical signals have been used
in different proposals and various codes have been devised for optical CDMA systems. In this
chapter, we try to give a general review of the previous work done in this field. Interest in
Optical Code Division Multiple Access (OCDMA) has been steadily growing during recent
decades and this trend is accelerating due to the optical fibre penetration in the first-mile and the
establishment of passive optical network (PON) technology as a pragmatic solution for
residential access. In OCDMA, an optical code represents a user address and signs each
transmitted data bit. We define optical coding as the process by which a code is inscribed into,
and extracted from, an optical signal. Although a prerequisite for OCDMA, optical coding has a
wide range of novel and promising applications, such as access protocol and label switching.
Most previous reviews of OCDMA have focused on physical-layer (PHY) implementations and
present an overview of networking applications.
Hiroyuki Yashima et. al. [20] proposed Optical code-division multiple access (OCDMA) for
high-speed multimedia transmission and its performance is investigated. The proposed system
introduces time hopping to vary transmission bit rate and power control to control performance
of transmission signal, which allows various signals with different desired rate and
performance. An expression for the bit error rate (BER) of the proposed system is derived and
the numerical results are shown. Optical power selector (OPS) coupled with hard limiter are
also proposed to improve system performance.
27
F. Han [21] analyzed the characteristics of the spurious spectrum due to the RF bursting signals
and its potential interaction with surrounding electronic equipment and proved that the level and
feature of the interference would be basically determined by four factors: transmitter output
power, RF carrier frequency, duty cycle, rise/fall time, repetition frequency of the RF pulses,
modes of RF transmission, as well as the immunity of receptive equipment. The interference
level caused by the TDMA system would basically be determined, as studied so far, by the
radiated peak power level. RF carrier frequency, duty cycle, pulse rise/fall times.
Paul R. Prucnal et. al. [22] proposed a spread spectrum CDMA LAN and demonstrated a
system which employs optical fiber delay-line signal processing. The performance of new
CDMA sequences designed specifically for incoherent optical correlation is analyzed and
compared to conventional CDMA. Measurement of the performance of an experimental optical
CDMA system operating at 100 MBd is reported. This system involving a mode-locked laser,
optical modulator, fiber-optic delay-line encoder, fiber-optic channel, fiber-optic delay-line
decoder, and monostable or bistable optical switch used as a threshold detector.
Tung-Wah Frederick Chang et.al. [23] Proposed a universal scheme, which reproduce the effect
of bipolar signalling in a unipolar optical channel. Without any hardware modification, the
electro-optic/opto-electronic (EOE) system proposed enables the transmission of any bipolar
code sequence through the unipolar optical channel while maintaining the correlation properties
of the codes. The bit error rate performance of the EOE system is shown to be identical to that
of an optimal baseband bipolar phase shift keying code-division multiple access (CDMA)
system. Hence, the maximum spectral efficiency of an incoherent optical CDMA system using
bipolar codes is the same as that of an optimal bipolar baseband CDMA system.
Tung-Wah Frederick Chang et. al. [24] proposed that the AND detector is the optimum Single
user detector which gives the lowest averaged BER for a two-dimensional OCDMA code. The
decision is bit 1 only if the threshold is exceeded in all of the 1 chips. By replacing the
conventional SUM detector with the AND detector, the spectral efficiency can be at least
doubled with the same bandwidth, number of active users, and BER. They have further shown
that the BER performance of a random code can serve as a tight upper bound on that of any
28
deterministic code with the same weight and dimension. In respect of multiple access
interference, therefore, the AND detector is the optimum single-user detector for any code with
any dimension and weight.
Tung-Wah Frederick Chang et. al. [25] present that once the acceptable BER has been
determined (based, for example, n user requirements as well as the error detection/correction
codes and protocols available), a single choice of the number of wavelength channels suffices in
accommodating different numbers of users with maximum spectral efficiency. The network can
thus be made adaptive in two ways, 1. A readily scalable network. The SPR codes considered
permit the introduction of additional users through increased time spreading for a given number
of wavelength channels. 2. A time-dependent network. The code set can be dynamically
readjusted (again, with fixed hardware, and therefore, fixed number of wavelengths) in order to
accommodate more or less simultaneous users, depending on the traffic load over any given
interval.
Camille-Sophie Brs et. al. [26] introduced an all-optical 2-D OCDMA code-drop unit and
experimentally demonstrated its use for ring networks. In their design, an all-optical switch is
used to discriminate the dropped-code autocorrelation peak from the cross correlation noise of
the through traffic. Due to the asynchronous nature of OCDMA, the cross correlation pulses
could overlap with the autocorrelation data. In this special case, part of the recovered code
energy can be lost in the code-drop unit leading to a partial code recovery. However, due to the
sparse nature of the CHPC and low code weight, the probability of overlapping is small, in our
demonstration, and rapidly decreases with the code length. The control signal to trigger the
optical switch in their experiment was derived and synchronized from the same laser source as
the data traffic. In a real network environment, the control pulse would be derived directly from
a synchronization channel that is distributed on the ring along with the data. The code drop can
also be used in other multi hop networking environments such as bus and mesh fiber topologies.
By simply adding a 2x1 power combiner and tunable OCDMA transmitter to the output of the
node, the complete architecture for an OCDMA adddrop multiplexer can be realized.
29
Jawad A.Salehi [27] examined fiber-optic code division multiple access was, a technique in
which low information data rates are mapped into very high rate address codes (signature
sequences) for the purpose of achieving random, asynchronous communications free of network
control, among many users. Specifically discussed was the need for a special class of signature
sequences that can achieve the above multiple access capability using fiber-optic signal
processing techniques. A new class of signature sequences, which are called Optical
Orthogonal Codes was introduced, for which they satisfy auto and cross correlation properties
required for FO-CDMA. These newly invented codes were used in an experiment to show the
principles of FO-CDMA. In this experiment they demonstrated the auto- and cross correlation
properties of this new class of codes. Furthermore, optical disk patterns were introduced; the
equivalent way of representing optical orthogonal codes, and were used to demonstrate the
properties of interfering optical orthogonal codes. Described also an experiment in which the
probability density functions for any two interfering OOCs were developed.
David W. Matolak et. al. [28] presents a reduced-complexity parallel interference cancellation
(PIC) technique, in which PIC is performed only on "unreliable" bits (blocks). They term their
technique statistical PIC (STPIC), since the decision to employ PIC for any user signal is based
upon received signal statistics. Here they propose two different methods: one is bitwise
(instantaneous) STPIC (ISTPIC), in which IC is performed only on the bits whose absolute log
likelihood ratio (LLR) is below a preselected threshold; the other method is block STPIC
(BSTPIC), in which IC is performed only on the blocks whose average signal-to-noise-plus-
interference ratio (SNIR) is below a preselected threshold. Both LLR and SNIR statistics reduce
to quantities simple to obtain. They show that STPIC can achieve performance equivalent to
conventional full PIC (FPIC) and other partial PIC techniques in flat Rayleigh fading channels,
but with reduced computational complexity. They also show their proposed ISTPIC can achieve
performance better than FPIC in good channel conditions (e.g., AWGN), still with reduced
computational complexity. The ISTPIC performance gain over conventional FPIC is larger in
conditions of larger loading factor where coefficient IC techniques are more likely to be needed.
Jawad A. Salehi et. al. [29] investigated a technique to establish fiber-optic-code division
multiple access (FO-CDMA) communications system. In particular, they discussed the need for
30
a new class of signature sequences that satisfy the auto- and cross-correlation properties that are
essential for a successful FO-CDMA system. They introduced a new class of sequences that are
called optical orthogonal codes (OOCs). Furthermore, we investigated the probability density
function for any two interfering OOCs. In Part I1 of this paper, they utilize the results of Part I
to derive the bit error rate of the proposed FO-CDMA system as a function of data rate, code
length, code weight, number of users, and receiver threshold; and they discussed the
performance characteristics for a variety of system parameters. Furthermore, they discussed a
means of reducing the effective multiple-access interference signal by placing an optical hard-
limiter at the front end of the desired optical correlator and calculate the performance of the FO-
CDMA with an ideal optical hard limiter, and they show that using a optical hard-limiter would,
in general, improve system performance.
Chao-Chin Yang et. al. [30] proposed a scheme to use power control for differentiated service
provision is proposed for the optical code-division multiple-access network. The main
advantages of this scheme are:- 1) Interference from other users can be eliminated theoretically;
2) The decoder with simple configuration can be used as compared to that 28 in a previous
power control scheme; and 3) The design procedure of various service requirements in one
network is simplified.
Istvan Frigyes [31] analysis the role of cdma in optics. As spectrum spreading and CDMA
proved themselves as very efficient in radio communication CDMA application in optical
communication seemed to be reasonable as well. Research in this field started two decades ago
or so and is still flourishing. After giving a brief listing of relevant concepts in optical
communications- concept of optical spectrum spreading, techniques of temporal and spectral
coding are described, possibilities of long-haul application and some networking issues are
discussed.
Chia-Hao Tsai et. al. [32] proposed a new family of wavelength-time codes. The new 2D codes
used the 1D (n, w, 2, 2) OOCs as the time-spreading codes to achieve the larger code cardinality
and weight. The larger cardinality allows the support of more possible subscribers. Their
numerical examples showed that the heavier code weight supported by our new 2D codes
31
resulted in a better code performance than the MWOOCs and the 2D codes in [8], under certain
condition. Moreover, the performance difference of the codes always increases with the
difference of code weight w
d
.
Babak M. Ghaffari et. al. [33] present an in-depth analysis on the operability and the viability of
a typical wireless optical CDMA (OCDMA) local area network. Three receiver structures for
OCDMA systems, using optical orthogonal codes (OOC) with minimum auto and cross-
correlations as signature sequence, namely, correlation, correlation with hard-limiter, and chip-
level detection are studied and proposed for such a network. For the synchronization circuit
design the performance of two algorithms for OOC based OCDMA networks, namely, simple
serial-search and multiple-shift in the context of wireless OCDMA LAN are studied.
Furthermore, they studied a synchronization method based on matched filtering and show that it
presents a much better performance in our wireless OCDMA system. The effect of sampling
rate and its performance on tracking circuit is analyzed. Bit-error-rate (BER) analysis is
performed by photon counting methodology. Multi-user interference (MUI), ambient light, and
photo-detector dark current are considered in our analysis. Their analysis strongly indicates the
viability and practicality of such systems in certain important wireless optical communications
systems.
Mohsen Razavi et. al. [34] present a thorough analysis based on photon-counting techniques on
temporal/spatial fiber-optic code division multiple-access systems incorporating both post- and
pre optical amplifiers. In this analysis, they consider the effect of shot noise, thermal noise, and
source extinction on system bit-error rate. Their results can be used to estimate them maximum
tolerable amount of quantum fluctuations in the received signal.
L. Tan Cevski et. al. [35] proposed a novel optical CDMA concept based on integration
between time spreading and Wavelength hopping pattern was described in detail. The
autocorrelation function of the prime-hop sequences is a maximum at the zero time shift and
zero otherwise, and the cross correlation is at most 1 (i.e. the sequences exhibit optical
orthogonality). Having a needle shaped autocorrelation function. The system is suitable for a
truly asynchronous operation. The low cross correlation properties allow the system to support
32
increased number of simultaneous users, the number of possible stations in the network being
also significantly increased due to the integration of both patterns. The system can be
configured to allow very secure communications by increasing the number of discrete
wavelengths or by using asymmetric p numbers, one for the spreading pattern and one for the
hopping pattern. The system is suitable for fully asynchronous secure LAN applications.
Tung-Wah Frederick Chang et. al. [36] presents a multi wavelength O-CDMA system using
SPR codes, the maximum spectral efficiency of the code converges to a fixed level as the total
bandwidth expansion of the code set increases. Using the AND detection technique, rather than
the SUM, the spectral efficiency of a 2-D SPR code system is as high as that of baseband
bipolar CDMA systems for a broad range of BERs. Their results imply that, once the acceptable
BER has been determined (based, for example, on user requirements as well as the error
detection/correction codes and protocols available), a single choice of the number of
wavelength channels suffices in accommodating different numbers of users with maximum
spectral efficiency.
Seong-sik Mill et. al. [37] analyzes the TS-WII 2-D code system, suggesting the expression for
estimating the upper bound of BER. The system with an optical hard limiter is also analyzed. In
OCDMA system with 2-D codes, they use optical hard limiter (OHL) as well as the system with
1-D codes. The hard limiter is an effective component to mitigate the channel interference due
to many other simultaneous user so that the bit error probability is improved and the number of
simultaneous users so that the bit error probability is improved and the number of simultaneous
users is increased.
S. A. Aljunid' et. al. [38] proved that AND subtraction technique gives better Bit Error Rates
(BER) performance than Complementary subtraction technique against the received power
level. The overall system cost and complexity can be reduced by using less number of filters in
this technique. At the same time, the performance of the OCDMA system is improved
significantly because with less number of filters in the decoder, the total power loss can be
reduced and this can be clearly seen in the result.
33
N. R. Newbury et. al. [39], Abstract demonstrated the coherent transfer of an optical signal over
a 251 km link of optical fiber by use of the standard Doppler-cancellation approach to remove
the effects of the fiber-link noise. The fundamental limit to the frequency instability on the
transmitted optical frequency is set by residual phase noise on the optical frequency resulting
from the unavoidably imperfect Doppler cancellation of the fiber-link noise. Here they
demonstrate that it is possible to quantitatively predict the phase noise and instability of the
Doppler-cancelled transmitted optical frequency directly from the measured fiber-link noise.
The ability to predict the frequency instability from the measured fiber noise can be a useful
tool in evaluating whether a coherent fiber optic link is operating at its fundamental limit, or
whether there is additional excess noise from the measurement system present in the link.
Tamer Khattab et. al. [40] propose a novel simplified mathematical analysis technique for
modelling and calculating the effect of multiple access interference on bit error rate in optical
code division multiple access (OCDMA) systems. Their technique applies to OCDMA systems
using optical orthogonal codes (OOC) with optical time-domain spreading. The proposed
analysis uses combinatorial methods on the combined signal at the output of the optical
correlator decoder to derive a mathematical expression for the bit error rate.
Alper Demir [41] describe novel formulations and computational techniques for the analysis of
the fiber nonlinearity as they propagate together along the fiber link. Their formulations are
similar, in spirit, to the linear time varying formulations for noise analysis in anolog/RF
electronics circuits and investigate signal noise mixing due to optical fiber nonlinearities using
the techniques developed.
Elwyn D. J. Smith et. al. [42] derived the performance limit of a spectral-amplitude OCDMA
system, using a balanced receiver structure. This performance limit arises due to the
interference between light from incoherent sources. The use of additional PPM coding with
spectral-amplitude OCDMA has been proposed as a possible way of achieving greater
performance. A simple and robust PPM decoder has been proposed that follows the spectral-
amplitude OCDMA receiver and analyzed the performance of the combined PPM-OCDMA
34
system. And shown that performance levels can be achieved that are greater than the
performance limit of the system without PPM.
Martin Rochette et. al. [43] presents the spectral efficiency of frequency-encoded (FE) optical
code-division multiple-access (OCDMA) systems with incoherent sources. The spectral
efficiency of five code families compatible with FE-OCDMA is calculated as a function of the
number of users. Analytical equations valid in the limiting case of Gaussian noise are also
developed for the bit-error rate and the spectral efficiency. Among the code families considered,
the modified quadratic congruence code leads to the maximum achievable spectral efficiency.
Jawad A, Salehi [44] proposed a new class of codes (signature sequences), namely, optical
orthogonal codes (OOCs), that are suitable for FO-CDMA are introduced. An experiment that
shows the desired auto- and cross-correlation properties of these codes and their use in FO-
CDMA is reported. Furthermore, the concept of optical disk patterns, an equivalent way of
representing OOCs is introduced. The optical disk patterns are used to derive the probability
density functions associated with any two interfering OOCs. Also presented is a detailed study
of different interference patterns from which the strongest and the weakest interference patterns
are introduced.
Wenhua Ma et. al. [45] analyzed the properties of the phase-encoded optical signal
(pseudorandom optical signal with low intensity) in a view of random process. The phase-
encoded optical signal was seen as a sample function of a certain random process. The variance
of the corresponding random process is inversely proportional to code length F. The rms width
of the phase-encoded width is proportional to the width of initial Gauss optical pulse and the
code length F. Neglecting the influence of the transmission medium, they have evaluated the
performance of asynchronous phase encoded OCDMA system and obtained the optimal
threshold of receivers. The numerical results revealed that larger code length F or shorter initial
Gauss optical pulse is beneficial to improving the system performance.
Habib Fathallah et. al. [46] propose and analyze a novel high bandwidth optical fast frequency-
hop CDMA communication system. Encoding/decoding operations are performed passively,
using an all optical, all-fiber device. In a typical example of 500 Mb/s user data bit rate, a length
35
20 cm multiple Bragg gratings performs the function of a 6-GHz hopping-rate frequency
synthesizer. Apodization of each grating is important to improve the reflectivity spectrum and
hence enhance system capacity and spectrum efficiency. Tunability using piezoelectric devices
allows the programmability of the encoding/decoding device. They derived new code design
criteria that better match requirements in optical fiber transmission medium. They proposed a
suboptimal family of codes that guarantees a specific frequency separation between successive
chip pulses, alleviating the effects of side lobes in the reflection spectrum.
L. Tancevski et. al. [47] introduced an incoherent optical guided wave CDMA concept based on
the integration of time spreading and wavelength hopping. Because the autocorrelation function
of these prime-hop sequences is maximum at zero time-shift and zero otherwise and because the
cross-correlation is at most 1, the number of possible stations the network can address is greatly
increased as is the number of simultaneous users. Systems based on these codes can function in
a truly asynchronous manner with increased security. An initial assessment of system
performance and hardware requirements has been performed.
S. P. Majumder et. al. [48] evaluate the impact of fiber chromatic dispersion on the bit error-rate
(BER) performance of a direct sequence optical code-division multiple-access (OCDMA)
system with intensity modulation direct detection transmission link using sequence inverse
keying optical correlator operating at 10 Gchip/s. It is observed that the difference between the
two curves is less than 0.5 dB which validates the approximation made in carrying out the
theoretical analysis for BER.
2.2 CHROMATIC DISPERSION
An Optical signal becomes increasingly distorted as it travels along a fiber. This distortion is a
consequence of dispersion and group delay effects. These effects can be explained by
examining the behaviour of the group velocities of the guided modes, where the group velocity
is the speed at which energy in a particular mode travels along the fiber. Dispersion in the fiber
means the broadening of the signal pulse width due to dependence of the refractive index of the
36
material of the fiber on the wavelength of the carrier. If we send digitized signal pulses in the
form of square pulses, they are converted into broadened gaussian pulses due to dispersion.
Dispersion is the phenomenon in which output pulse broadens and overlaps with its neighbours,
eventually becoming indistinguishable at the receiver input. The effect is known as Inter
Symbol Interference (ISI). Thus an increasing number of errors may be encountered on the
digital optical channel as the ISI becomes more pronounced. The error rate is also a function of
the signal attenuation on the link and the subsequent signal-to-noise ratio (SNR) at the receiver.
In optics, dispersion is the phenomenon in which the phase velocity of a wave depends on its
frequency or alternatively when the group velocity depends on the frequency. Media having
such a property are termed dispersive media. Dispersion is sometimes called chromatic
dispersion to emphasize its wavelength-dependent nature, or group-velocity dispersion (GVD)
to emphasize the role of the group velocity. There are several reasons for the reduced
performance of optical fiber communications. The dispersion leads to the degradation of the
signal quality at the output end due to overlapping of the pulses. There are mainly two kinds of
dispersion mechanisms in the fiber: (i) Intramodal dispersion and (ii) Intermodal dispersion.
Intramodal Dispersion is pulse spreading that occurs within a single mode. It is a result of the
group velocity being a function of the wavelength . Since intramodal dispersion depends on
the wavelength, its effect on signal distortion increases with the spectral width of the optical
source. This spectral width is the band of wavelengths over which the source emits light. The
two main causes of intramodal dispersion are: Material Dispersion, which arises from the
variation of the refractive index of the core material as a function of wavelength. This causes a
wavelength dependence of the group velocity of any given mode; that is, pulse spreading occurs
even when different wavelengths follow the same path. Waveguide Dispersion, which occurs
because a single mode fiber only confines about 80 percent of the optical power to the core.
Dispersion thus arises, since the 20 percent of the light propagating in the cladding travels faster
than the light confined to the core. Chromatic dispersion is such an effect which can reduce the
performance of passive optical networks.
37
Chromatic dispersion is the combinations of mainly two factors: dispersion of material and
dispersion of the waveguide. Mathematically we can write
D
T
= D
M
+ D
WG
Where D
T
denotes the total dispersion, while D
M
and D
WG
defines the material and the
waveguide dispersion respectively. Chromatic dispersion is the effect of pulse spreading (or
broadening) and can reduce the integrity of a received signal unless appropriate dispersion
modules are included in the optical communication system. The output pulse shape in optical
fiber change due to chromatic dispersion. Pulse broadening due to intermodal dispersion
(sometimes referred to simply as modal or mode dispersion) results from the propagation delay
differences between modes within a multimode fiber. As the different modes which constitute a
pulse in a multimode fiber travel along the channel at different group velocities, the pulse width
at the output is dependent upon the transmission times of the slowest and fastest modes [49].
The Fiber Chromatic Dispersion Coefficient (D) is given by
Where D is the fiber chromatic dispersion coefficient have unit of picoseconds per nanometer
and kilometer (ps/nm-km), is the fiber chromatic dispersion index, b
c
is chip rate, is the
optical carrier wavelength, L is the length of fiber. As a result, more superimposed coded data
spreads the available data per chip. This phenomenon interferes with adjacent chips. For this
reason, errors are also increased which in turn, reduces optical system performance. Mainly, this
effect occurs when multiple users are using the system. Consequently, we can conclude that
data spreading adversely affects the OCDMA system and shortens the light pulses. In such
cases, it is necessary to take into account the fiber dispersion effects and compare them to
Multiple Access Interference (MAI) limitations.
38
Figure 2.1: Dispersion Coefficient D and wavelength curve of single mode fiber
2.3 MULTIPLE ACCESS INTERFARENCE (MAI)
The co-channel interference from others users, who are using the same frequency allocation at
the same time, is known as Multiple Access Interference (MAI). Generally Optical CDMA
systems suffer from MAI originating from other active users. As the number of active users
increases, the bit error rate (BER) performance degrades due to the increase in MAI. A critical
limitation of OCDMA systems is the reduction of throughput when many users are
simultaneously trying to transmit over a common medium, thus producing extreme congestion
at high network loads [50]. In fact, networks can suffer from congestion collapse in which the
networks throughput is degraded when traffic exceeds a threshold and it eventually approaches
to zero under extremely high loads, i.e., when several users transmit simultaneously, their
packets and hence their code words overlap. When the optical pulses in the codeword overlap,
their power will be added, thus optical pulses from one code word may be detected by other
receivers tuned to other code words. As a result, a receiver may incorrectly detect other users
code words, resulting in packet transmission errors. As the number of users increases, the MAI
also increases, severely limiting the performance of the system.
39
This can be overcome by increasing the length of the signature code used. However, this
requires an ultra-fast pulse source in order to generate the codes needed for relatively high bit
rates. OCDMA communication systems do not require any time or frequency management. It
can operate asynchronously without centralized control and it does not suffer from packet
collisions. Because of its unique features, OCDMA is gaining increased attention in the
research community which is indicated by the increased number of publications in different
conference and journal papers. In OCDMA network, the transmission signal over a fiber-optic
channel is formed by the superimposing of pseudorandom OCDMA signals encoded from
multiple channels. The receiver in the network decodes the signal that is broadcasted from the
transmitter. In order to implement OCDMA communication network, address codes with
sufficient performance are required. When a set of code is chosen, a code should be constructed
that has many code words as necessary and good enough auto- and cross-correlation so that
accurate synchronization can be implement and the multiple access interference (MAI) from
other codes can be suppressed effectively by decoding the signals. Optical coding for OCDMA
network is mainly categorized in to two parts, unipolar codes and bipolar codes. Unipolar codes
is only suitable for incoherent OCDMA network, that is Optical orthogonal codes (OOC),
Prime codes (PC), Quadratic congruence codes (QCC) and Hyperbolic congruence codes
(HCC). Where else, for bipolar codes it suits both coherent and incoherent OCDMA networks,
which are m sequence, Gold Code and Walsh Hadamard Codes. Optical orthogonal codes
(OOC) defined by Salehi, Chung, and Wei are a family of (0,1) sequences with desired
autocorrelation and cross-correlation properties providing asynchronous multi-access
communications with easy synchronization and good performance in OCDMA communication
networks. Thumbtack-shaped auto-correlation enables the effective detection of the desired
signal and low-profiled cross-correlation makes it easy to reduce interference due to other users
and channel noise.
2.4 OVERVIEW OF OPTICAL CDMA
Optical CDMA is one technique of the multiple access technique to allow several users to
transmit simultaneously over the same optical fiber. OCDMA combines the large bandwidth of
40
the fiber medium with the flexibility of the CDMA technique to achieve high speed
connectivity. OCDMA is a highly flexible technique to achieve highspeed connectivity with
large bandwidth. Data access security and ability to support asynchronous, bursty data
transmission are two of the main driving forces behind a lot of interest in the OCDMA
techniques. On the other hand, the poor spectral efficiency of OCDMA systems demand
appropriate choice of coding techniques and multiple access interference (MAI) is often a
limiting factor. Code division multiple access is relatively poor in terms of spectral efficiency
resulting in low throughputs. But using two-dimensional (2-D) optical CDMA can improve the
overall network throughput. WDM and CDMA are combined in such a way that the beneficial
aspects of each technique overcome the shortcomings of each other. Using different
wavelengths improves spectral efficiency of OCDMA and using OCDMA greatly release
frequency control requirements. In an OCDMA system, such as the one shown in Figure 2.2,
each user is assigned a unique signature code. These codes are impressed upon the incoming
data, with all user data then multiplexed on the same fiber. The codes used are designed to be as
mutually non-interfering as possible. At the receiver end an optical correlator is used to extract
the encoded data.
Figure 2.2: Optical CDMA network configuration
41
Optical CDMA systems can be divided into two broad categories based on the way in which a
particular users code is applied to the optical signal. These classifications include:
Coherent OCDMA
Incoherent OCDMA
In a coherent OCDMA system, a given users code is generally applied via phase coding of the
optical signal field, which is often derived from a highly coherent wideband source, such as a
mode-locked laser. The receiver for a coherent OCDMA system relies on a coherent
reconstruction of the signal field to recover the decoded users data. In this the signals are
bipolar in nature. Coherent systems achieve higher performance than the incoherent ones but
require high precision control of the optical path within the encoder and decoder. In contrast, an
incoherent OCDMA system typically relies on amplitude-modulated codes rather than directly
manipulating the optical phase. Also, the receiver is based upon an incoherent decoding and
recovery process. A number of incoherent OCDMA system architectures utilize wideband
incoherent sources, such as a broadband amplified spontaneous emission source, while other
incoherent architectures utilize coherent laser sources as part of their implementation. In most
incoherent OCDMA systems, each user is assigned a specific code sequence: a coded
transmission is sent to represent a data bit 1, and a null is used to represent a bit 0. Due to
incoherent nature of the system, there are no negative signal components and the signals are
unipolar. To avoid loss of code confidentiality using simple energy level detectors, these
schemes can be modified to assign two codes per user; a 1 being represented by a code and a 0
being represented by another. Incoherent systems are regarded as more practical because the
light sources and encoding and decoding techniques have low complexity and are cost effective.
2.5 OPTICAL CODES
In OCDMA network, the transmission signal over a fiber-optic channel is formed by the
superimposing of pseudorandom OCDMA signals encoded from multiple channels. The
42
receiver in the network decodes the signal that is broadcasted from the transmitter. In order to
implement OCDMA communication network, address codes with sufficient performance are
required. When a set of code is chosen, a code should be constructed that has many code words
as necessary and good enough auto- and cross-correlation so that accurate synchronization can
be implement and the multiple access interference (MAI) from other codes can be suppressed
effectively by decoding the signals.This requires that the address codes satisfy two conditions:
all address code words can be easily identified from shifted versions, and
all address code words can be easily distinguished from every other codeword.
From the viewpoint of coding theory, the address code words need to satisfy:
each codeword in a set has a high autocorrelation peak and low autocorrelation
side lobes.
the cross-correlation function between each codeword and any other codeword
in the same set of address code words is low.
Since the mid-1980s, the encoding theory and encoding technology of OCDMA have been
studied and developed thoroughly and many research accomplishments have been made [51].
The encoding approaches of OCDMA can be divided into seven categories based on the choice
of different light sources (e.g., coherent vs. incoherent, narrowband vs. broadband), different
detection schemes (e.g., coherent vs. incoherent) and encoding approaches (e.g., time vs.
wavelength, amplitude vs. phase). OCDMA systems make use of coherent property of optical
signals and implement bipolar encoding of data by encoding the phases of optical signals. The
optical phases are detected at receiving terminals by superimposing of the amplitudes of optical
fields. The incoherent OCDMA systems represent the binary 1 and 0 using the presence of
light signal or the absence of light signal and implement unipolar encoding data signals. The
square-law detectors are employed for detecting optical signals at receiving terminals and the
forms of signal superimposing are power superimposing. Because coherent OCDMA systems
43
use bipolar encoding, the bipolar codes from the electrical wireless CDMA can be directly
deployed, such as m-sequences, Gold codes, Walsh-Hadamard codes, etc. Due to the presence
of negative components in bipolar codes, the cross-correlation functions between any two code
words can be close-to-zero, which makes MAI very small and thus the system performance can
be greatly improved and the number of network nodes can be increased.
However, pulse-phase encoding with bipolar codes requires ultra short coherent optical pulse
sources, which are susceptible to the nonlinearity and dispersion of fiber-optic. As to the
spectral amplitude and phase coding, the number of subscribers in a system and the system
performance are confined by the resolutions of optical gratings and masks. Furthermore,
spectral amplitude coding also requires a pair of complementary signals at the source ends and
differential detections at receiving ends [52]. Because incoherent OCDMA systems use the
presence of light signal energy or no light signal energy to represent binary 1 and 0, they
cant denote and detect the negative components in bipolar codes. Therefore, the bipolar codes
applied to electrical wireless CDMA cant be applied to incoherent OCDMA systems, which
can only use unipolar codes. This is the reason why the unipolar codes well-suited for
incoherent OCDMA systems need to be developed. These unipolar codes should have very
large cardinalities and very good auto- and cross-correlations. In order to guarantee that the
systems can be synchronized conveniently when the users access the network, each codeword in
a unipolar code must possess autocorrelation peaks as high as possible and autocorrelation side
lobes as low as possible. At the same time, the cross-correlation functions must be as low as
possible to reduce MAI. Therefore, the unipolar codes should be the codes of sparse 1, that is,
the number of 0 must be far greater than the number of 1 in the codes. Moreover, unlike
bipolar codes whose autocorrelation side lobes and cross correlation functions are close-to-zero,
for unipolar codes the best autocorrelation side lobes and cross-correlation functions are 1.
Thus, the best unipolar codes can but be quasi-orthogonal, corresponding to positive systems
and cant implement true orthogonality. However, we still call such unipolar codes optical
orthogonal codes (OOCs), in order to follow the custom in references.
44
2.5.1 Optical Orthogonal Codes (OOC)
Optical orthogonal codes (OOC) defined by Salehi [27] and Chung, Salehi, and Wei [53] are a
family of (0, 1) sequences with desired autocorrelation and cross-correlation properties
providing asynchronous multi-access communications with easy synchronization and good
performance in OCDMA communication networks. An optical orthogonal code is a family of
(0, 1) sequences with good auto- and cross-correlation properties. Thumbtack-shaped auto-
correlation enables the effective detection of the desired signal (Fig. 2,4 c), and low-profiled
cross-correlation makes it easy to reduce interference due to other users and channel noise (Fig.
2.4 d). The use of optical orthogonal codes enables a large number of asynchronous users to
transmit information efficiently and reliably. The lack of a network synchronization
requirement enhances the flexibility of the system. The codes considered here consist of truly
(0, 1) sequences (Fig. 2.3 a) and are intended for unipolar environments that have no negative
components since you either have light, or you don't, while most document correlation
sequences are actually (+1, -1) sequences (Fig. 2.3 b) intended for systems having both positive
and negative components.
Fig. 2.3: (a) sequence for fiber optics (b) sequence for radio frequency
45
(a) (b)
Fig. 2.4: (a) Optical Orthogonal Code 1 (b) Optical Orthogonal Code 2
(c) (d)
Fig. 2.5 (c) Auto-correlation (d) Cross-correlation
An optical orthogonal code (n, w,
a
,
c
) is a family C of (0, 1) sequences of length n and weight
w which satisfy the following two properties.
46
1) The Auto-Correlation Property:
(3.1)
for any x C and any integer t, 0 < t < n.
2) The Cross-Correlation Property:
(3.2)
for any x ? y C and any integer t.
The numbers
a
and
c
are called the auto and cross-correlation constraints. The (0, 1) sequences
of an optical orthogonal code are called its code words. The number of code words is called the
size of the optical orthogonal code. From a practical point of view, a code with a large size is
required. A desirable property of a code is that it should be as large as possible i.e. contains as
many code words as possible. This is to enable more users to access the channel. When a =
c
= , and called optimal OOC. C shows the cardinality of the code sequences i.e. the size of the
code which refers to the number of code words contained in the code family. The largest
possible size of the set with conditions of (n, w, l) denotes F (n, w, l ). By the aid of Johnson
bound, it is known that F should satisfy
n w =
(3.3)
47
In case of
c
=
a
= 1, i.e. strict OOC, it can be shown that the number of codes is upper-
bounded by
C =
Where
+
x
+
denotes the integer portion of the real number x. An example of a strict OOC (13,
3, 1) code set is C= {1100100000000, 1010000100000}. It is clear that the auto-correlation is
thus equal to the code-weight of 3, and the nonzero shift auto-correlation and the cross-
correlation is less than or equal to one. The same code set can be represented using the set
notation of {(1,2,5);(1,3,8)}mod(13), where the elements in the set represent the position of the
pulses (i.e. 1s) in the code sequence of code-length 13. The (0, 1) sequences of an optical
orthogonal code are called its code words. The number of code words is called the size of the
optical orthogonal code. From a practical point of view, a code with a large size is required. A
desirable property of a code is that it should be as large as possible i.e. contains as many code
words as possible. This is to enable more users to access the channel. An OOC is said to be
optimal if it has the maximum cardinality for a given n, w, . Optical CDMA extract data with
desired code in the presence of all other users optical pulse sequences, therefore set of code
words should be designed to satisfy three fundamental conditions:- For any codeword the non
shifted auto correlation, equal to the hamming weight of the codeword, should be made large as
possible, this ensures that the receiver signal is much larger than the background noise in the
system. For any codeword the shifted auto correlation must be much less than the hamming
weight of the codeword. This requirement ensures that the output of correlator receiver will be a
small when the receiver is not synchronized with the transmitter and allows OCDMA to operate
asynchronously without to operate asynchronously without the need for a global clock signal.
The crosscorrelation between any pair of code words must be small. This property ensures that
the each codeword can easily be distinguished from every other address sequence. This makes
MAI insignificant compared to the energy contained in the receiver information bit.
48
In OCDMA many users are transmitting information over a common wide-band optical
channel. The target is to design an efficient system, to allow the users to share the common
channel. Traditional multiple access techniques such as frequency division multiplexing, time
division multiplexing, collision detection or demand assignment require network
synchronization at high speed (optical speed), and frequent conversions between the optical
domain and the electronic domain. These requirements limit the efficiency of such an optical
multiple access system. But if a code division multiple access system with optical orthogonal
codes is applied, it simplifies greatly the complexity of the system, and achieves potentially
higher transmission efficiency. The main disadvantage of OOCs is the limited number of users
for a reasonable code length and weight, therefore, two dimensional OOC codes that use the
wavelength time dimensions were proposed and their performance analysis and construction.
As the length of OOCs required should be large and weight required is small, effective optical
orthogonal codes are very sparse. This has two important consequences for CDMA system
design. Firstly the energy per encoded bit is low and may compromise the overall energy budget
of the system. Secondly, very sparse codes imply that the chip period must be smaller than the
source bit length. Very high speed (and hence expensive) electronic and optical equipment will
be required to produce these very short pulses. Thus as the duration of each chip becomes
shorter, dispersion effects could start to limit performance severely. The code weight also has a
direct effect on the performance of an optical CDMA system. If the code weight were increased
but the threshold level kept low, system performance would degrade since, by increasing the
number of pulses per frame, one increases the probability of multiple code words overlapping in
the same chip. To improve system performance both the code weight and threshold should be
increased. It is then less probable that multiple users will occupy the same chip up to the level
of the threshold, so MAI is reduced [24].
49
CHAPTER 3
OPTICAL CDMA SYSTEMS
3.1 INTRODUCTION
Although in the Code Division Multiple Access (CDMA) system soft capacity is obtained, the
system faces interference in case of two users simultaneously access the communication
channel which, in turn, degrades the performance of the CDMA system. Consequently, the
main shortcoming of the CDMA system is multiple users access of the communication channel.
Thats why scientists turned their attention on the Optical CDMA, a system that tries to improve
the shortcoming of the classic CDMA system. Nevertheless, there are several differences
between the electrical and the optical CDMA. The optical CDMA is very important and
becoming increasingly popular due to its high available bandwidth and elimination of cross
talks. In the OCDMA system, multiple users can access the same channel with help of various
coding techniques. These codes help maintaining low correlation between users and also help
maintain low interference for each user. OCDMA is one technique of the multiple access
technique to allow several users to transmit simultaneously over the same optical fiber.
OCDMA combines the large bandwidth of the fiber medium with the flexibility of the CDMA
technique to achieve high speed connectivity. Current research on OCDMA focuses on direct
time spread OCDMA, spectral encoding-decoding, pulse-position modulation OCDMA,
asynchronous phase encoding OCDMA and frequency hopping OCDMA.
At present, the performance of an asynchronous phase encoded OCDMA system considering
fiber chromatic dispersion has been reported in in the case of standard single mode optical fiber,
while systems with dispersion shifted optical fiber are presented in intensity modulation with
direct detection on-off keying (OOK) OCDMA and pulse position modulation (PPM) OCDMA
systems are analyzed. OCDMA is a highly flexible technique to achieve highspeed
50
connectivity with large bandwidth. Data access security and ability to support asynchronous,
bursty data transmission are two of the main driving forces behind a lot of interest in the
OCDMA techniques. On the other hand, the poor spectral efficiency of OCDMA systems
demand appropriate choice of coding techniques and multiple access interference (MAI) is
often a limiting factor. Code division multiple access is relatively poor in terms of spectral
efficiency resulting in low throughputs. But using two-dimensional (2-D) optical CDMA can
improve the overall network throughput. WDM and CDMA are combined in such a way that
the beneficial aspects of each technique overcome the shortcomings of each other. Using
different wavelengths improves spectral efficiency of OCDMA and using OCDMA greatly
release frequency control requirements.
3.2 BLOCK DIAGRAM OF OCDMA SYSTEM
In Optical CDMA, the same principles are retrieved as radio frequency CDMA even if these
techniques are adapted to the specificity of optical transmission channel. The aim of Optical
Code Division Multiple Access is to take benefits of radio frequency communications. CDMA
technique to share the huge optical bandwidth. Specific constraints associate to the optical
communication systems have to be taken into account, while preserving the advantages brought
by this technique. i.e.
Improvement of multiplexing capacity.
Resource sharing
Asynchronous emission of the subscribers.
Cost reduction of network installation.
A typical OCDMA communication system can be shown as in Fig. 3.1.
51
Fig.: 3.1 Block diagram of an Optical CDMA System
Chromatic dispersion can degrade system performance and occurs when enhancing the inter-
chip interference and reducing the receiver optical power. The capacity of these networks is
limited due to the number of signature sequences available with good correlation properties for
a given sequence length is small. We assume that there are totally M subscribers implementing
full duplex communication in an OCDMA network and N users are active and share the
common channels at the same time. (In a practical network, all subscribers connected to a
network are not always activated, especially in a subscriber access network and, as a matter of
fact, the number of subscribers activated at the same time accounts for about 10% of the total
number. We suppose that if the j
th
subscriber wants to send data information to the k
th
user, the
address code for receiver k is impressed upon the data by the encoder at the j
th
node. One of the
primary goals of OCDMA is to extract data with the desired optical pulse sequence in the
presence of all other users optical pulse sequences In the OCDMA transmitter, every user
preserves different signature codes modulated as binary. Data are actually electrical signals sent
52
to the optical drive which converts the electrical signals into optical signals. The encoded signal
is further sent to the star coupler. The star coupler used depends on the topology of the network
which can be either a LAN or an access network. In case of a LAN, the star coupler is N:N,
while in an access network, the star coupler is 1:N. Further, in OCDMA every user shares the
same channel. For this reason, crosstalk which is interference due to multiple accesses is
introduced here. In order to reduce this unwanted interference, every user uses various signature
sequences. On the other hand, in the OCR with switched sequence inversion keying, an optical
switched correlator is used. Consequently, a bipolar reference sequence is correlated directly
with the channels unipolar signature sequence in order to recover the original data. The
unipolar-bipolar correlation is practically realized in an optical correlator, by spreading the
bipolar reference sequence into two complementary unipolar reference sequences. In addition,
the optical correlator provides unipolar switching functions for de-spreading the optical channel
signal. The PIN photodiode is also known as the p-i-n photo receiver. Here, i is the intrinsic
region which is un-doped between the doped regions of n and p. Finally, the PIN photodiode
cancels the dispread signal integrated with the periodic data. This occurs before the detection of
the zero threshold voltage. A local area network (LAN) may well comprise hundreds of users,
each of whom may employ data visualization, highdefinition digital video broadcasts, or other
bandwidth intensive applications. Each user require individual data rates in gigabits per second,
leading to aggregate data rates reaching hundreds of gigabits per second. It will not be sufficient
to provide raw bandwidth alone. The network must also provide quality of service (QOS)
guarantees for these applications, even as the number of users and aggregate throughput with
time. This challenge is not insignificant the network must simultaneously accommodate traffic,
whose requirements vary over order of magnitude:
Bandwidth ranging from kilobits (compressed voice) to gigabits per second (high
quality dynamic, three dimensional, real time image sharing).
Bit error rates ranging from 10
-3
(internet telephony) to 10
-12
(highly sensitive data
transfer).
Delays/ latencies ranging from speed of light limited shared memory applications.
53
Fig.: 3.2 Waveforms employed to transmit data in OCDMA System
In an OCDMA network using on-off keying pattern, the users data is transmitted by each
information bit 1 which is encoded into desired address codeword. However, the transmitter
does not produce any optical pulses when the information bit 0 is sent. Figure 3.2 gives the
schematic diagrams of waveforms employed to transmit data of three subscribers in an
OCDMA network.
3.3 IMPLEMENTATION OF OCDMA TECHNOLOGY
Generally, there are two ways of implementation of OCDMA technique:
54
Encoding and decoding in electrical domain and transmission in optical domain: At the
transmission, for encoding and decoding in electrical domain and transmission in optical
domain requires electrical to optical converter and at the receiver requires optical to
electrical converter. As we know the bandwidth of optical fiber is in tera hertz, electrical
devices that are used in it introduces electronic bottleneck and avoids the full utilization
of fiber bandwidth.
All in optical domain: Above problem can be solved by using second technique i.e. all
in optical domain/ photonic network that avoids opto electronic conversion network.
This technique uses some kinds of Orthogonal Codes (OOC) prime codes.
These codes make availability of full utilization of optical fiber bandwidth, that is the
order of Tera bits/ second. OCDMA can be categorized into two types:
On the basis of working principle: It is further classified as in two types: Incoherent
OCDMA and coherent OCDMA. In incoherent OCDMA the operation is performed on
the basis of optical power and handled in unipolar manner (0,1) and in Coherent
OCDMA operation is performed on the basis of field amplitude and optical codes are
handled in bipolar manner (+1,-1) optical.
By processing dimensions: It is classified in two types, 1-Dimensional that are
performed in time and frequency domain; and 2-D that is performed in time and
frequency domain simultaneously. 2-Dimensional codes for incoherent OCDMA has
been designed to reduce overall code dimension, provide increased flexibility in code
design.
55
3.4 FEATURES
CDMA finds application both in radio and optical communication systems.
Radio frequency communications systems use bipolar codes, which cannot be used in
asynchronous, bursty environments.
Radio communication system use two models: Direct sequence and Frequency
hopping CDMA models.
Optical systems use unipolar codes, thus can be used in asynchronous environments.
Each user has its own code sequence.
Many users can transmit data simultaneously.
OCDMA communication systems require neither time nor frequency management
schemes.
Lower latency.
No requirement of centralized control and does not effect from packet collisions.
3.5 ADVANTAGES
All optical processing: Unlike the wireless CDMA, the coding operations are performed all
optically in OCDMA that is desirable for all the PON requirement. The all optical multiplexing
56
results in as system in which channels can be carried at any combination of data rates and
formats in an independent unsynchronized fashion.
Full asynchronous access: OCDMA network can work with fully asynchronous access without
the requiring of complex and expensive electronic equipment and protocols. This unique
advantage of supporting full asynchronous transmission mode makes OCDMA best suited for
bursty traffic network. Since there is no need for TDM or temporal encoding, each channel
operates at its native data rate. Since there is no need for repetitive optical to electrical to optical
conversion at each node, there is no accumulation of temporal jitter and electronic regeneration
is unnecessary.
Fair division of bandwidth: Dynamic allocation of bandwidth makes the adding of new
subscribers or removing unsubscribed users from the network much easy. OCDMA provides a
way for many active users to share the optical bandwidth in a fair manner.
Latency access: OCDMA could also provide low delay of access as the coding operations are
performed all optically and passively .Multiple logical topologies can be supported
simultaneously on the same physical network .For example ,a physical ring could be
implemented for optical layer protection on top of which virtual rings, meshes, stars and trees
can also exist. The broadcast nature of the system also lends itself to video distribution in a
point to multipoint configuration.
Flexibility: The flexibility afforded by the tap-and-insert nature of the optical bus combined
with the programmability of the trans-receivers enables the assignments of bandwidth and
logical connections where they are needed. Increased flexibility of controlling the quality of
service (QOS): OCDMA systems have the potential to be very flexible.QOS guarantees could
be managed in physical layer by assigning different code in OCDMA networks.
Network Control and Management: If the optical codes are designed such that the non-
shifted autocorrelation peak is large and the shifted autocorrelation peak is minimized, each
receiver is able to operate asynchronously without the need for a global clock signal. Since the
57
number of unique codes is equal to the number of stations on the network, there is no need for a
centralized node to arbitrate channel contentions. Adding a new user on an OCDMA system is
as easy as assigning a new code. Unused codes are provided to the new user. If no free codes
were available, the system could be upgraded to support more users by increasing the amount of
time or wavelength domain spreading. The amount of coding overhead could also be increased
if it were being violated .The use of incoherent sources and the spreading of each channel over
multiple wavelengths affords spectral OCDMA an inherent tolerance to a variety of
imperfections in optical components and the transmission medium such as centre wavelength
shifts, slow drop offs at the edges of filters, polarization dependent loss and fiber non-
linearities.
Service Differentiation: CDMA offers the possibility of offering differentiated service or QOS
at the physical layer. Through the use of multi rate OCDMA codes different service classes for
multimedia traffic can be defined .Low rate codes could be used for email and file transfer.
While high rate codes could be used for transfer of audio and video information. Each node
would be assigned a distinct signature sequence for each of the possible code sets that may be
encountered to avoid having two nodes transmit with a same address code.
Security: Finally, optical CDMA would offer an advantage that current access networks do not
offer: inherent security. If we consider an OCDMA system with 41 wavelengths and 961 time
chips, it will re-quire 1350 years trying all possible combinations before the code could be
broken. Meanwhile, in one second, the OCDMA system can have more than 107 such codes are
used. For this reason, the security of the OCDMA system is inherent within the OCDMA
technology, which is a major advantage of OCDMA-enabled networks. Sophisticated
encryption is not required because OCDMA is already encoded and does not suffer from the
same type of adjacent channel crosstalk as DWDM i.e. centre wavelength shifts in filters do not
results in the accidental reception of someone elses signal. Similarly OCDMA cannot result in
the accidental reception of an unwanted channel as could occur with errors in synchronization
in TDM. [54].
58
3.6 DRAWBAKCS
In spite of being a promising technology, there are still many drawbacks that limit its wide scale
deployment. Following are some major disadvantages:-
Cost: The biggest barrier to the wide scale deployment of OCDMA is cost. Cost not only
affects OCDMA rather other multiple access technologies like WDMA also suffers from the
same problem. They are also constrained by the need for expensive optical hardware .The need
for all optical encoding/decoding hardware and broadband light source for OCDMA makes it
much expensive. In OCDMA each bit of information data is encoded by the signature sequence
consisting of a number of shorter bits called chips. Each user is assigned one signature sequence
called codeword. So the head end of an OCDMA network as well as user terminals would need
to be able to generate 2D code words. At the encoder tunable fiber Bragg gratings are used. The
tunability is achieved with piezoelectric devices that shift the centre frequencies of the gratings.
Hence change the pattern of the code .So in order to avoid this optical hardware is required
which is both expensive and bulky. Broadband light source: Filtering the output of a broadband
light emitting diode (LED), spectrally slicing the amplified spontaneous emission (ASE)of an
EDFA or combining the output of a number of laser diodes tuned to distinct wavelengths
generate a broadband light source. Light produced by using laser diode array and the EDFA
have the required power but are currently expensive but broadband LED is comparatively
cheap, but light generated may not have enough intensity as is required by OCDMA
applications. If an array of tunable lasers could be integrated on the same substrate as a
waveguide based encoder and modulator costs as well as size would drop rapidly, while
reliability and robustness would also improve. Install a single broadband light source at the
head-end. The multi-wavelength light could be distributed by fiber to all nodes on the network
for use in encoding data on the return path. So each node requires only encoding/decoding
hardware and not a dedicated broadband source.
Noise: Beat and shot noise are both technological barriers of the physical channel which de-
grade the performance of the OCDMA network.
59
Beat and shot noise are not appearing on the same wavelengths in case of multiple accesses.
This is why, for a fixed receiver, the energy is used for a single channel wavelength. On the
other hand in OCDMA systems, the total bandwidth is distributed. Thats why beat and shot
noise may be introduced in the wavelengths of the same transmission channel. In OCDMA with
the same wavelengths the channel bandwidth is allocated which is the optical power from other
user which guide o the shot noise. Shot noise is defined as the optical root square of the
received power and is direct pro-portional to the number of users. This type of noise reduces the
scalability of the OCDMA network. Shot noise and optical beat noise are considered as major
physical channel impairments that limits the performance of OCDMA. As the bandwidth is
shared in OCDMA, it is the optical power from other users on the same wavelength channels
that leads to the beat and shot noise. The shot noise builds as the square root of the received
optical power, proportional to the number of active users in an OCDMA system thereby
limiting the scalability of optical systems. Optical beat noise is considered as the dominant
source of noise.
Perception: A barrier to the acceptance of OCDMA in access or local area networks is the
perception of the technology as inefficient, exotic or difficult to commercialize. Optical CDMA
compensates for the scarcity of processing power by throwing more bandwidth at the problem.
The inefficient use of spectrum by O-CDMA is really an attempt to perform processing
functions in the optical, rather than electrical domain. Competing optical access technologies,
such as WDMA, that do not employ spectral spreading may appear to use bandwidth more
effectively; however, other factors should be included in these efficiency calculations. For
example, WDMA will require a method to mediate channel access to avoid wavelength
contention. Contentionbased media access schemes such as carrier sense multiple access with
collision detection (CSMA/CD) are difficult to implement and have the drawback of non-
deterministic service. Time sharing could also be used to avoid contention; however, this
requires synchronization among the nodes and may need a more complex protocol, especially if
dynamic slot assignment is used. Through spectral spreading, OCDMA addresses these channel
control problems without the need for complex protocols or extensive electronic processing.
60
Multiple Access Interference (MAI): The optical CDMA systems suffer from other
simultaneous users. As the number of simultaneous users increases, the bit error rate (BER)
degrades because the effect of MAIs increases. In addition, even if the received optical power is
large enough i.e. if the effect of noise is small, the effect of noise is small, the effect of MAIs is
constant because the power of the transmitted pulse is equal among all users. Light pulses
transmitted by different users may overlap. As the light source in each transmitter is assumed to
be incoherent, total intensity at a chip is the sum of the intensities of the individual light pulses
existing in that chip. The codes are designed to be sufficient different that the probability of
mistaking one code for another is very low. However, when many users are transmitting
simultaneously, many overlaps may occur. A receiver may then conclude that its target code
was sent. This phenomenon is known as an error due to multiple access interference (MAI).As
more users share the channel simultaneously; the effect of MAI becomes more significant. MAI
increases the level of a pulse by integer multiple of the original pulse level. When pulse power
is high and the photo detectors are of low noise, MAI is the dominant cause of performance
degradation in OCDMA systems.
Error Correction: Forward error correction is costly and unusable in OCDMA because the
speed for carrying the information in electrical cables and optical fiber is not same. For this
reason, we have to design specialized encoding and/or decoding devices in order to correct the
errors in case of optical signal transmissions. It is possible to design codes for forward error
correction devices which exclusively depend on optical signal processing, such as optical
multiplexing and wavelength shifting. As a result of such codes, we can manage error free
transmissions in case of optical signal processing.
Encoding/Decoding: The optical signal follows two dimensional codes. Fiber Bragg Grating
(FBG) is a periodic perturbation of the refractive index along the fiber length which is formed
by expo-sure of the core to an intense optical interference pattern. The optical encoder sup-ports
FBG and has a predefined center frequency and temperature. For this reason a wavelength
control loops or robust encoding device is required in order to ease this effect.
61
Security Integration: Integration of hybrid laser technology represents a monetary cost barrier
in optical communication technologies. As a waveguide based encoder and as a waveguide
modulator, an array of tunable lasers integrated on the same substrate. Substrate refers to the
manufacturing materials. Semiconductor devices (i.e., glass) are manufactured from this
material. Consequently, a waveguide modulator and demodulator are cheaper to manufacture
than a monolithic LASER integration.
3.7 APPLICATIONS OF OCDMA
3.7.1 Wireless Optical CDMA LAN
Wireless optical LANs will acquire importance where security is of great concern and where
obtaining radio frequency band would not be economical. Wireless local area networks have
gained attraction due to its feasibility, wide range of applications, market needs and consumers
considerable demands. Wireless LANs are of immense interest in places such as hospitals and
inside planes where electromagnetic interference is of great concern. With progress in optical
devices, technologies, considerable improvement in quality of service for such networks is
achieved. WDM and TDM systems imposes higher cost and complexity in LAN, therefore
CDMA find its place as it allows very flexible access of the large communication bandwidth
available in optical fiber networks with a capability to conceal the data content in LAN. So,
OCDMA is an attractive candidate for LAN application [55].
62
Fig.: 3.3 A typical Wireless OCDMA LAN
3.7.2 Radio over Fiber network
Microcellular systems have difficulties such as radio signals transfer. To solve these radio-over
fiber (ROF) systems are proposed, where microcells in wide area are connected by optical fibers
and radio signals are transmitted over fiber links. Optical code-division multiple access
(OCDMA) is one of the candidate multiple access method for ROF systems because it has
asynchronous access property and guarantees the high process gain by using the broad
bandwidth of optical devices. In optical CDMA methods, there are time spreading CDMA,
coherent coding CDMA, two dimensional (2-D) CDMA, spectral encoding CDMA and so on.
However the coherent coding CDMA and 2-D CDMA are complicated for radio signal
transmission .And this time spreading CDMA for ROF systems might need or carrier
synchronization, and the band pass sampling technique with an aliasing canceller has been
introduced for improving the carrier-to-interference ratio (CIR).
63
On the other hand, the spectral encoding CDMA can regenerate the information data without
any synchronization. Furthermore, the proposed spectral encoding CDMA with the bipolar
capacity can receive radio signals without any sampling techniques. The bipolar capacity can be
obtained by pseudorandom noise (PN) codes or walsh codes in time spreading CDMA and
spectral encoding CDMA. In the general optical CDMA by using PN codes ,the difference
between the number of 1and the number of 0 which is always one causes the channel
interference or multiple access interference (MAI).The modified PN codes to reduce the
channel interference have the same number of 1 and 0.
Fig.: 3.4 FBG encoder for receiving the radio signal
The schematic diagram of the proposed ROF system using FBG-based CDMA with modified
PN codes is depicted in Fig.3.4.The light from a broad band light sources (such as a light
emitting diode)is inserted to a 1*2 dual output intensity modulator. The received radio signal
from a radio base station (RBS) modulates the optical signal from the light source at the dual
output intensity modulator is in-phase and the other upper output is p out of phase with the
received radio signal. The upper and lower outputs are inserted to a star coupler (SC) by
transmitting and reflecting at the FBGs of which the centre wavelengths are arranged by
modified PN codes, respectively.
64
Fig.: 3.5 FBG encoder for receiving the radio signal
The light outputs from the modulators of the encodes are emerged at an M*1 SC and
transmitted into the decoders through one fiber in fig.3.5. In order to discriminate the light of
the unused wavelength, an optical band pass filter is needed. As a 1*M SC connects with FBG
decoders, each FBG encoder transmits its spectral encoded signal to all FBG decoders. The
FBG decoder with bipolar capacity is shown in fig.3.5.The transmitted light field and the
reflected light field complements each other at the FBGs. Out of the received signal spectra, the
transmitted light field is detected by the upper photodiode PD2 and the reflected light field is
directed to the lower photodiode PD1 by a circulator and detected. The two output current
signals are subtracted, and the desired data are regenerated at the output of a high pass filter
(HPF) [56].
3.7.3 Multimedia Transmission
Multimedia communication has been expected in high speed optical networks due to the
growing applications of multimedia. In such communications, various signals with different
required performance are included in the network according to the media such as data, voice
65
and so on. Since the required data rate and bit error rate (BER) are different depending on these
information sources, various signals with different rate and quality must be accompanied in the
network. In optical communication systems, there has been increasing interest in optical code-
division multiple access (OCDMA) system due to its many attractive features such as vast
bandwidth, high speed signal processing and accommodating large number of simultaneous
users. In OCDMA, each user is assigned a unique signature with good correlation properties,
consisting of unipolar signals. Although, optical orthogonal code (OOC) is used as the signature
sequence, the number of OOC with good correlation property is fairly small. Moreover, the
coding configuration (i.e. the structure of encoders and decoders) is another important factor to
implement the whole system [57].
66
CHAPTER 4
MATEHMATICALANALYSIS OF OCDMA SYSTEM
4.1 SYSTEM DESCRIPTION
In recent years, optical code-division multiple-access (OCDMA) systems are attractive as they
offer several attractive features such as asynchronous access, privacy and security in
transmission, ability to support variable bit rate and busy traffic, and scalability of the network.
Until now, research on OCDMA focused on direct time spread OCDMA, spectral encoding
decoding, pulse position modulation OCDMA, asynchronous phase-encoding OCDMA, and
frequency hopping OCDMA. Most of the research works on OCDMA system, performance
analyses are carried out without considering the effect of fiber chromatic dispersion, which
causes spreading and overlapping of chips and degrades system performance due to increased
inter chip interference and reduced received optical power. Recently, the performance of an
asynchronous phase-encoded OCDMA system considering fiber chromatic dispersion has been
reported in the case of standard single-mode and dispersion-shifted optical fiber [58].
This Dissertation presents the effect of Dispersion and MAI of Optical Fiber on the Bit Error
Rate (BER) Performance of a Direct Sequence Optical CDMA System with intensity
modulation direct detection transmission link using sequence inverse keying optical correlator
receiver. This analysis is carried out for direct-sequence OCDMA system with intensity
modulation and direct detection (IM/DD) sequence inversion keyed (SIK) receiver, in the
presence of fiber chromatic dispersion and multiple access interference (MAI) to evaluate the
bit-error-rate (BER) performance degradation due to dispersion and to determine the power
penalty suffered by the system at a specific BER. In the OCDMA transmitter, every user
preserves different signature codes modulated as binary. Data are actually electrical signals sent
to the optical drive which converts the electrical signals into optical signals. The encoded signal
is further sent to the star coupler.
67
The star coupler used depends on the topology of the network which can be either a LAN or an
access network. On the other hand, in the OCR with switched sequence inversion keying, an
optical switched correlator is used. Consequently, a bipolar reference sequence is correlated
directly with the channels unipolar signature sequence in order to recover the original data. The
PIN photodiode is also known as the p-i-n photo-receiver. Here, i is the intrinsic region which is
un-doped between the doped regions of n and p. Finally, the PIN photodiode cancels the
dispread signal integrated with the periodic data. This occurs before the detection of the zero
threshold voltage. The schematic block diagram of an OCDMA transmission system with
asynchronous SIK correlator receiver is shown in Fig.7 and 8. In the transmitter, a users binary
data is modulated either by a unipolar signature sequence or by its complement, depending on
whether it is a 1 or 0, respectively. In the receiver, a bipolar reference sequence is
correlated directly with the channel unipolar signature sequence in order to recover the original
data by an all-optical correlator. The bipolar reference sequence is separated into two
complementary unipolar reference sequences by means of unipolar switching functions, to
dispread the incoming optical channel signal. This is subtracted in a balanced p-i-n diode
receiver and then integrated over a data bit period prior to detection [59].
Fig.: 4.1 Optical CDMA Transmitter with Sequence Inversion Keying (SIK)
68
At the transmitter, B(t) is a sequence of unit amplitude rectangular data bits each of duration T,
is used to Sequence Inversion Keying (SIK) with A(t), where A(t) is a periodic sequence of N
unit amplitude rectangular chips each of duration is T
c
and N = T/T
c
. The SIK Modulated signal
then drives a laser diode which gives the output S
k
(t) for k
th
user as follows [48]
(4.1)
Where P
T
is the chip optical power for the k
th
user at the transmitter output, l corresponds to the
l
th
chip, and is the operator that denotes SIK modulation such that either the sequence A(t) or
its complement A(t) is transmitted for a 1 or 0 data bit, respectively. S
k
(t) is transmitted through
the single-mode fiber, undergoing dispersion; it gives the output S
k

(t) at the end of the optical


fiber. For the k
th
user, it is given as
(4.2)
Where is P
R
the received optical power which is the difference between transmitted power and
fiber loss, S
out
(t-lT
c
) stands for the output pulse shape due to fiber chromatic dispersion, which
is given by [60].
(4.3)
69
Here, indicates the index of chromatic dispersion of the optical fiber which can be expressed
mathematically as [61]
(4.4)
Where is the optical carrier wavelength, D is the Chromatic dispersion coefficient of optical
fiber, b
c
is the chip duration, L is the length of the fiber and c is the velocity of light.
Consequently, we can state that when the fiber length (L) is increased, the index of chromatic
dispersion in the fiber ( ) is also increased.
Fig.: 4.2 Optical Correlator Receiver (OCR) with switched sequence inversion keying
The OCDMA signal as well as all other users OCDMA signals are dispread by the all-optical
correlator receiver with balanced photo detectors and then passed through an integrator. The
correlator output matched to the k
th
user is given by [48]
70
(4.5)
Where R is responsivity of each p-i-n photodiode, K is the number of simultaneous users, A
i
(.)
is the complement of A
i
(.) and n
o
(t) is the total channel noise at the correlator output. P
R
represents the optical received power given by [61]
(4.6)
Where P
T
is the transmitted optical power while the loss in the optical fiber is P
f
. The bipolar
forms of signals presents in correlator output equation (4.5) are
A
i
(.) = a
i
(.)
B
k
(.) = b
i
(.)
S
out
(.) = s
out
(.)
a
i
(.) = {A
i
(.) A
i
(.)}
B
k
(.) A
k
(.) = {1+b
k
(.) a
k
(.)}/2
S
out
(.) = s
out
(.)
71
So reduce the equation (4.5) by using these bipolar terms as
(4.7)
Solve the equation (4.7) as follows
(4.8)
The first term in equation (4.8) is the offset effect, removed by using balanced signature
sequence. The second term is the total channel noise at the correlator receiver output. The third
term is the in-phase autocorrelation peak signal. The fourth term is the Multiple Access
Interference (MAI), which represents the noise occurring in the channel due to multiple
accesses of the channel, chromatic dispersion and various noises for the spontaneous signal
72
fluctuations in the receiver. This is described by the variance of the system, denoted as s
2
.The
mean of Z
i

(t) is U and the variance of interference s


2
are given as follows [62]
(4.9)
(4.10)
The Signal-to-Noise Ratio (SNR) at the Optical Correlator Receiver Output can be obtained as
[59]
(4.11)
In equation (4.11) N
o
is the variance of noise, N
th
is thermal noise of receiver, N
sh
is shot noise
of photo detector [61].
(4.12)
(4.13)
73
(4.14)
Where K
b
represents the Boltzmann constant, B
e
is the bandwidth of the receiver, the
temperature of the receiver is T
r
, the charge of the electron is Q, R
L
is the resistance of the
receiver load, R is Responsivity of each p-i-n photo diode.
The Bit Error Rate (BER) at the Optical Correlator Receiver Output can be obtained as [59]
(4.15)
4.2 SIMULATION PARAMETERS
In this simulations work we use the MATLAB 7. The system is evaluated by means of 10*10
9
chips/s. We tested the performance curve for bit error rate and signal to noise ratio considering
wave length of 1550 nm, and single mode of optical fiber. Table 5.1 presents the parameters of
the evaluated Optical CDMA system. It is also performed the eye diagram by means of 17 ps/km-
nm dispersion coefficient. In the receiver, Electron charge (1.6e
-19
c), Boltzmann constant
(1.38e
-23
W/K. Hz), Received optical power gain (-20), Dark current (10 nA), Thermal current(1
pA
2
Hz
-1
) are used to simulate system performance.
74
Symbol Significance Value
Operating wavelength 1550 nm
b
c
Chip rate 10 Giga chip/s
Q Electron charge 1.6e
-19
c
K
b
Boltzmann constant 1.38e
-23
W/K. Hz
T
r
Receiver temperature 300
0
k
R
L
Load resistance of receiver 50 O
R Responsivity of each p-i-n photodiode 0.85 A/W
L Length of fiber 245.05 Km
P
r
Received optical power gain -20 dBm
N
th
Thermal current 10
-12
A
2
Hz
-1
N
sh
Shot noise 3.128000000*10
-50
A
2
Hz
-1
T Time duration of each Data bit 350 ps
T
c
Time Duration of each sequence bit 50 ps
N Code length 7
D Chromatic Dispersion Coefficient 17 ps/nm-km [63]
Table 4.1 - Simulation Parameters in Optical CDMA Systems
75
4.3 MATLAB PROGRAM
%MATLAB CODE FOR BER v/s RECEIVED OPTICAL POWER (Prdbm)%
T = 350*10^-12
Tc = 50*10^-12
N = 7
K = [2:2:16]
R = 0 .85
No = 10^-21
Pi = 3.14
h = 1550*10^-9
bc = 10*10^9
c = 3*10^8
L = 245050
D = 17*10^-6
I = sqrt(-1)
t = 1
l= 0
gamma = h^2*D*bc^2*L/pi*c
Prdbm=[-20:2:-8];
for k=1:7
Pr (k)=10^(Prdbm(k)/10)*10^-3
end
S(t-l*Tc) = exp(-I*(pi*c*(t-l*Tc)^2/(h^2*D*bc^2*L*Tc^2)-
(1/4)*Pi*sign(h^2*D*bc^2*L/pi*c)))*sinc((t-
l*Tc)*c/(h^2*D*bc^2*L*Tc))/sqrt(h^2*D*bc^2*L/c)
76
U = 3.686224489*10^7*S(t-l*Tc)^2
a1 = U*2/21
a2 = U*6/21
a3 = U*10/21
a4 = U*14/21
a5 = U*18/21
a6 = U*22/21
a7 = U*26/21
SNR1 = U/a1+No
SNR2 = U/a2+No
SNR3 = U/a3+No
SNR4 = U/a4+No
SNR5 = U/a5+No
SNR6 = U/a6+No
SNR7 = U/a7+No
SNR1 = 10.5000 - 0.0000i
SNR2 = 3.5000
SNR3 = 2.1000
SNR4 = 1.5000
SNR5 = 1.1667 + 0.0000i
SNR6 = 0.9545 - 0.0000i
SNR7 = 0.8077 + 0.0000i
BER1 = 0.03685921271
BER2 = 0.0307
BER3 = 0.0736
BER4 = 0.1103
BER5 = 0.1400
77
BER6 = 0.1643
BER7 = 0.1844
semilogy(Prdbm,BER1,'r+')
hold on
semilogy(Prdbm,BER2,'go')
hold on
semilogy(Prdbm,BER3,'k^')
hold on
semilogy(Prdbm,BER4,'cp')
hold on
semilogy(Prdbm,BER5,'m<')
hold on
semilogy(Prdbm,BER6,'b*')
hold on
semilogy(Prdbm,BER7,'bx')
grid on
legend('User =2','User=4','User=6','User=8','User=10','User=12','User=14')
xlabel('Received Optical Power (dBm)')
ylabel('Bit Error Rate (BER)')
78
%MATLAB CODE FOR SNR v/s RECEIVED OPTICAL POWER (Prdbm)%
T = 350*10^-12
Tc = 50*10^-12
N = 7
K = [2:2:16]
R = 0 .85
No = 10^-21
Pi= 3.14
h = 1550*10^-9
bc = 10*10^9
c = 3*10^8
L = 245050
D = 17*10^-6
I = sqrt(-1)
t = 1
l= 0
gamma = h^2*D*bc^2*L/pi*c
Prdbm=[-20:2:-8];
for k=1:7
Pr(k)=10^(Prdbm(k)/10)*10^-3
end
S(t-l*Tc) = exp(-I*(pi*c*(t-l*Tc)^2/(h^2*D*bc^2*L*Tc^2)-
(1/4)*Pi*sign(h^2*D*bc^2*L/pi*c)))*sinc((t-
l*Tc)*c/(h^2*D*bc^2*L*Tc))/sqrt(h^2*D*bc^2*L/c)
79
U = 3.686224489*10^7*S(t-l*Tc)^2
a1 = U*2/21
a2 = U*6/21
a3 = U*10/21
a4 = U*14/21
a5 = U*18/21
a6 = U*22/21
a7 = U*26/21
SNR1 = U/a1+No
SNR2 = U/a2+No
SNR3 = U/a3+No
SNR4 = U/a4+No
SNR5 = U/a5+No
SNR6 = U/a6+No
SNR7 = U/a7+No
SNR1 = 10.5000 - 0.0000i
SNR2 = 3.5000
SNR3 = 2.1000
SNR4 = 1.5000
SNR5 = 1.1667 + 0.0000i
SNR6 = 0.9545 - 0.0000i
SNR7 = 0.8077 + 0.0000i
BER1 = 0.03685921271
BER2 = 0.0307
BER3 = 0.0736
BER4 = 0.1103
80
BER5 = 0.1400
BER6 = 0.1643
BER7 = 0.1844
plot(Prdbm,SNR1,'r+')
hold on
plot(Prdbm,SNR2,'go')
hold on
plot(Prdbm,SNR3,'k^')
hold on
plot(Prdbm,SNR4,'cp')
hold on
plot(Prdbm,SNR5,'m<')
hold on
plot(Prdbm,SNR6,'b*')
hold on
plot(Prdbm,SNR7,'bx')
grid on
legend('User =2','User=4','User=6','User=8','User=10','User=12','User=14')
xlabel('Received Optical Power (dBm)')
ylabel('SNR')
81
CHAPTER 5
SIMULATION RESULTS
5.1 RESULTS
Figure 5.1 presents the system performance for BER versus received optical power (ROP) for 2
and 4 users, respectively. We observe that the BER decreases slightly when ROP is increased.
For instance, in case of 10
-1.48
BER, the ROP equals -20 dBm for 2 users and -18 dBm for 4
users.
Fig. 5.1 BER vs. ROP performance for 2/4users
-20 -18 -16 -14 -12 -10 -8
10
-1.51
10
-1.5
10
-1.49
10
-1.48
10
-1.47
10
-1.46
10
-1.45
10
-1.44
Received Optical Power (dBm)
B
i
t
E
r
r
o
r
R
a
t
e
(
B
E
R
)
User =2
User=4
82
Figure 5.2 presents the system performance for BER versus received optical power (ROP) for 6,
8 and 10 users, respectively. For instance, in case of 10
-1
BER, the ROP equals -16 dBm for 6
users, -14 dBm for 8 users and -12 dBm for 10 users.
Fig. 5.2 BER vs. ROP performance for 6/8/10 users
Figure 5.3 illustrates the BER performance versus ROP for up to 14 users. We observe again
that BER decreases when the ROP and the number of users is increased. For instance, when we
consider a 10
-5
BER, the ROP is -10 dBm for 12 users while for 14 users, this becomes -8 dBm.
-20 -18 -16 -14 -12 -10 -8
10
-2
10
-1
10
0
Received Optical Power (dBm)
B
i
t
E
r
r
o
r
R
a
t
e
(
B
E
R
)
User=6
User=8
User=10
83
Fig. 5.3 BER vs. ROP performance for 2/4/6/8/10/12/14 users
The signal to noise ratio effects the receiver section of OCDMA network. It is found that the
performance is improved when the signal to noise ratio is increased. Figure 5.4 illustrates the
SNR performance versus ROP of the OCDMA transmission with 2 and 4 users respectively.
For instance, when the SNR is 9 dB, the ROP is -18 dBm for 2 users and it is equal to -16 dBm
in case of 4 users.
84
Fig. 5.4 SNR vs. ROP performance for 2/4 users
Figure 5.5 shows the SNR versus ROP for 6, 8 and 10 users, respectively. For instance, when
the SNR is 10 dB, the ROP is -15, -12 and -10 dBm for 6, 8 and 10 users, respectively.
-20 -18 -16 -14 -12 -10 -8
3
4
5
6
7
8
9
10
11
Received Optical Power (dBm)
S
N
R
User =2
User=4
85
Fig. 5.5 SNR vs. ROP performance for 6/8/10 users
Figure 5.6 presents the SNR versus ROP of the OCDMA system for up to 14users. For instance,
it is observed, that when the SNR is 12 dB, the ROP is -12 and -10 dBm for 12 and 14 users,
respectively.
-20 -18 -16 -14 -12 -10 -8
1.1
1.2
1.3
1.4
1.5
1.6
1.7
1.8
1.9
2
2.1
Received Optical Power (dBm)
S
N
R
User=6
User=8
User=10
86
Fig. 5.6 SNR vs. ROP performance for 2/4/6/8/10/12/14 users
We observed that, in order to maintain a better performance of the OCDMA system we need
also to reduce the index of the chromatic dispersion of the optical fiber.
-20 -18 -16 -14 -12 -10 -8
0
2
4
6
8
10
12
Received Optical Power (dBm)
S
N
R
User =2
User=4
User=6
User=8
User=10
User=12
User=14
87
CHAPTER 6
CONCLUSION AND FUTURE SCOPE
6.1 CONCLUSION
The first objective of this dissertation is to analyze the performance factors of an Optical
CDMA system. In this dissertation we observe the effects of dispersion of optical fiber and
multiple access interference noise on the OCDMA systems. The bit error rate, signal to noise
ratio and received optical power is simulated according to system analysis. In the bit error rate
performance curve the error is decreased when the number of subscriber is increased side by
side the optical power is reduced when the users is added. Here for the user sequence is the m
sequence. In the case of SNR it is found that the system performance is improved with raising
the level of signal to noise ratio. These scenarios play a role to reduce the dispersion for the
OCDMA system for manufacture ring.
Optical transmission systems have to meet the rapid increase in the demand of data bandwidth
and spectral efficiency. The signal to noise ratio effects the receiver section of OCDMA
network. It is found that the performance is improved when the signal to noise ratio is
increased. It has been observed that cardinality of 2-D codes is high than 1-D codes. The BER
increases as the number of active users increases. The BER further increases with the increase
in number of transmitting users when two codes are used at receiver end. It has been shown that
MAI is the dominant source of BER. It has been also shown that attenuation causes the decrease
in received signal power with increase in optical fiber length. Hence, it is concluded that MAI
leads to graceful degradation in the system performance when number of simultaneously active
users increases at transmitter side as well as receiver side.
88
6.2 FUTURE SCOPE
According to our OCDMA system analysis, the performance of BER is evaluated at an
operating wavelength of 1550 nm, a chip rate of 10*10
9
chip/s and for different numbers of
users. We also considered the shot and the thermal noise with MAI. We observed that a higher
power of the optical transmitter is required in order to maintain a 10
-9
BER for increasing
number of users.
We noticed also that when the fiber length is decreased, the index of chromatic dispersion of the
optical fiber increases. In addition, BER performance degrades due to dispersion effects in the
OCDMA system. The BER be reduced by adding the chips while the effect of the chromatic
dispersion is reduced by sinking the power of the optical transmitter. It is further observed that
in order to advance development of OCDMA networks, optimum transmitting power is needed,
due to the sensitivity of the optical receiver.
As future work, we suggest a performance analysis of the effect of chromatic dispersion of
OCDMA networks for different number of system users while varying the signature sequence
code of the Pseudo Random Number Generator (PRNG), for the gold and m-chip sequence. In
this project, the work is limited to 1-D and 2-D codes. The 3-D codes have not been considered.
The present work can be enhanced fro 3-D codes. Moreover, multiple access interference is the
main limiting factor of the OCDMA systems. It increases with the increase in number of users.
Further work can be done to reduce MAI. The OCDMA system can be applied to next
generation networks like FTTH. So passive and active access networks can be studied further.
89
References
[1] T. H. Maiman, Stimulated optical radiation in ruby. London: Nature, 1987.
[2] G.A. Hockham K.C.Kao, "Dielectric fibre surface waveguides for optical frequencies,"
Proc. IEE, pp. 1151-1158, 1966.
[3] Hideyuki Sotobayashi, Wataru Chujo, Ken-ichi Kitayama: Transparent Virtual Optical
Code/Wavelength Path Network. IEEE Journal of Selected Topics in Quantum
Electronics, Vol.8, No.3, May/June 2002, pp699 -704.
[4] Ken-ichi Kitayama: Code Division Multiplexing Lightwave Networks Based upon
Optical Code Conversion. IEEE Journal on Selected Areas in Communications, Vol.16,
No.7, Sept. 1998, pp1309 -1319.
[5] John M. Senior: Optical fibre communications principles and practice - Third Edition,
Practice Hall, 2009.
[6] Govind P. Agarwal: Fiber-Optic Communications Systems- Third Edition, John Wiley
& Sons, Inc., 2002.
[7] Camille-Sophie Brs, Ivan Glesk, Robert J. Runser, Paul R. Prucnal: All-Optical
OCDMA Code-Drop Unit for Transparent Ring Networks. IEEE Photonics Technology
Letters,Vol. 17, No.5, May 2005, pp1088- 1090.
[8] R. Dixon, Spread Spectrum Systems. New York: Wiley Inter science.
[9] R. Dixon, "Why Spread Spectrum," IEEE Commun. Soc. Mag, vol. 13, no. 21, 1975.
[10] D. Schilling and L.Milstein R.Pickholtz, "The Spread Spectrum concept," IEEE Trans.
Commun, vol. 25, no. 8, p. 748, 1997.
[11] P.C. Teh, "Applications of superstructure fibre Bragg gratings for optical code division
multiple access and packet switched networks.," in Doctoral Thesis. University of
Southampton: Optoelectronic Research Centre, 2003, p. 230.
[13] Prucnal, P. R., Santoro, M. A., Fan, T. R.: Spread Spectrum Fiber-optic Local Area
Network Using Optical Processing. IEEE/OSA Journal of Lightwave Technology,
Vol.4,No.5, May 1986, pp547 554
[14] Prucnal, R. P, Santoro, M. A., Sehgal, S. K.: Ultrafast All-Optical Synchronous Multiple
Access Fiber Networks. IEEE Journal on Selected Areas in Communications, Vol.4, No.
9,1986, pp1484 1494
90
[15] Weiner, A. M., Heritage, J. P. and Salehi, J. A.: Encoding and decoding of femtosecond
pulse. Optics Letters, Vol.13, No.4, May 1988, pp 300- 302.
[16] G. Eisenstein, R. S. Tucker, and S. K. Korotky, Optical time-division multiplexing
for very high bit-rate transmission. J. Lightw. Technol.,1988. vol. 6(no. 11): p. 1737
1749.
[17] M. Azizoghlu, J. A. Salehi, and Y. Li, Optical CDMA via temporal codes. IEEE Trans
on Comm., 1992. vol. 40(no. 8): p. 1162-1170.
[18] Michael J. OMahony, Christina Politi, Dimitrios Klonidis, Reza Nejabati and Dimitra
Simeonidou, Future Optical Networks Journal of Light wave Technology, Vol.24,
No.12, December 2006.
[19] K. Iversen, and D. Hampicke, Comparison and classification of all-optical CDMA
systems for future telecommunication networks, SPIE Proc., vol.2614, pp. 110-121,
October 1995.
[20] Hiroyuki Yashima and Toshihiro Kobayashi, Optical CDMA With Time Hopping and
Power Control for Multimedia Networks, Journal of lightwave technology, vol. 21, no.
3, march 2003, pp. 695-702.
[21] F. Han, J. Nuutinen, Analysis of Spurious Spectrum due to RF' Bursting Signals in
TDMA-based Wireless Communications Systems, ieee, vol., no., 1998, pp. 393-398.
[22] Paul R. Prucnal, Mario A. Santoro, Ting Rui Fan, Spread Spectrum Fiberoptic Local
Area Network Using Optical Processing, Journal of lightwave technology, vol. 4, no. 5,
may 1986, pp. 547-554.
[23] Tung-Wah Frederick Chang and Edward H. Sargent, Spectral Efficiency Limit of
Bipolar Signaling in Incoherent Optical CDMA Systems, ieee, vol., no., 2001, pp.
1484-1486.
[24] Tung-Wah Frederick Chang and Edward H. Sargent, Optical CDMA Using 2-D Codes:
The Optimal Single-User Detector, ieee communication letters, vol.5, no.4, April 2001
pp.169-171.
[25] Tung-Wah Frederick Chang, Edward H. Sargent, Optimizing Spectral Efficiency in
Multi wavelength Optical CDMA System, ieee transaction on communications, vol. 51,
no. 9, September 2003, pp. 1442-1445.
[26] Camille-Sophie Brs, Ivan Glesk, Robert J. Runser, and Paul R. Prucnal, All- Optical
OCDMA Code-Drop Unit for Transparent Ring Networks, ieee photonics technology
letters, vol. 17, no. 5, may 2005, pp.1088- 1090.
91
[27] J. A. Salehi, Code Division Multiple-Access Techniques Optical Fiber Networks-Part
I: Fundamental Principles, ieee transaction on communications, vol. 37, no. 8, august
1989, pp. 824-833.
[28] David W. Matolak Beibei Wang, Efficient Statistical Parallel Interference Cancellation
for DS-CDMA in Rayleigh Fading Channels, ieee transaction on wireless
communications, vol. 6, no. 2, feb. 2007 pp.566-574.
[29] J. A. Salehi, Code Division Multiple-Access Techniques in Optical Fiber Networks-
Part 11: Systems Performance Analysis, ieee transaction on communications, vol. 31,
no. 8, august 1989, pp. 834-842.
[30] Chao-Chin Yang, Jen Fa Huang, and Teng-Chun Hsu, Differentiated Service Provision
in Optical CDMA Network Using Power Control, ieee photonics technology letters,
vol. 20, no. 20, october 15, 2008, pp. 1664-1666.
[31] Istvan Frigyes, cdma in optics, ieee international symposium on spread spectrum
techniques and applications, 2006, pp. 452-457.
[32] Chia-Hao Tsai, Tzu-Yi Liao, Cheng-Yuan Chang, Guu-Chang Yang, and Wing C.
Kwong, Design of Two-Dimensional Wavelength-Time Codes for Fiber-Optic CDMA
Systems, proceedings, ieee icc, 2009, pp.??
[33] Babak M. Ghaffari, Mehdi D. Matinfar, and Jawad A. Salehi, Wireless Optical CDMA
LAN: Digital Design Concepts, ieee transaction on communications, vol. 56, no. 12,
december 2008, pp. 2145- 2155.
[34] Mohsen Razavi, and Jawad A. Salehi, Temporal/Spatial Fiber-Optic CDMA Systems
with Post- and Pre-Optical Amplification, ieee transaction on communications, vol. 50,
no. 10, october 2002, pp. 1688-1695.
[35] L. Tan Cevski, I. Andonovic, M. Tur, J. Budin, Hybrid wavelength hopping/time
spreading code division multilple access systems, iee proc. Optoelectron, vol. 143, no.
3, june 1996, pp. 161-166.
[36] Tung-Wah Frederick Chang, Edward H. Sargent, Optimizing Spectral Efficiency in
Multiwavelength Optical CDMA System, ieee transaction on communications vol, no.
9, september 2003, pp. 1442- 1445.
[37] Seong-sik Mill, incl Youg hyub Won, Upper-Dourids on Bit Error Rate of OCDMA
Systems Using the Time Spreading Wavelength Hopping Codes, ieee pp. 814-815.
[38] S.A. Aljunid, S. Zarihan, M.S. Anuar 1, M.N. Junital, A. Norsuhaidal, M.D.A.Samad2
and M.K.Abdullah2, Improving Bit Error Rate of OCDMA Systems Using AND
Subtraction Techniqe conference proceeding, sep, 2006 pp. 334-337.
92
[39] N. R. Newbury, P. A. Williams, W. C. Swann, Long Distance Optical Frequency
Transfer over Fiber: predicting the frequency stability from the fiber noise, pp. 725-
728.
[40] Tamer Khattab, Maged Elkashlan, and Hussein Alnuweiri, A New Simple Method for
Calculating the Bit Error Rate of OCDMA Systems, ieee transaction on
communications, 2007, pp. 673- 677.
[41] Alper Demir, Noise Analysis for Optical Fiber Communication Systems, ICCAD'O3.
November ,2003, San lose, California, USA. pp. 441- 445.
[42] Elwyn D. J. Smith, Richard J. Blaikie Desmond P. Taylor, performance Enhancement
of Spectral Amplitude-Coding Optical CDMA Using Pulse-Position Modulation, ieee
transaction on communications, vol. 46, no.9, September 1998 pp. 1176-118.
[43] Martin Rochette, Simon Ayotte, Student, Leslie A. Rusch, Analysis of the Spectral
Efficiency of Frequency-Encoded OCDMA Systems With Incoherent Sources, journal
of lightwave technology, vol. 23, no. 4 april 2005, pp. 1610- 1619.
[44] Jawad A, Salehi, Code Division Multiple-Access Techniques in Optical Fiber
Networks-Part I: Fundamental Principles, ieee transaction on communications, vol. 37,
no. 8, august 1989, pp. 824 833.
[45] Wenhua Ma, Chao Zuo, Hongtu Pu, Jintong Lin, Performance Analysis on Phase-
Encoded OCDMA Communication System technology, journal of lightwave
technology, vol. 20, no. 5, may 2002, pp. 798-802.
[46] Habib Fathallah, Leslie A. Rusch, Member, Sophie LaRochelle, Passive Optical Fast
Frequency-Hop CDMA Communications System, journal of lightwave technology,
vol. 17, no. 3, march 1999 pp. 397 405.
[47] L. Tancevski and I. Andonovic, Wavelength hoppingltime spreading code division
multiple access systems, electronics letters, august 1994 Vol. 30 No. 17, pp. 1388-
1390.
[48] S. P. Majumder, Afreen Azhari, and F. M. Abbou, Impact of Fiber Chromatic
Dispersion on the BER Performance of an Optical CDMA IM/DD Transmission
System, IEEE Photonics Technology Letters, Vol. 17, No. 6, June 2005, pp. 1340-
1342.
[49] Gerd Keiser, Optical Fiber Communications. Second Edition, Mcgraw-Hill
Publications, 1991.
[50] P. Kamath, J. D. Touch, and J. A. Bannister, Algorithms for Interference Sensing in
Optical CDMA Networks, IEEE International Conference on Communications (ICC)
3, pp: 1720-1724, 2004.
93
[51] P. R. Prucnal, et. al.: Optical code division multiple access: fundamentals and
application. CRC Press, Taylor & Francis Group, 2006, pp 55.
[52] Cedric F. Lam, Dennis T. K. Tong, Ming C. Wu, Eli Yablonovitch: Experimental
Demonstration of Bipolar Optical CDMA System using a Balanced Transmitter and
Complementary Spectral Encoding, IEEE Photonics Technology Letters, Vol.10, No.
10, 1998, pp 1504-1506.
[53] F.R.K. Chung, J.A. Salehi, and V.K. Wei, Optical orthogonal codes: Design, analysis,
and applications, IEEE Transactions on Information Theory, vol.35, no. 3, pp. 593-
604, May 1989.
[54] Camille-Sophie Bres, Ivan Glesk, Robert J. Runser, and Paul R. Prucnal, All-Optical
OCDMA Code-Drop Unit for Transparent Ring Networks, ieee photonics technology
letters, vol. 17, no. 5, may 2005, pp.1088- 1090.
[55] Mohsen Razavi, and Jawad A. Salehi, Temporal/Spatial Fiber-Optic CDMA Systems
with Post and Pre Optical Amplification, ieee transaction on communications, vol. 50,
no. 10, october 2002, pp. 1688-1695.
[56] Bong Kyu Kim, Sangjo Park, Younghee Yeon, and Byoung Whi Kim, Radio-Over-
Fiber System Using Fiber-Grating-Based Optical CDMA With Modified PN Codes,
ieee photonics technology letters, vol. 15, no. 10, october 2003, pp. 1885- 1887.
[57] N. R. Newbury, P. A. Williams, W. C. Swann, Long Distance Optical Frequency
Transfer over Fiber: predicting the frequency stability from the fiber noise, pp.725-
728.
[58] C. H. Chua, F. M. Abbou, H. T. Chuah, and S. P. Majumder, Performance analysis on
phase-encoded OCDMA communication system in dispersive fiber medium, IEEE
Photon. Technol. Lett., vol. 16, no. 2, pp. 668670, Feb. 2002.
[59] S.P. Majumder and Md. Forkan Uddin, The effect of four wave mixing on bit error rate
performance of a direct sequence optical code division multiple access system, 2005
Asia-Pacific Conference on Communications, Perth, Western Australia, 3-5 October
2005.
[60] E. Forestieri and G. Prati, Novel optical line codes tolerant to fiber chromatic
dispersion, J. Lightw. Technol., vol. 19, no. 11, pp. 16751684, Nov. 2001.
[61] S. P. Majumder, Afreen Azhari, Performance Limitations of an Optical CDMA System
Impaired by Fiber Chromatic Dispersion, 0-7803-8783-X/O4/$20.00 0 2004 IEEE.
94
[62] T. OFarrell and S. I. Lochmann, Switched correlator receiver architecture for optical
CDMA networks with Bipolar capacity, Electron. Lett., vol. 31, pp. 905906, May
1995.
[63] ITU-T G.652, Characteristics of a single-mode optical fibre and cable 11/2009.
Buy your books fast and straightforward online - at one of worlds
fastest growing online book stores! Environmentally sound due to
Print-on-Demand technologies.
Buy your books online at
www.get-morebooks.com
Kaufen Sie Ihre Bcher schnell und unkompliziert online auf einer
der am schnellsten wachsenden Buchhandelsplattformen weltweit!
Dank Print-On-Demand umwelt- und ressourcenschonend produzi-
ert.
Bcher schneller online kaufen
www.morebooks.de
VDM Verlagsservicegesellschaft mbH
Heinrich-Bcking-Str. 6-8 Telefon: +49 681 3720 174 info@vdm-vsg.de
D - 66121 Saarbrcken Telefax: +49 681 3720 1749 www.vdm-vsg.de

Vous aimerez peut-être aussi