Vous êtes sur la page 1sur 46

UNIVERSIDAD NACIONAL AUTONOMA

DE MEXICO
FACULTAD DE ESTUDIOS SUPERIORES
CUAUTITLAN

DEPARTAMENTO DE INGENIERIA

SECCIN ELECTRNICA


PRCTICAS DE LABORATORIO DE
SISTEMAS DIGITALES


ASIGNATURA: SISTEMAS DIGITALES

CLAVE DE LA CARRERA: 130 CLAVE DE LA ASIGNATURA: 1525














Fecha de elaboracin: Junio 2013
Autor: Ing. Jos Luis Rivera Lpez


Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I ii
Objetivos de la asignatura:

Al finalizar el curso el alumno ser capaz de comprender y analizar sistemas electrnicos digitales
combinacionales y secuenciales, as mismo ser capaz de disear e implementar circuitos electrnicos digitales
para resolver problemas prcticos.

Objetivos del laboratorio:

Integrar al alumno en el manejo de herramientas y equipos utilizados en el laboratorio de Sistemas Digitales.
Analizar y comprender el funcionamiento de los circuitos electrnicos digitales (TTL) y aplicaciones de circuitos
con diseos prcticos.
Con esto se espera que el alumno adquiera las habilidades y las competencias necesarias para el anlisis, diseo e
implementacin de circuitos electrnicos digitales.

Introduccin:

En el laboratorio de Sistemas Digitales los alumnos debern de realizar la comprobacin de los conceptos bsicos
tericos mas relevantes utilizadas dentro de la electrnica digital, aprendiendo con ello a desarrollar las
competencias y habilidades para el anlisis, diseo, investigacin, consulta de manuales, implementacin de
circuitos digitales, etc.
En un mundo totalmente analgico aun cuando la mayora de los procesamientos de seales ya se realiza en forma
digital, la generacin de seales en el mundo real sigue siendo analgica, tales como voz, datos, etc., es por ello
que siempre sern necesarios los circuitos electrnicos digitales.
El alumno deber de leer las prcticas antes de llegar a su sesin de prctica de laboratorio ya que ste deber de
definir en algunas prcticas el material y el equipo necesario para el diseo y desarrollo de dichas prcticas de
laboratorio, es por ello que tiene que consultar las Hojas de Datos Tcnicos (mostradas al final de este manual el
cual contiene unicamente las compuertas lgicas bsicas), realizar investigaciones en la Red (internet) y/o en
los manuales de los fabricantes de circuitos integrados TTL para definir los circuitos que se piden en cada una
de las prcticas de laboratorio y checar la configuracin interna de cada uno de los circuitos.
Las Actividades Previas a la Prcticaen cada una de las sesioinbes de prcticas de laboratorio, debern de
entregarse al profesor antes de iniciar dicha sesin.

Instrucciones para la elaboracin del reporte:

Los reportes debern de tener la portada que se indica a continuacin

UNIVERSIDAD NACIONAL AUTONOMA DE MEXICO

FACULTAD DE ESTUDIOS SUPERIORES CUAUTITLAN


Laboratorio de: _________________________________________________ Grupo: ____________

Profesor: ____________________________________________________________________________

Alumno: ____________________________________________________________________________

Nombre de la prctica: _____________________________________________ No. de prctica: _____

Fecha de realizacin: __________________ Fecha de entrega: ________________ Semestre: _______

Para el desarrollo del reporte deber basarse en la siguiente metodologa: Nombre de la prctica,
Objetivo, Introduccin, Material, Equipo, Procedimiento experimental, Cuestionario, Conclusiones y
Bibliografa.

Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I iii
Reglamento del Laboratorio

1. Dentro del laboratorio queda estrictamente prohibido.
a. Correr, jugar, gritar o hacer cualquier otra clase de desorden.
b. Dejar basura en las mesas de trabajo y/o pisos.
c. Sentarse sobre las mesas
d. Fumar
e. Introducir alimentos y/o bebidas.
f. Introducir cualquier objeto ajeno a las prcticas de laboratorio, tales como: televisiones, equipos de sonido (aun
con audfonos) excepto algn equipo para realizar las prcticas
g. La presencia de personas ajenas en los horarios de laboratorio.
h. Dejar los bancos en desorden.
i. Mover equipos o quitar accesorios de una mesa de trabajo a otra sin el consentimiento previo del profesor de
laboratorio en turno.
j. Usar o manipular el equipo sin el conocimiento previo del profesor.
k. Rayar las mesas del laboratorio.
l. Energizar algn circuito sin antes verificar que las conexiones sean las correctas (polaridad de las fuentes de
voltaje, multmetros, etc.).
m. Hacer cambios en las conexiones o desconectar equipo estando est energizado.
n. Hacer trabajos pesados (taladrar, martillar, etc.) en las mesas de las prcticas, para ello se cuenta con mesas
especiales para este tipo de trabajos.

2. Verifique las caractersticas de los dispositivos electrnicos con el manual o pregunte a su profesor de laboratorio.

3. Es responsabilidad del usuario revisar las condiciones del equipo del laboratorio al inicio de cada prctica y reportar
cualquier anomala que pudiera existir (prendido, daado, sin funcionar, maltratado, etc.) al profesor del laboratorio
correspondiente.

4. Es requisito indispensable para la realizacin de las prcticas, que el alumno cuente con su manual completo y
actualizado al semestre en curso, las cuales podrn obtener en:
http://olimpia.cuautitlan2.unam.mx/pagina_ingenieria.

5. El alumno deber traer su circuito armado para poder realizar la prctica, de no ser as no podr realizar
dicha prctica (donde aplique) y tendr una evaluacin de cero.

6. Quien requiera hacer uso de las instalaciones de laboratorio para desarrollar trabajos, prcticas o proyectos, es
requisito indispensable que est presente el profesor responsable atendiendo a los alumnos, en caso contrario no
podrn hacer uso de dichas instalaciones.

7. Correo electrnico del buzn para quejas y sugerencias para cualquier asunto relacionado con los Laboratorios de
Electrnica. (electronica@fesc.cuautitlan2.unam.mx)

8. La evaluacin del laboratorio, ser en base a lo siguiente:

A - (Acreditado); Cuando el promedio total de todas las prcticas de laboratorio sea mayor o igual a 6
siempre y cuando tengan el 90% de prcticas acreditadas en base a los criterios de evaluacin.
NA - (No Acreditado); No se cumpli con los requisitos mnimos establecidos en el punto anterior.
NP - (Nunca se present); con o sin asistencia pero que no haya entregado reporte alguno.

9. Los casos no previstos en el presente reglamento sern resueltos por el J efe de la Seccin.

NOTA: En caso de incurrir en faltas a las disposiciones anteriores, el alumno ser acreedor a las siguientes sanciones por
parte del profesor de laboratorio segn sea el caso y la gravedad.

Baja temporal del grupo de laboratorio al que est inscrito.
Baja definitiva del grupo de laboratorio al que est inscrito.
Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I iv


Indice de Prcticas


Prctica No. 1. Compuertas Lgicas Bsicas .. 1
(Tema 2 de la Asignatura)

Prctica No.2. Comparador Lgico . 4
(Tema 2 de la Asignatura)

Prctica No. 3. Reduccin de Funciones . 8
(Tema 3 de la Asignatura)

Prctica No.4 Circuitos Sumador y Restador Binario . 11
(Tema 5 de la Asignatura)

Prctica No.5. Multiplexor y Demultiplexor .. 14
(Tema 5 de la Asignatura)

Prctica No.6. Decodificadores . 18
(Tema 5 de la Asignatura)

Prctica No.7. Biestables 21
(Tema 6 de la Asignatura)

Practica No. 8. Registros de Corrimiento ... 24
(Tema 6 de la Asignatura)

Pracitca No.9. Circuitos Contador Asincronos .. 28
(Tema 6 de la Asignatura)

Prctica No. 10 Circuitos Contador Sincronos .. 31
(Tema 6 de la Asignatura)

Prctica No. 11 Memorias .... 35
(Tema 7 de la Asignatura)

Hojas de Datos Tcnicos. .... 39

Bibliografia ... 42




Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 1
PRCTICA 1: COMPUESTAS LOGICAS BSICAS

OBJETIVO:

Comprobar el funcionamiento de las compuertas lgicas bsicas de la familia lgica de la electrnica digital (TTL) a
partir de sus tablas de funcionamiento.

INTRODUCCIN:

La electrnica digital ha alcanzado una gran difusin debido a que es relativamente sencillo y barato construir sistemas
digitales. La electrnica digital es aplicada en extensas reas de la actividad humana tales como: instrumentos de
medicin, computacin, calculadoras, aparatos de entretenimiento, equipo biomdico, telecomunicaciones, etc.

En la presente prctica se ver el anlisis de los dispositivos bsicos de la electrnica digital que son las compuertas
lgicas: AND, NAND, OR, NOR, NOT, OR EXCLUSIVA y NOR EXCLUSIVA.

La tabla de verdad es el elemento que nos define el funcionamiento exacto de la compuerta, y se obtendr con la ayuda
del LED como indicadores lgicos de la entrada y la salida de cada compuerta.

Cuando el LED encienda indicar 1 lgico (V
CC
) mientras que cuando el LED permanezca apagado indicar un 0
lgico o tierra (GND). Los diodos emisores de luz estarn conectados en serie con una resistencia, con el fin de limitar
la corriente que circula a travs de ellos.

ACTIVIDADES PREVIAS A LA PRCTICA:

1. Simular los circuitos de las figuras 1.1 al 1.8.
2. Mediante el lgebra booleana obtenga las funciones resultantes de los circuitos de las funciones 1.7 y 1.8.
3. El alumno deber traer los circuitos armados al entrar al laboratorio

EQUIPO:

Fuente de voltaje de C.D.

MATERIAL:

Alambre telefnico para conexin 1 Circuito integrado 7400
1 Tableta de conexiones 1 Circuito integrado 7402
1 Pinza de punta 1 Circuito integrado 7404
1 Pinza de corte 1 Circuito integrado 7408
4 J uegos de caimn caimn 1 Circuito integrado 7432
4 J uegos de caimn banana 1 Circuito integrado 7486
3 Resistencias de 330 a watt.
3 Diodos emisores de luz (LEDs)


PROCEDIMIENTO EXPERIMENTAL:

1) Cuidando la correcta polarizacin de los circuitos integrados (ver apndice) y considerando los estados lgicos de
las tablas que se muestra a continuacin, llene las tablas de verdad para los circuitos de las figuras de la 1.1 al 1.8.
Nota: Para el circuito de la figura 1.1, deje las dos entradas desconectadas (al aire) y observe qu pasa con
el led de salida.





Estados Lgicos
0V =0 Lgico
5V =1 Lgico

Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 2


Figura 1.1 Tabla 1.1




Figura 1.2 Tabla 1.2



Figura 1.3 Tabla 1.3




Figura 1.4 Tabla 1.4




Figura 1.5 Tabla 1.5





Figura 1.6 Tabla 1.6
A B F
0 0
0 1
1 0
1 1
A B F
0 0
0 1
1 0
1 1

A B F
0 0
0 1
1 0
1 1

A B F
0 0
0 1
1 0
1 1

A B F
0 0
0 1
1 0
1 1

A F
0
1

Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 3

Figura 1.7 Tabla 1.7



















Figura 1.8 Tabla 1.8


CUESTIONARIO

1. Obtenga la expresin booleana de cada uno de los circuitos armados en la prctica a partir de los resultados
obtenidos en las tablas.
2. Del circuito de la figura 1.1 a que estado lgico corresponde un datode entrada desconectada o al aire.

3. De las tablas de verdad 1.7 y 1.8, a que compuerta lgica corresponde cada arreglo de compuertas.

4. Obtenga la tabla de verdad para los siguientes circuitos y diga a que compuerta lgica corresponde segn las
tablas de verdad.



5. De acuerdo a los circuitos b y d del inciso anterior, diga qu funcin realiza una compuerta NOR y NAND con las
terminales cortocircuitadas.

CONCLUSIONES:

BIBLIOGRAFIA:
A B F
0 0
0 1
1 0
1 1
A B F
0 0
0 1
1 0
1 1
Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 4
PRCTICA 2: COMPARADORES LOGICOS


OBJETIVO:
Introducir al alumno en el conocimiento y utilizacin de los circuitos integrados de la familia digital TTL,
mediante la combinacin de compuertas lgicas de dicha familia.

INTRODUCCION:
En la actualidad, prevalecen bsicamente algunas familias de circuitos integrados digitales. A saber:
- RTL (Lgica resistortransistor)
- DTL (Lgica diodotransistor)
- TTL (Lgica transistor-transistor)
- ECL (Lgica acoplo-emisor)
- IIL (Lgica de inyeccin integrada)
- HIL (Lgica de alto umbral)
- MOS (Lgica metal-oxido-semiconductor)
- CMOS (Lgica metal-oxido-semiconductor complementaria)
- Etc.
Las diversas familias tienen diferente aplicacin, segn su velocidad, potencia, inmunidad al ruido, consumo
de energa, grado de integracin y costo, principalmente. Cabe destacar entre los circuitos integrados
digitales a la familia TTL, puesto que es el ms verstil y de bajo costo por lo que ser la familia lgica que
generalmente se emplear en este curso de laboratorio.
La compuerta bsica ms verstil de la familia TTL es la NAND, a partir de la cual se harn varios
arreglos para realizar diversas funciones lgicas tiles. La utilizacin de compuertas NAND de dos entradas
(circuito integrado 7400) para suplantar otras compuertas puede tener, en algunas aplicaciones, ciertas
ventajas.


ACTIVIDADES PREVIAS A LA PRCTICA:

1. Simular los circuitos de las figuras 2.1, 2.2 y el diseo que se pide.
2. Mediante el lgebra booleana obtenga las funciones resultantes de los circuitos de las funciones 2.1 y 2.2.
2. Investigar cmo funciona un circuito de colector abierto y de tercer estado.
3. El alumno deber traer los circuito armado al entrar al laboratorio.



EQUIPO:
1 Fuente de voltaje de C.D.
MATERIAL:

1 Tableta de conexiones 3 Diodos emisores de luz
1 Pinza de corte 3 Resistencias de 330 a watt.
1 Pinza de punta Alambre telefnico para conexin
4 J uegos de caimn caimn
4 J uegos de caimn banana
Definir los circuitos integrados utilizados en la prctica y en los diseos

Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 5
PROCEDIMIENTO EXPERIMENTAL:

1) Arme los circuitos de las figuras de la 2.1, hasta la 2.3, observe las salidas indicadas en cada uno de los
circuitos. Aqu se muestran comparadores de magnitud para cada uno de los circuitos.
2) Llene las tablas de verdad de las figuras de la 2.1 a la 2.3 observando para las todas las funciones
resultantes, que diodo luminoso se prende cuando A>B, A<B, A=B, B=C y cuando A=C.











Figura 2.1












Figura 2.2
A B F1
0 0
0 1
1 0
1 1
A B F2
0 0
0 1
1 0
1 1
A B F3
0 0
0 1
1 0
1 1
A B F4
0 0
0 1
1 0
1 1
A B F6
0 0
0 1
1 0
1 1
A B F5
0 0
0 1
1 0
1 1
Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 6
























Figura 2.3

3) Diseo 1: Se desea disear un comparador lgico de dos nmeros, cada uno de ellos de 2 bits en el
cual nicamente se tendr salida cuando el primero sea mayor que el segundo (A
1
A
0
>B
1
B
0
). Dicho
diseo debe de emplearse con el menor nmero de compuertas lgicas posibles de 2 entradas.
Para este diseo se emplear la tabla de verdad del circuito a disear y se reducir la funcin por mapas de
Karnaugh, tabla 2.4.





















A B F7
0 0
0 1
1 0
1 1
A C F9
0 0
0 1
1 0
1 1
B C F8
0 0
0 1
1 0
1 1
B
1
B
0
A
1
A
0
F
0 0 0 0 0
0 0 0 1 1
0 0 1 0 1
0 0 1 1 1
0 1 0 0 0
0 1 0 1 0
0 1 1 0 1
1 1 1 1 1
1 0 0 0 0
1 0 0 1 0
1 0 1 0 0
1 0 1 1 1
1 1 0 0 0
1 1 0 1 0
1 1 1 0 0
1 1 1 1 0
F7
F8
F9
















00 01 11 10
00

01

11

10
0 1 1 1

0 0 1 1

0 0 0 0

0 0 1 0

A
1

B
1
A
0

B
0

F = A
1
B
1
+ A
0
B
1
B
0
+ A
1
A
0
B
0
F = A
1
B
1 +
[A
0
(B
1
B
0
)
+
(A
1
A
0)
B
0
]
Tabla 2.4
Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 7
Arme el circuito de la funcin de la tabla 2.4 y compruebe su funcionamiento con la tabla.

CUESTIONARIO:
1) La tabla de verdad de las funcines F
2
y F
5
, a que compuerta lgica corresponden cada una.
2) Analizando las tablas de verdad de las funciones desde F
1
hasta F
9
mencione cual funcin de las 9 que
se presentan anteriormente corresponde para tener el caso de A>B
3) De forma similar cuales corresponden para, A<B, A=B, B=C y A=C.
4) Cmo construira una compuerta NAND de 4 entradas, empleando solamente compuertas NAND de
2 entradas? J ustifique su diseo.
CONCLUSIONES:

BIBLIOGRAFIA:
Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 8
PRCTICA 3: REDUCCIN DE FUNCIONES

OBJETIVO:
Introducir al alumno a la solucin de problemas lgicos, mediante la aplicacin del lgebra de Boole y mtodos de
minimizacin comunes, adems, incrementar la habilidad del estudiante en el manejo de circuitos integrados digitales.


INTRODUCCION:
Dada la necesidad de que los alumnos aprendan a solucionar con facilidad diversas funciones lgicas reales, mediante
componentes electrnicos, aqu se vern 2 problemas lgicos, para cuya solucin se siguen 3 pasos fundamentales:

a) Expresin de las funciones booleanas que satisfagan el problema
b) Minimizar las funciones booleanas
c) Implementacin de las funciones minimizadas por medio de compuertas lgicas digitales.
Para la solucin de cualquier problemas y poder plasmarlo con circuitos integrados, estos llevarn los pasos
mencionados anteriormente, por lo que el alumno disear y armar los circuitos lgicos y comprobar su tabla de
verdad.
El alumno deber manejar los conceptos de lgebra de Boole, compuertas lgicas, minimizacin de funciones lgicas e
implementacin de funciones con circuitos integrados digitales.


ACTIVIDADES PREVIAS A LA PRCTICA:

1. Simular los circuitos de los diseos que se piden en sta prctica.
2. El alumno deber traer los circuitos armados al entrar al laboratorio
3. Investigar las configuracin de los Circuitos Integrados utilizados en esta prctica
4. Mediante el lgebra booleana obtenga las funciones resultantes de los diseos


EQUIPO:

1 Fuente de C.D.
MATERIAL:

1 Tableta de conexiones 2 Capacitores de 47 uF
1 Pinzas de corte 2 Capacitores de 10 uF
1 Pinza de punta Alambres telefnico para conexiones
4 J uegos de caimn caimn
4 J uegos de caimn banana
3 Resistencias de 330 a watt.
3 Diodos emisores de luz
Definir los circuitos integrados utilizados en la prctica y en los diseos


PROCEDIMIENTO EXPERIMENTAL:

1) Diseo 1: El equipo de ftbol Atltico Lgico F.A. (A.L.F.A.) juega en una liga que consta de 9 equipos, en
total. Si asignamos a cada equipo con un nmero del 0 al 8, siendo el A.L.F.A. el equipo con el nmero 8, los
pronsticos de resultado, al jugar este ltimo con cualquiera de los otros ocho equipos, sern los mostrados en la
Tabla 3.1.

Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 9
EQUIPO
ATLETICO LGICO F.A.
GANA EMPATA PIERDE
0 X
1 X
2 X X
3 X
4 X
5 X X
6 X X
7 X X
8 NO J UEGA CONTRA EL MISMO

Tabla 3.1

Ntese que el resultado con algunos equipos no se puede predecir con exactitud, por lo que el partido est
marcado con ms de una X.
Empleando las tcnicas de reduccin de funciones, disee un circuito combinacional para visualizar en los
leds las funciones de G (gana), E (empate) y P (pierde). Compruebe la tabla de verdad de su diseo con el fin de
observar si concuerda con los pronsticos definidos en la tabla 3.1.

2) Diseo 2: Se desea disear un circuito que indique si una transfusin de sangre es posible entre dos
grupos sanguneos determinados. El circuito deber tener dos juegos de terminales de entrada, uno para
el cdigo del grupo sanguneo que pretende donar y otro para el cdigo del receptor. La tabla 3.2 indica
las leyes que rigen la compatibilidad entre los grupos sanguneos O, A, B y AB.

DONADOR RECEPTOR TRANSFUSIN
O O SI
O A SI
O B SI
O AB SI
A O NO
A A SI
A B NO
A AB SI
B O NO
B A NO
B B SI
Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 10
B AB SI
AB O NO
AB A NO
AB B NO
AB AB SI

Tabla 3.2

Si se codifican los grupos sanguneos en base a la tabla 3.3, disee un circuito combinacional que represente la
compatibilidad existente entre los grupos sanguneos, dicho diseo deber de tener la menor cantidad posible de
compuertas lgicas.

Tipo de sangre O A B AB
Cdigo 0 0 0 1 1 0 1 1
Tabla 3.3

Compruebe la tabla de verdad de su diseo con el fin de observar si concuerda con las leyes de compatibilidad de
transfusin.

Arme el circuito mostrado en la figura 3.1. Observe su funcionamiento y comntelo.



Figura 3.1

Cambie los capacitores de 47 uF por los de 10 uF., y observe lo que sucede


CUESTIONARIO:

1.- Disee un circuito que satisfaga la tabla de verdad 3.1 empleando exclusivamente compuertas NAND de
2 entradas.
2.- Del circuito de la figura 3.1 que sucedi al cambiar los capacitores.

CONCLUSIONES:

BIBLIOGRAFIA:
Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 11
PRCTICA 4: CIRCUITO SUMADOR y RESTADOR BINARIO


OBJETIVO:
Comprobar el funcionamiento de circuitos digitales capaces de ejecutar operaciones aritmticas elementales,
mediante la implementacin y prueba de sumadores y restadores con circuitos integrados.

INTRODUCCION:
Dada la naturaleza binaria (unos y ceros) de los sistemas digitales, la realizacin de operaciones aritmticas
comunes no requieren de circuitos de alta complejidad en ellos, por lo que dichos sistemas han encontrado un
campo de aplicacin cada vez mayor. Considerando esto, se observa la gran importancia que reviste el que el
alumno se familiarice con algunos de los circuitos digitales aritmticos ms elementales. Para ello, esta
prctica trata tres secciones: la primera involucra un medio sumador y un medio restador de un bit; la
siguiente, muestra un circuito que realiza la funcin de sumador completo o restador completo, dependiendo
de una entrada de control. Finalmente, se tiene un circuito integrado comercial que efecta la suma completa
de dos datos de 4 bits cada uno.
Para la realizacin de esta prctica es necesario que el alumno conozca la diferencia entre un medio-sumador
o restador y un sumador o restador completo, adems de dominar la aritmtica binaria.

Simbologa utilizada:
A=audendo (en suma) o minuendo (en resta),
B=adendo (en suma) o sustraendo (en resta),
S=resultado de una suma A+B A+B+Ci ,
R=resultado de una resta A-B A-B-Bi ,
Cyi= acarreo de entrada (de una suma anterior),
Cyo=acarreo de salida (de la suma efectuada),
Bwi=debe de entrada (de una resta anterior),
Bwo=debe de salida (de la resta efectuada).

ACTIVIDADES PREVIAS A LA PRCTICA:

1. Simular los circuitos de los diseos que se piden en sta prctica.
2. El alumno deber traer los circuitos armados al entrar al laboratorio
3. Investigar la configuracin de los Circuitos Integrados utilizados en esta prctica
4. Mediante el lgebra booleana obtener las funciones resultantes de los diseos
5. Cmo conectara una compuerta NOR-EXCLUSIVA (OR-EXCLUSIVA) para que funcione como un circuito
inversor?
EQUIPO:
1 Fuente de C.D.
MATERIAL:
1 Tableta de conexiones
1 Pinza de punta
1 Pinza de corte
4 J uegos de caimn caimn
4 Juegos de caimn banana
2 Diodos emisores de luz
2 Resistencias 330 ohm, W
Alambre telefnico para conexiones
Definir los circuitos integrados utilizados en la prctica y en los diseos
Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 12
PROCEDIMIENTO EXPERIMENTAL:

Construya el circuito medio sumador de la Fig. 4.1, cuidando de alimentar correctamente cada componente,
llene la tabla de verdad.










Figura 4.1
1) Agregue una compuerta NAND arreglada como inversor al circuito anterior de manera que sea similar al mostrado
en la figura 4.2 (medio restador) y llene la tabla.












Figura 4.2

2) Diseo1: Disee un circuito sumador/restador completo y llene la tabla 4.1 y 4.2, de tal manera que si:

X =0 funcione como sumador
X =1 funcione como restador













Tabla 4.1 Tabla 4.2
A B Cyo S
0 0
0 1
1 0
1 1
A B Bwo R
0 0
0 1
1 0
1 1
Para X =0
A B Cyi S Cyo
0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
1 1 1
Para X =1
A B Bwi R Bwo
0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
1 1 1
Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 13
3) Diseo 2: Disee un circuito restador de 4 bits empleando el circuito integrado 7483 (sumador de 4 bits) y
compruebe el diseo llenando la tabla 4.3 para los siguientes casos.( Ai - Bi - Cyi)

A
3
-A
0
B
3
-B
0


Cyi
15 5

0
2 2

0
8 4

1
12 15

1


Introduzca los valores necesarios en binario para las entradas de Ai, Bi y Cyi, anote los resultados de las sumas
correspondientes en la Tabla 4.3. Nota: Observe que la tabla de verdad completa para el 7483 tendra 512 estados

No. A
3
A
2
A
1
A
0
B
3
B
2
B
1
B
0
Cyi S
3
S
2
S
1
S
0
Cyo

Decimal
1

2

3

4


Tabla 4.3


CUESTIONARIO:

1) Cul es la diferencia entre un sumador completo y un medio sumador?
2) Diseo de un restador de 4 bits empleando un C.I. 7483 (sumador de 4 bits);
a) Por complemento a 2.
3) Dibuje el circuito de un sumador completo de 16 bits, empleando circuitos integrados 7483.


CONCLUSIONES:

BIBLIOGRAFIA:
Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 14
PRCTICA 5: MULTIPLEXOR y DEMULTIPLEXOR



OBJETIVO:
Comprobar el funcionamiento de los sistemas multiplexores y demultiplexores en circuitos selectores y
decodificadores de informacin binaria, as como en transmisores y receptores de datos.


INTRODUCCION:
Cuando se tienen varias entradas y una sola salida y se desea seleccionar una sola de las entradas para que pase a la
salida, se utiliza un circuito multiplexor, mientras que cuando se tiene el caso opuesto (una entrada y varias salidas)
y se desea activar con la entrada una sola de las salidas, se emplea un demultiplexor. Debido al funcionamiento de
estos circuitos, se suele llamarlos selectores (el demultiplexor tambin puede trabajar como circuito decodificador).

En la presente prctica se probar, primeramente, la funcin de seleccin de un multiplexor integrado de 4 entradas,
doble, introduciendo datos a sus entradas y observando cmo responde la salida con cambios en las terminales
selectoras. Posteriormente, se probar un demultiplexor, para finalmente tener un pequeo sistema de transmisin
de datos binarios en serie multiplexado.


ACTIVIDADES PREVIAS A LA PRCTICA:

1. Simular los circuitos de los diseos que se piden en esta prctica
2. J ustifique los diseos para el punto 1 (tablas de verdad, mapas de Karnaugh, algebra booleana, etc.)
3. Investigar las configuracin de los Circuitos Integrados utilizados en esta prctica
4. El alumno deber traer los circuitos armados al entrar al laboratorio


EQUIPO:
1 Fuente de C.D.
MATERIAL:
1 Tableta de conexiones
1 Pinza de punta
1 Pinza de corte
4 J uegos de caimn caimn
4 Juegos de caimn banana
4 Diodos emisores de luz
4 Resistencias 330 ohm, W
Definir los circuitos integrados utilizados en la prctica y en los diseos
Alambre telefnico para conexiones


PROCEDIMIENTO EXPERIMENTAL:

1) Diseo 1: Disear un circuito combinacional empleando circuitos multiplexores de 4 x1 para conocer la salida de
voltaje de una batera, para la cual se han conectado a esta 4 sensores (A, B, C y D) figura 5.1 y que se tienen los
siguientes voltajes como salida de los sensores.

Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 15















Fig. 5.1

Cuando el voltaje en la batera es mayor a 12.0 volts, el sensor A lo detecta y manda una seal de 1 lgico.
Cuando el voltaje en la batera es mayor a 13.5 volts, el sensor B lo detecta y manda una seal de 1 lgico.
Cuando el voltaje en la batera es mayor a 16.0 volts, el sensor C lo detecta y manda una seal de 1 lgico.
Cuando el voltaje en la batera es mayor a 11.0 volts, el sensor D lo detecta y manda una seal de 1 lgico.

La funcin de salida F
1
manda una seal (led encendido) donde indica que el voltaje en la batera se encuentra
entre el rango de 12 V <Vbatera <16 V
La funcin de salida F
2
manda una seal (led encendido) indicando que el voltaje en la batera es de:
Vbatera <12V o Vbat >16 V
La funcin de salida F
3
manda una seal (led encendido) donde indica que el voltaje en la batera se encuentra en el
rango de 13.5 V <Vbatera >16 V.


Entradas

Salidas
D C B A F1 F2

F3
L L L L

L L L H

L L H L

L L H H

L H L L

L H L H

L H H L



S
E
N
S
O
R
E
S













B
A
T
E
R
I
A
M
U
L
T
I
P
L
E
X
O
R
E
S
A

B

C

D
F1
F2
F3
+


-


(74153)
Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 16
L H H H

H L L L

H L L H

H L H L

H L H H

H H L L

H H L H

H H H L

H H H H

Tabla 5.1



2) Diseo 2: Disee un circuito para configurar la figura 5.2, en este circuito la salida del multiplexor pasa la
informacin seleccionada a la entrada del demultiplexor en una manera similar a los sistemas de comunicacin
digital por multiplexaje de 4 salidas.


















Fig. 5.2

a. Ponga las datos deentradas con 1C
O
=1C
3
=1 y 1C
1
=1C
2
=0, y variando las entradas selectores S
O
y S
1
,
proceda a llenar la Tabla 5.1a.
b. Cambie las entradas 1C
0
=1C
1
=1C
2
=1C
3
=0, complete la Tabla 5.1b.
c. Site ahora 1C
0
=1C
3
=0 y 1C
1
=1C
2
=1, complete la Tabla 5.1c.
d. Ponga 1C
O
=1C
1
=1C
2
=1 C
3
=1, complete la Tabla 5.1d.


MULTIPLE
XOR
1C
0

1C
1


1C
2


1C
3



DEMULTI
PLEXOR
Vcc
1C
1G
1Y
0


1Y
1


1Y
2

1Y
3

A B
DAT0S
LINEA DE
TRANSMISIN
(TRANSMISOR)
(RECEPTOR)
A B
G1
Y1
S
0
S
1

(74153)
(74155)
SELECTORES
TRANSMISOR
RECEPTOR
Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 17


Tabla a b c
d
S
1
S
0
1Y
0
1Y
1
1Y
2
1Y
3
1Y
0
1Y
1
1Y
2
1Y
3
1Y
0
1Y
1
1Y
2
1Y
3
1Y
0
1Y
1
1Y
2

1Y
3

0 0

0 1

1 0

1 1

Tabla 5.1

CUESTIONARIO:

1) Mencione y explique alguna otra aplicacin de los circuitos integrados multiplexores.
2) Elabore la tabla de verdad caractersticas del Demultiplexor/decodificador 74155 y explique su funcin,
basndose en los resultados obtenidos en la Tabla 5.1.
3) Si se desea emplear el circuito integrado 74155 como decodificador de 8 salidas, cmo se conectaran sus
terminales?
4) Cuntos cables tendra una lnea de transmisin multiplexada semejante a la de la Fig. 5.2, pero para 8 salidas?
Haga el diagrama a bloques.
5) Qu ventajas o desventajas presenta un sistema de transmisin multiplexado sobre uno que enve las
informaciones a todas las salidas simultaneamente?

CONCLUSIONES:

BIBLIOGRAFIA:
Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 18
PRCTICA 6: DECODIFICADORES


OBJETIVO:

Diseo de circuitos decodificadores y comprobar experimentalmente el funcionamiento de los sistemas estos
sistemas, as como la visualizacin de los datos en un exhibidor numrico de 7 segmentos(display).


INTRODUCCION:

Se desea disear funciones lgicas empleando circuitos integrados decodificadores as como construir un circuito
combinacional que decodifique los nmeros binarios del cero al nueve en un exhibidor numrico de 7 segmentos.
La utilizacin del exhibidor numrico es importante para visualizar en nuestro sistema decimal lo que ocurre con las
combinaciones binarias.

En la presente prctica se comprobar primeramente el diseo de una funcin empleando CIs decodificadores
comerciales, por tanto el alumno deber de investigar los tipos de circuitos existentes y cul podra ser utilizado
para la implementacin de los circuitos.

ACTIVIDADES PREVIAS A LA PRCTICA:


1. Simular los circuitos de los diseos que se piden en esta prctica
2. J ustifique el diseo del punto 1.
3. Investigar las configuracin de los Circuitos Integrados utilizados en esta prctica
4. El alumno deber traer los circuitos armados al entrar al laboratorio


EQUIPO:
1 Fuente de C.D.
MATERIAL:

1 Tableta de conexiones
1 Pinza de punta
1 Pinza de corte
4 J uegos de caimn caimn
4 Juegos de caimn banana
2 Diodos emisores de luz
7 Resistencias 330 ohm, W
Definir los circuitos integrados utilizados en la prctica y en los diseos
Alambre telefnico para conexiones

PROCEDIMIENTO EXPERIMENTAL:

1.- Diseo 1: Disee el circuito del punto 1 de la prctica anterior pero ahora empleando C.I.s
decodificadores y llene la tabla 6.1

Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 19
Entradas
Salidas
D C B A F1 F2
F3
L L L L

L L L H

L L H L

L L H H

L H L L

L H L H

L H H L

L H H H

H L L L

H L L H

H L H L

H L H H

H H L L

H H L H

H H H L

H H H H

Tabla 6.1

2.- Arme el circuito que se muestra en la figura 6.1 y llene la tabla 6.2














Figura 6.1


a

b

c

d

e

f

g
a


f b



g



e c


d
A

B

C

D
DECODER
(7447)
TIL 312
o
equivalente
Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 20

Entradas Salidas
Smbolo
LT RBI D C B A a b c d e f g

H X L L L L

H H L L L H

H H L L H L

H H L L H H

H H L H L L

H H L H L H

H H L H H L

H H L H H H

H H H L L L

H H H L L H

H H H L H L

H H H L H H

H H H H L L

H H H H L H

H H H H H L

H H H H H H


Tabla 6.2



CUESTIONARIO:

1. Dibuje el diagrama del circuito utilizando el C.I. 7448 y TIL313 ( o equivalente), justifique el diseo.
2. Para el C.I. 7447 mencione cual es la funcin para RI/RBO


CONCLUSIONES:

BIBLIOGRAFIA:
Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 21
PRCTICA 7: CIRCUITOS BIESTABLES

OBJETIVO:
Comprobar las tablas de verdad caractersticas de los circuitos biestables, ms comunes
Describir el efecto que produce el cambio de datos en las terminales del biestable.
INTRODUCCION:
Dentro de los circuitos regenerativos o secuenciales, se encuentra el multivibrador biestable o FLIP-FLOP, que en
su forma ms simple es conocido como LATCH.
Durante el desarrollo de esta prctica se implementarn, con ayuda de circuitos integrados, dos biestables simples
(el biestable S-R elemental y el S-R con habilitador y dos biestables dinmicos (el biestable D y el biestable J -K
maestro-esclavo). As, se probarn las entradas de datos y de control de cada biestable, observando el efecto que sus
combinaciones hacen sobre las salidas.
Es importante hacer notar la especial funcin que realiza la entrada de reloj (CK) con los biestables dinmicos, por
lo que se le sugiere al alumno especial cuidado en la realizacin de esta prctica.
ACTIVIDADES PREVIAS A LA PRCTICA:

1. Simular los circuitos presentados en esta prctica
2. Investigar las configuracin de los Circuitos Integrados utilizados en esta prctica
3. El alumno deber traer los circuitos armados al entrar al laboratorio


EQUIPO:
1 Fuente de voltaje de C.D.
MATERIAL:
1 Tableta de conexiones
1 Pinza de punta
1 Pinza de corte
4 J uegos de caimn caimn
4 J uegos de caimn banana
2 Diodos emisores de luz
2 Resistencias 330 ohm, W
Alambre telefnico para conexiones
Definir los circuitos integrados utilizados en la prctica y los diseos
PROCEDIMIENTO EXPERIMENTAL:

1.- Arme el circuito de la figura 7.1 y 7.2 y llene sus tablas correspondientes.



Figura. 7.1 Figura 7.2

Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 22
















Tabla 7.1

Tabla 7.2





3.- Arme el circuito de la figura 7.3 y dando los valores que se muestran en la tabla 7.3 y obtenga las salidas para Q
y Q.



















Figura. 7.3 Figura 7.4
CK
S R Q Q
0 1 1
0 0 0
1 0 1
1 0 0
1 1 0
1 0 0
1 1 1
1 0 0
1 1 0
1 0 1
S R Q Q
0 1
0 0
1 0
0 0
1 1
0 0
1 0
0 1
ENTRADAS
SALIDAS
PR
D



CK
CL

D Q



CK



Q

PR
















J



CK



K
J






















CL



J Q



CK



K Q














Vcc


4K7
Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 23

























Tabla 7.3 Tabla 7.4

4.- De igual manera arme el circuito de la figura 7.4 y dando los valores que se muestran en la tabla 7.4, obtenga las
salidas para Q y Q.
5.- Ahora conecte J =K =1 y con una seal Vi (en CK) cuadrada de 5V a 0.1Hz observe el funcionamiento de los
leds.
6.- Cambiando la seal de Vi a 1Khz, grafique la seal de CK y Q que se pueden observar en el osciloscopio.
Ponga especial atencin en las frecuencias y fases.

CUESTIONARIO:

1) En vista de que, por definicin, Q y Q deben mantenerse en estados opuestos, diga cul condicin, si la hay,
que no debe existir en las entradas de los biestable de las Figs. 7.1 y 7.2.
2) En base a las tablas 7.1 y 7.2 elabore la tabla caracterstica del biestable correspondiente.
3) En base a las Tablas 7.3 y 7.4, elabore las tablas caractersticas para los biestables D y J -K, respectivamente.
4) Con qu tipo de pulsos o flancos en CK se transfiere la informacin en el biestable D (figura 7.3), y en el
biestable J -K maestro-esclavo (figura 7.4)?
5) Cul es la relacin de frecuencias entre la seal en CK y la seal en Q, obtenidas en el punto 6 del desarrollo?

CONCLUSIONES:

BIBLIOGRAFIA:
No. PR CL CK D Q Q
1 0 1 X 0
2 0 1 X 1
3 1 0 X 0
4 1 0 X 1
5 0 0 X 0
6 0 0 X 1
7 1 1 0 0
8 1 1 1 0
9 1 1 0 1
10 1 1 1 1
11 1 1 0 0
12 1 1 1 1
13 1 1 0 1
14 1 1 1 0
15 1 1 0 0
16 1 1 1 1
ENTRADAS
SALIDAS
No. PR CL CK
J
K Q Q
1 0 1 X
0
0
2 0 1 X
1
1
3 1 0 X
0
0
4 1 0 X
1
1
5 0 0 X
0
0
6 0 0 X
1
1
7 1 1 1
1
0
8 1 1 0
1
0
9 1 1 1
0
1
10 1 1 0
0
1
11 1 1 1
0
0
12 1 1 0
0
0
13 1 1 1
1
1
14 1 1 0
1
1
15 1 1 1
1
1
16 1 1 0
1
1
Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 24
PRCTICA 8: REGISTROS DE CORRIMIENTO


OBJETIVO:

Mostrar al alumno el comportamiento y las caractersticas de un registro de corrimiento en circuito integrado, as
como una aplicacin del mismo.

INTRODUCCION:

Dado que los registros de corrimiento son dispositivos que pueden convertir un grupo de datos en paralelo a una
cadena serie o viceversa, guardar informacin provisionalmente o introducir retardos controlables, tienen gran
aplicacin en sistemas de conteo y transmisin de datos.
En esta prctica se muestra al alumno como se difunde o corre un dato introducido en serie por un registro de
corrimiento contenido en un circuito integrado comercial. A su vez, se hacen pruebas para observar la carga de
datos en paralelo el circuito integrado y como pueden ser transmitidos estos datos en serie hasta otro registro de
corrimiento puesto a cierta distancia.
Es importante que el alumno tenga claros conocimientos sobre registros de corrimiento para poder llevar a cabo esta
prctica, adems que debe proceder con cuidado, dado que esos dispositivos son circuitos sncronos y dinmicos,
por lo que la secuencia de la prueba es importante.

ACTIVIDADES PREVIAS A LA PRCTICA:

1. Simular los circuitos que se presentan en la prctica.
2. Investigar las configuracin de los Circuitos Integrados utilizados en esta prctica
3. El alumno deber traer los circuitos armados al entrar al laboratorio

EQUIPO y MATERIAL:

El alumno definir el equipo y material necesario para el desarrollo de esta prctica.

PROCEDIMIENTO EXPERIMENTAL:

1) Arme el circuito de la Fig. 8.1 en el extremo izquierdo de la tableta de conexiones, cuidando de alimentar
correctamente los componentes. El microinterruptor S
1
es el control de corrimiento del circuito.

S/P (PE) Permite cargar los datos puestos en serie o en paralelo
CL (MR) Forza la salidas de los Flip- Flips a cero
EP
0-3
(P
0-3
) Entrada de datos en paralelo
ES (J , K) Entrada de datos en serie
CK (CP) Seal de reloj

2) Ajuste la seal de Vi =0.2Hz con una seal cuadrada de 5V. El diodo luminoso DL
5
indicar los estados
alto (prendido) y bajo (apagado) de la seal de reloj que se introducir en CK del registro de corrimiento.
3) Coloque momentneamente CL =0 para forzar las salidas Qs (desde a hasta d) de los flip-flos a ceros y
llene la primera lnea de la tabla 8.1.a.
4) Ponga ahora S/P =1 para permitir con ello la entrada de datos serie y ES =1 para datos serie.

Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 25























Figura 8.1




5) Oprimiendo el control de corrimiento de S
1
, cuando DL
5
est apagado y manteniendo oprimido dicho
interruptor para permitir el paso de la seal de reloj, llene la tabla 8.1.a.











Tabla 8.1.a Tabla 8.1.b

6) Cambie ahora ES =0 y repitiendo el inciso 5 llene la Tabla 8.1.b.
7) Ahora coloque las entradas EP
0
=EP
2
=1 y EP
1
=EP
3
=0; con S/P =0.
8) Vuelva a oprimir el control S
1
cuando DL
5
est apagado y sultelo inmediatamente cuando este mismo se
prenda; con este procedimiento se deben cargar los datos paralelos en el registro de corrimiento. Anote los
estados desde Qa hasta Qd en el primer rengln de la Tabla 8.2.a.
9) Ponga ahora S/P =1 y ES =0, repita el inciso 5 para llenar la tabla 8.2.a.
No. CK
Qa Qb Qc Qd
0
----
1


2


3


4


5


No. CK
Qa Qb Qc Qd
0
----
1


2


3


4


5


S
1

CL
MR PE
J Q
0


K Q
1


P
0 (74195)

P
1
Q
2

P
2

P
3
Q
3


CP

Q
a

Q
b

Q
c



Q
d

S/P
ES
EP
0

EP
1

EP
2

EP
3

Vcc
Entrada
Serie
Entrada
Paralelo
V
i

DL
5

CK
Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 26
10) Ahora con EP
0
=EP
1
=0, EP
2
=EP
3
=1 y S/P =0, repita el paso 8 y anote los estados de las salidas en el
primer rengln de la Tabla 8.2.b.
11) Cambie S/P =1 y ES =0, repita el paso 5, para terminar de llenar la Tabla 8.2.b.











Tabla 8.2.a Tabla 8.2.b


12) Construya el circuito de la Fig. 8.2, dicho circuito simula un sistema para transmitir datos digitales en serie.
13) Ponga los datos que se van a transmitir como sigue: EP
0
=EP
3
=1 y EP
1
=EP
2
=0. Note que las entradas
paralelo del segundo registro de corrimiento (EP
0
, EP
1
, EP
2
, EP
3
) no se utilizan y se deben conectar a tierra.
14) Ahora con S/P =0 para poder cargar los datos paralelo en el transmisor y borrar las salidas del receptor.
15) Oprimiendo el control de corrimiento S
1
cuando DL
5
est apagado y soltndolo inmediatamente cuando DL
5

se prenda, en seguida ponga S/P =1 para funcionamiento serie.






















Figura 8.2



No. CK
Qa Qb Qc Qd
0
----
1


2


3


4


5


No. CK
Qa Qb Qc Qd
0
----
1


2


3


4


5


CL=5v S/P
EP
0

EP
1

EP
2

EP
3

S
1

Vcc
V
i

Entrada
Paralelo
MR PE
J
K

P
0
Q
0

P
1
Q
1

P
2
Q
2

P
3
Q
3

CP

CL
Q
a

Q
b

Q
c

Q
d

S/P
SINCRONIA
TRANSMISIN RECEPCIN
LNEA DE TRANSMISIN
MR PE
J
K Q
0



Q
1



Q
2


Q
3

CP

DATOS
CK
Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 27



16) Anote los valores iniciales desde Q
a
hasta Q
d
en el primer rengln de la Tabla 8.3.a. Oprima el control S
1

cuando DL
5
est apagado y mantngalo as mientras llene la Tabla 8.3.a











Tabla 8.3.a Tabla 8.3.b

17) Repita los pasos anteriores necesarios para poder cargar los datos del primer registro y correrlos al segundo
registro con; EP
0
=EP
3
=0 y EP
1
=EP
2
=1 y llenar la tabla 8.3.b.


CUESTIONARIO:

1) En qu momento del pulso del reloj (CK) ocurre el corrimiento en los circuitos armados durante esta prctica.
2) En el paso 5 y 6 del desarrollo, cuntos pulsos de reloj se requirieron para transferir el dato serie de entrada a
todas las salidas del registro de corrimiento?
3) Para el circuito de la Fig. 8.2, cuntos pulsos de reloj se requieren para obtener la informacin correcta en el
receptor? Explique.
4) Qu utilidad tiene la lnea de sincrona en el circuito de la Fig. 8.2?
5) Qu ventajas o desventajas presenta transmitir datos en serie, sobre transmitirlos en paralelo?

CONCLUSIONES:

BIBLIOGRAFIA:
No. CK
Qa Qb Qc Qd
0
----
1


2


3


4


5


No. CK
Qa Qb Qc Qd
0
----
1


2


3


4


5


Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 28
PRCTICA 9: CONTADOR ASNCRONOS


OBJETIVO:
Determinar el funcionamiento de un contador asncrono implementado con biestables (Flip-Flop) J -K), a travs de
su tabla de verdad.

INTRODUCCION:
Los contadores con sistemas secuenciales tienen una sola entrada para seales pulsantes, cuyo estado interno en
cada instante representa el nmero de impulsos que se le han aplicado.
Para la realizacin de los contadores se utilizan los elementos biestables sincronizados por flancos, que poseen dos
estados internos. Los contadores asncronos son aquellos en los que las variables de estado interno no cambian
simultneamente. Los pulsos que se desean contar no se aplican al reloj de todos los biestables, sino nicamente el
primero, y los reloj de los dems biestables es gobernada por las salidas de los que les preceden.
En la siguiente prctica se utilizarn 4 biestables para construir un contador asncrono de 4 bits, que cuente en forma
ascendente y descendente . Se verificar tambin la funcin del SET y RESET, como controladores de la secuencia
de conteo en estos circuitos.

ACTIVIDADES PREVIAS A LA PRCTICA:

1. Simular los circuitos presentados en la prctica y los diseos.
2. J ustifique los diseos para esta prctica.
3. Investigar las configuracin de los Circuitos Integrados utilizados en esta prctica
4. El alumno deber traer los circuitos armados al entrar al laboratorio

EQUIPO y MATERIAL:

El alumno definir el equipo y material necesario para el desarrollo de esta prctica.
PROCEDIMIENTO EXPERIMENTAL:

1) Con una seal de reloj de 0.2 Hz, arme el de la figura 10.1 y llene la tabla 10.1

















Figura 9.1
J PR Q


(7476)

K CL Q


J PR Q




K CL Q



J PR Q




K CL Q



J PR Q




K CL Q



Vcc
CK
S
1

A
B C D
Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 29






































Tabla 9.1

2) Vare la frecuencia del generador a 1 Khz y con ayuda del osciloscopio grafique las seales para CK, A, B, C D.

3) Diseo 1.- Disee un circuito secuencial asncrono (contador asncrono) ascendente para que cuente en BCDexc3
y llene la tabla 9.2.
4) Diseo 2.- Disee un circuito secuencial asncrono (contador asncrono) descendente que cuente desde 12 hasta 3
y llene la tabla 9.2.
CK A B C D Decimal
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
CK
Circuito Secuencial Asncrono Ascendente Circuito Secuencial Asncrono Descendente
A B C D Decimal A B C D Decimal
0
1
Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 30











Tabla 9.2

5) Arme el circuito de la figura 9.2 y observe que sucede cuando el interruptor est en el punto A y posteriormente
cuando est en el punto B.














Figura 9.2

2
3
4
5
6
7
8
9
10
A
J PR Q




K CL Q



D
CK
Vcc
J PR Q




K CL Q



CK
5V
A
B
B
C
Vcc
J PR Q




K CL Q



CK
J PR Q




K CL Q



CK
Sw1
CK
Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 31


CUESTIONARIO:

1. Qu relacin hay entre CK, A, B, C y D, con respecto a la frecuencia para el circuito de la figura 9.1?
2. Analice el circuito de la Fig. 9.2 y diga:
a) Qu sucede cuando el interruptor Sw
1
se encuentra en la posicin A de la figura 9.2?
b) Qu sucede cuando est en la posicin B?
CONCLUSIONES:

BIBLIOGRAFIA:
Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 32
PRCTICA 10: CONTADOR SNCRONO

OBJETIVO:

Disear, implementar y observar el funcionamiento de un contador sncrono con Biestables J -K (Flip-Flop J -K) para
secuencia de conteo aleatorio.

INTRODUCCION:

En los contadores sncronos, la seal de reloj es aplicada simultneamente a todos y cada uno de los biestables.
En este tipo de contadores, todos los Flip-Flops cambian su estado de salida al mismo tiempo, independientemente de
la posicin de la conexin en cascada. Todos los Flip-Flops del contador sncrono, por tanto, se deben de activar desde
la misma seal de reloj, requiriendo entonces compuertas lgicas adicionales para activar o desactivar las entradas de
los flip-flops (que no necesariamente deben de ser del tipo T) en los instantes apropiados.
Para la elaboracin de esta prctica, el alumno previamente disear un contador sncrono (con Flip-Flops J -K y con un
mnimo de compuertas NAND).
Se describirn los pasos necesarios para la elaboracin de la prctica segn los resultados obtenidos al diseo previo
del contador sncrono.(pasarlo al previo)


EQUIPO Y MATERIAL:
El alumno definir el equipo y material necesario para el desarrollo de esta prctica.


ACTIVIDADES PREVIAS A LA PRCTICA:

1. Simular los circuitos presentados en la prctica y los diseos.
2. J ustifique los diseos para esta prctica.
3. Investigar las configuracin de los Circuitos Integrados utilizados en esta prctica
4. El alumno deber traer los circuitos armados al entrar al laboratorio


PROCEDIMIENTO EXPERIMENTAL:

1) Arme el circuito de la figura 10.1 y llene la tabla 10.1, oprimiendo el botn Sw para el tiempo t
0


















Figura 10.1
A
Vcc
J PR Q




K CL Q



CK
J PR Q




K CL Q



D
CK
CK
J PR Q




K CL Q


CK
J PR Q




K CL Q



CK
B
C




Sw
Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 33
10
2
9
11
7
0
3
15
5
6


























Tabla 10.1

2) Diseo 1: Disear un circuito secuencial sncrono con F.F. J -K en base al diagrama de estados de la
figura 10.2, los estados que no se encuentran en el diagrama el alumno definir donde se van a
conectar, compruebe el funcionamiento.






















Figura 10.2
CK A B C D Decimal
0
1
2
3
4
5
6
7
8
9
10
11
1
1
1
1
1
1
1
1
1
1
0
0
0
0 0
0
0
0
0
0
Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 34

CUESTIONARIO:

1. Qu relacin hay entre CLK, A, B, C y D, con respecto a la frecuencia para elcircuito de la figura 9.1?
2. Para el circuito de la figura 9.1, cual es su funcionamiento de dicho circuito.
3. Como conectara el circuito de la figura 9.1 para que funcione como contador sncrono descendente?


CONCLUSIONES:


BIBLIOGRAFIA:.
Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 35
PRCTICA 11: MEMORIA DE ACCESO ALEATORIO (RAM)


OBJETIVO:
Inducir al alumno a la comprensin de los procesos que se requieren para escribir o leer informacin en una
memoria de acceso aleatorio de estado slido elemental.

INTRODUCCION:
De nadie es ajeno el hecho de que estamos viviendo una especie de nueva Revolucin Industrial, en la cual
la computacin es la base. El rpido desarrollo que ha tenido la computacin digital en nuestra poca se debe
principalmente a la mejora en la tecnologa de fabricacin de circuitos integrados que realizan funciones
especiales: ste es el caso de las memorias de acceso aleatorio (RAM).
Aunque todas las RAM que se venden en circuitos integrados son de alta escala de integracin, es
interesante el estudio de una pequea memoria de 4 localidades de 2 bits cada localidad, como la que muestra
la presente prctica.
Una parte importante en el estudio de las memorias, es el estudio de sus diagramas de tiempo, o sea, las
secuencias que se deben seguir para leer o escribir en memoria algn dato.
El sistema de memoria de acceso aleatorio lectura/escritura (de 4 x 2) de la Fig. 11.1 emplea 5 circuitos de
baja y mediana escala de integracin para simular todas las caractersticas de una RAM comercial, y poder
as leer o escribir informacin binaria en ella.
As este sistema se puede dividir en cuatro partes: la seccin de entrada de datos, la seccin de seleccin de
escritura, la seccin de unidades de memoria y la seccin de seleccin de salida (ver Fig. 11.1).
Es requisito para la realizacin de esta prctica que el alumno ya domine los circuitos selectores,
decodificadores y biestables, que sern utilizados aqu.


ACTIVIDADES PREVIAS A LA PRCTICA:

1. Simular los circuitos presentados en la prctica y los diseos.
2. Investigar las configuracin de los Circuitos Integrados utilizados en esta prctica
3. El alumno deber traer los circuitos armados al entrar al laboratorio


EQUIPO Y MATERIAL:
El alumno definir el equipo y material necesario para el desarrollo de esta prctica.

PROCEDIMIENTO EXPERIMENTAL:

1) Arme el circuito de la Fig.11.1, dicho circuito es un sistema de memoria de acceso aleatorio de 4 localidades
de 2 bits.
2) Una vez armado el circuito no desconecte la alimentacin de voltaje en ningn momento, a menos que se
indique lo contrario expresamente.
3) Identifique las terminales del sistema RAM (lectura/escritura) de la Fig. 11.1 como sigue:

A
1
, A
0
Lneas de entrada de direccionamiento (address); sirven para seleccionar una de las 4 localidades de
memoria.

DI
1
, DI
0
Lneas de entrada de datos (data input); cada palabra de 2 bits se introduce en la localidad de memoria
seleccionada por medio de estas entradas.
CS Seleccin de sistema (chip select); cuando se encuentra en estado bajo activa al sistema de memoria,
pudindose leer o escribir datos. Cuando se encuentra en estado alto desactiva al sistema, poniendo las
Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 36
salidas abajo y deshabilitando las entradas, aunque sigue reteniendo la informacin previamente
introducida.

WR Escritura (write); cuando est en estado bajo, pasa la informacin de las entradas DI
1
y DI
0
a las celdas
de memoria direccionados.

DO
1
, DO
0
Lneas de salida de datos (data output); estas salidas muestran los datos contenidos en la localidad
direccionada, siempre que la entrada CS se encuentre en estado activo. (cero lgico).
































Figura 11.1


4) Escriba en el sistema de memoria los datos de la Tabla 11.1, en las localidades de memoria indicadas,
ejecutando la siguiente secuencia para cada localidad (ver Fig. 11.2):

a) Colocar la direccin de la localidad a escribir en las lneas A
1
, A
0
.
b) Poner la entrada CS =0 lgico (habilitador)
c) Introducir los datos correspondientes por DI
1
y DI
0

d) Poner la entrada WR momentneamente a cero lgico pulsando el Sw
1
. Los datos puestos en DI
1
y DI
0

deben aparecer en las salidas DO
1
y DO
0
en este momento.
e) Retire los datos de DI
1
y DI
0
(ignrelos)


Sw
1


1C
0


2C
0


1C
1


Y
1

2C
2
Y
2

(74153)
1C
2




2C
2
G
1

1C
3
G
2

2C
3

B A
C
3,4
C
1,2


D
1
Q
1

D
2
Q
2

(7475)
D
3
Q
3

D
4
Q
4



D
1
Q
1

D
2
Q
2

(7475)
D
3
Q
3

D
4
Q
4



C
1,2
C
3,4



2Y
0
2Y
1
2Y
2
2Y
3

(74155)

2G 2C B A
DI
0

DI
1

A
1
A
0
CS
Vcc
K47
UNIDAD DE MEMORIA
SELECCIN DE ESCRITURA
SELECCIN DE SALIDA
WR

DO
0

DO
1

Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 37
f) Ponga CS =1 lgico (deshabilitador)
g) Retire la direccin introducida en A
1
y A
0












Tabla 11.1


a b c d e f g












Figura 11.2


5) Proceda a verificar la permanencia de la informacin introducida, de acuerdo a la Tabla 11.2 Note
que la secuencia de lectura de localidades es aleatoria (no necesariamente en orden). Complete la
Tabla 11.2 siguiendo la secuencia que se da en la figura 11.3.







Tabla 11.2
Loc. A
1
A
0
DO
1
DO
0

0 0 0 0 1
1 0 1 1 0
2 1 0 1 1
3 1 1 0 0
Loc. A
1
A
0
DO
1
DO
0

1 0 1
3 1 1
0 0 0
2 1 0
3 1 1
No importa
No importa
Escribe
Datos validos
Sistema habilitado
Direccin valida


































A
1
, A
0


CS


DI
1
, DI
0


WR
Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 38
a) Ponga la direccin indicada en las lneas A
1
y A
0

b) Se pone CS =0 lgico (habilitador)
c) Lee los datos previamente almacenados, los cuales aparecen en DO
1
y DO
0

d) Ponga CS =1 lgico
e) Retire la direccin de A
1
y A
0


a b c d e












Figura 11.3


6) Introduzca cualquier informacin a cualquier localidad de memoria, segn la secuencia de la Fig. 11.2
7) Verifique nuevamente el contenido de las localidades de memoria, segn la secuencia de la Fig. 11.3.
Anota sus observaciones.
8) Retire momentneamente la alimentacin de voltaje (+5V) del sistema y procede a verificar
nuevamente el contenido de todas las localidades, segn la secuencia de la Fig. 11.3 Anote sus
observaciones.

CUESTIONARIO:
1) Qu es memoria de acceso aleatorio (RAM o RWM)?
2) Qu utilidad tiene la entrada selectora (CS), cuando se desea implementar sistemas grandes de
memoria?
3) Coinciden los datos obtenidos en la Tabla 11.2, con los de la Tabla 11.1, para las mismas localidades
de memoria? Explique.
4) Se destruye la informacin de una localidad de memoria cuando se lee sta?
5) Segn los puntos 6 y 7 del desarrollo, Es posible alterar cualquier localidad sin necesidad de alterar
las dems?
6) Qu sucede cuando se le retira la alimentacin al circuito de memoria?
7) Cmo implementara un sistema de memoria de 512 localidades de 4 bits cada una, utilizando
circuitos integrados 74LS200 (256X1)? Haga el diagrama correspondiente.
8) Si un microprocesador comercial puede direccionar hasta 65,536 localidades de memoria de 8 bits (1
Byte) cada una, cuntas lneas de direccin debe tener?
CONCLUSIONES:

BIBLIOGRAFIA:
WR =1
Datos validos
Sistema habilitado
Direccin valida
A
1
, A
0



CS

DO
1
, DO
0



WR
Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 39
HOJAS DE DATOS TCNICOS









Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 40










Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 41

Facultad de Estudios Superiores Cuautitln Laboratorio de Sistemas Digitales
Sem. 2014-I 42
BIBLIOGRAFIA

1) Diseo Digital
Morris M. Mano
Prentice Hall (3era. Edicin)

2) Diseo Digital
Marovits
Mc Graw Hill

3) Introduction to Integrated Circuits
Grinich, V.H. & J ackson, W. G.
Mc. Graw-Hill

4) Switching and finite automata theory
Zvi Kohavi
Mc Graw Hill

5) An enginering approach to digital design
William I. Fletcher
Prentice Hall

6) Digital Integrated Electrnica
Taub, H & Schilling, D(TK7868-D5T37)
McGraw-Hill, Inc

7) National Semiconductor
Linear Databook
National Semiconductor

8) Texas Instruments
The Optoelectronics Data Book for Desig Engineers
Texas Instruments,

9) Texas Instruments
The TTL Data Book for Desig Engineers
Texas Instruments, Inc.,

Vous aimerez peut-être aussi