Vous êtes sur la page 1sur 16

TRABAJO COLABORATIVO 1

OTTO RUEFLI BARRERA Cod. 111538282 YOSETH CORREAL LOZANO Cod. 1121816652

SISTEMAS DIGITALES SECUENCIALES 90178 Grupo 45

ING. NANCY AMPARO GUACA

UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA UNAD CEAD Yopal INGENIERA ELECTRNICA OCTUBRE 2013

INTRODUCCION. En este documento encontraremos las aplicaciones de los temas estudiados en la unidad N 1 como son los cerrojos y flip flop de los circuitos combinacionales y circuitos secuenciales, veremos paso a paso el desarrollo de la actividad de acuerdo a lo solicitado en la gua con el fin de construir un pequeo vehculo robot que al encontrar un obstculo, retroceda y cambie de direccin, el diseo y posterior desarrollo del robot nos ayuda a comprender el funciona miento bsico de los sistemas digitales y su amplio mundo. En este primer trabajo colaborativo se ponen en prctica los temas vistos y se realizara un diseo electrnico desarrollando un taller en simulador Isis 7 Proteus Profesional, dispositivos electrnicos tales como flip-flop, temporizadores, etc. En el desarrollo del trabajo se mostrarn algunos aspectos importantes como el diagrama de bloques, descripcin de la forma en que los flip-flop pueden resolver el problema planteado. La implementacin del diseo ser ilustrada mediante simulacin en el software Proteus. Con el presente informe se evidencia la asimilacin de las temticas vistas en Sistemas Digitales Secuenciales, se logr disear y poner en funcionamiento un carro robot; utilizando como estrategia pedaggica el trabajo en equipo.

OBJETIVOS. Conocer la teora, el funcionamiento y las aplicaciones del diseo y manejo de datos por medio de cerrojos y el almacenamiento por flip flops. Dar solucin al problema planteado desarrollando las diferentes etapas del diseo de sistemas secuenciales digitales. Conocer las diferentes herramientas del diseo que sirven como componentes bsicos para el desarrollo de dispositivos electrnicos digitales. Fortalecer y afianzar los conocimientos estudiados en la primera unidad. de circuitos secuenciales

Utilizar el Circuito Integrado 555 y disear un temporizador en modo monoestable, para controlar el tiempo de reversa del robot. Construir el diagrama de bloques en VHDL que debera seguir un programa para cumplir con las funciones del circuito diseado.

1. PROBLEMA A RESOLVER Disear un pequeo vehculo impulsado por dos motores DC, uno en cada rueda trasera del vehculo los cuales permitirn el movimiento del vehculo, contar con dos sensores o micro-switches en su parte frontal los cuales sern accionados en el momento que el carro choque o impacte con un obstculo haciendo que el carro invierta el sentido de giro de uno de sus motores y de marcha atrs por espacio de 5 segundos girando en un sentido diferente y reanude su marcha hacia adelante, no importa si el mismo Switche es accionado ms de una vez o cualquiera que sea accionado el carro debe dar reversa y cambiar de giro.

2. LISTA DE MATERIALES 1 Software simulador Proteus 1 Protoboard 2 Motores DC de 6 a 9 voltios 2 Condensadores (0.01 F, 20 F) 6 Resistencias (2 de 100 , 2 de 1 K, una de 155 K y una de 10 K) 2 Micro switches 1 Integrado 74LS73 2 Integrados 74LS08 1 Integrado 74LS28 1 Temporizado 555 2 Inversores 7406 1 Controlador de potencia L293D 1 Led color verde 1 Led color rojo

3. DIAGRAMA DE BLOQUES

SWITCHE

MOTOR 1

L293D

TEMPORIZADO 555

FUENTE DE ALIMENTACION

FLIP FLOP MOTOR 2

SWITCHE

DIAGRAMA DE FLUJO
INICIO

MOTORES EN MOVIMIENTO

MICRO SWITCHES

SENSOR IZQUIERDO

SENSOR DERECHO

RETROSESO CONTADOR 5 SEGUNDOS

MOTORES EN MOVIMIENTO

4. VARIABLES LGICAS DE ENTRADA Y DE SALIDA DEL SISTEMA Las variables que tenemos son las seales dadas por los sensores o micro switches, el carro en estado normal tendr marcha hacia adelante, los sensores son los encargados de detectar los obstculos enviando seales a los circuitos integrados para lograr evadirlos. Las seales de entrada a cargo de los micro-switches son las variables lgicas de entrada y la seal de salida ser la que da el controlador para el movimiento de los motores que a su vez generan el desplazamiento del carrito chocn.

Las seales de entrada y de salida son continuas y tienen un carcter binario, es decir que el 1 lgico indica 9 voltios DC y 0 lgico indican cero voltios DC. Los micro-switches en su estado normal tienen salida 0 lgico, es decir cuando no hay obstculos y se mueve hacia adelante. Cuando alguno es accionado por algn obstculo, el sensor pasa a 1 lgico La seal de salida como lo mencionamos anteriormente ser en el movimiento de los motores de la siguiente manera: Accionamiento de micro-Switche por obstculo: 1. El carro para y retrocede invirtiendo el giro de uno de sus motores por espacio de 5 segundos. 2. El carro cambia su direccin hacia la derecha o hacia la izquierda manteniendo uno de sus motores parado mientras el otro retrocede. 3. El carro da marcha hacia adelante nuevamente despus de pasar los 5 segundos accionando sus 2 motores. Si no hay accionamiento de micro-Switche, el carro se desplaza hacia adelante.

5. TABLA DE VERDAD QUE MUESTRA LA RELACIN ENTRE LAS VARIABLES DE ENTRADA Y SALIDA DEL SISTEMA

La relacin de entrada y salida se muestra en la siguiente tabla, en donde el 1 representa los interruptores y Motores conectado a 9 voltios y 0 en 0 voltios. Entradas Micro-Switches (ms) ms-1 ms-2 0 1 0 1 0 0 1 1 Salida Adelante Atrs-Izquierda Atrs-Derecha Atrs-Izquierda / Derecha

A la salida podremos ver los movimientos de los motores: Motor 1 Motor 2 0 1 0 1 0 0 1 1 Salida Parado Izquierda Derecha Adelante

6. ESTRUCTURA EN VHDL library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Mealy is Port ( inicio: in std_logic; ck: in std_logic; E0: in std_logic; S0: out std_logic; S1: out std_logic; S2: out std_logic ); end MEaly; architecture behavioral of Mealy is type nombres_estados is (Q0, Q1); signal estado: nombres_estados; signal entrada_aux: std_logic_vector (0 downto 0); begin entrada_aux<=E0 process(inicio, ck) begin if inicio=1 then estado<=Q0; elsif ck=1 and ckevent then case estado is when Q0 => case entrada_aux is when 0 => estado<=Q0; when 1 => estado<=Q1; when others => estado<=Q0; end case; when Q1 => case entrada_aux is when 0 => estado<=Q1; when 1 => estado<=Q0; when others => estado<=Q0; end case; when others => estado<=Q0; end case; end if;

end process; process(estado, entrada_aux) begin case estado is when Q0 => case entrada_aux is when 0 => S0<=0; S1<=1; S2<=0; when 1 => S0<=1; S1<=0; S2<=0; end case; when Q1 => case entrada_aux is when 0 => S0<=0; S1<=1; S2<=0; when 1 => S0<=0; S1<=0; S2<=1; end case; end case; end process; end behavioral;

7. RELACIN ENTRE LAS VARIABLES DE ENTRADA Y SALIDA DEL SISTEMA La relacin de entrada y salida se muestra en la siguiente tabla, en donde el 1 representa los interruptores y Motores conectado a 12 voltios y 0 a tierra.

VARIABLES DE ENTRADA VARIABLES DE SALIDA RESULTADO Cto Cto Motor Motor Marcha Switche Switche 2 Monoestable Monoestable 1 2 1 1 1 0 0 0 Adelante 1 1 1 1 0 1 1 1 Atrs 0 0 0 0 0 1 1 1 Atrs 1 0 0 Tabla de verdad del Flip Flop D (Circuito Secuencial) D 0 1 1 0 CK 1 1 0 1 Q 1 0 Q prev Q prev Q 1 0 Q prev Q prev COMENTARIO Reajustar Establecer Sin cambio Sin cambio

A la salida podremos mirar que el carro realiza los movimientos que se ven en la siguiente tabla: MOTOR 1 0 1 1 0 MOTOR 1 0 1 1 0 MOTOR 2 0 1 1 0 MOTOR 2 1 0 0 1 COMENTARIO Adelante Atrs COMENTARIO Derecha Izquierda

Sensores Entrada C Izq C Der 0 0 0 1 1 0 1 1

L293D S1 S2 1 0 1 1 0 1 0 1

S1 J1 K1 x 0 x 0 x 1 0 x

S2 J2 0 1 x x K2 x x 0 0

S1 0 1 0 1 J1 C.Der C.Izq 0 1 0 X X J1=X 1 x 0

S2 0 0 1 1

Motor Parado Adelante Atrs Parado K1 C.Der C.Izq 0 1 0 0 1 K1=C1 1 0 x

8. MONTAJE DEL CIRCUITO EN SIMULADOR PROTEUS.

VIDEO SIMULACIN
http://youtu.be/mQqbsSN8Di0

9. DESCRIBCIN DEL FUNCIONAMIENTO DEL SISTEMA En condiciones normales de operacin el controlador o puente en H L293D tiene sus entradas en 0 por lo que los dos motores estn en funcionamiento haciendo mover el carro hacia adelante, en estos momentos tenemos un led encendido que nos indica que el carrito va hacia adelante, cuando los micro-switches detectan un obstculo se activan pasando a 1 y enviando una seal en paralelo hacia el Flip Flop 74LS73 el cual lo trabajamos como tipo T, este integrado lo usamos como memoria y nos conmuta el motor, de igual forma enva seal al controlador 555 en modo monoestable el cual calibramos en 5 segundos por medio de una resistencia de 155 K junto con un condensador de 20 F para que el carro vaya en reversa y cambiando de direccin; estos dos dispositivos alimentan con su salidas en 1 a uno de los dos integrados 74LS08 que a su vez dan seal al controlador o puente

en H L293D que es el encargado de controlar directamente el movimiento de los dos motores y el sentido de giro de los mismos. El puente H nos controla el movimiento hacia delante de los dos motores, pararlos, invertir el giro de un motor para que el carro retroceda y gire a la derecha o a la izquierda. Para el clculo del retardo empleamos la siguiente frmula: = 1.1

Tiempo de retardo para cambio de direccin de 5 seg, le damos un valor de 20F para el condensador y resolvemos la siguiente ecuacin: =5 = 5 =
.

1.1

= 20

0,000020 1.1

= 227272.72 227

PUENTE H: Un Puente H es un circuito electrnico que permite a un motor elctrico DC girar en ambos sentidos, avance y retroceso. Son ampliamente usados en robtica y como convertidores de potencia. Los puentes H estn disponibles como circuitos integrados, pero tambin pueden construirse a partir de componentes discretos, mediante transistores. Usaremos el integrado L293D para manejar los pequeos motores tienen capacidad de controlar corriente hasta 600 mA en cada circuito y una tensin entre 4,5 V a 36 V, se pueden usar de manera independiente para controlar un nico sentido de giro. El integrado permite formar, entonces, dos puentes H completos, con los que se puede realizar el manejo de dos motores. En este caso el manejo ser bidireccional, con frenado rpido y con posibilidad de implementar fcilmente el control de velocidad.

CONCLUSIONES

Con la elaboracin del presente trabajo se ha dejado en claro la estructura, objetivos y finalidad del curso de Sistemas Digitales Secuenciales. Adems de analizar su importancia en el campo profesional y laboral de la Ingeniera de Electrnica, el cual son elementos importantes en ellos. Los circuitos digitales que hasta hora se han considerado, han sido combinacionales, esto quiere decir que las salidas en cualquier momento dependen de cualquier momento de las entradas presentes en ese tiempo. Aunque cualquier sistema digital es susceptible de tener circuitos combinacionales, la mayora de los sistemas que se encuentran en la prctica tambin incluyen elementos de memoria, los cuales requieren que el sistema se describa en trminos de lgica secuencial.

BIBLIOGRAFIA Georffrey Acevedo Gonzlez. (2008) Modulo del curso acadmico Sistemas Digitales Secuenciales. Universidad Nacional Abierta y a Distancia UNAD. Escuela de Ciencias Bsicas, Tecnologa e Ingeniera (ECBTI), disponible en http://www.unad.learnmate.co/mod/resource/view.php?inpopup=true&id=8419 Eduardo J. Carletti, Manejo de potencia para motores con el integrado L293D. Disponible en http://robots-argentina.com.ar/MotorCC_L293D.htm Tabla de verdad y diagrama temporal del Flip-Flop tipo D, El flip-flop tipo D. Descripcin. Smbolo. Disponible en http://www.unicrom.com/dig_FF_D_disparo_tabla_verdad_diagrama_temporal.asp Clculos de resistencias y condensadores. Disponible en http://www.huarpe.com/electronica2/capitulo/capitulo08/html/555mono.html

Vous aimerez peut-être aussi