Vous êtes sur la page 1sur 13

Unidad III Introduccin a la lgica secuencial

Qu es un FLIP-FLOP. Es un biestable, tambin llamado bscula (flip-flop en ingls), es un multivibrador capaz de permanecer en un estado determinado o en el contrario durante un tiempo indefinido. Esta caracterstica es ampliamente utilizada en electrnica digital para memorizar informacin. El paso de un estado a otro se realiza variando sus entradas. Dependiendo del tipo de dichas entradas los biestables se dividen en: Asncronos: slo tienen entradas de control. El ms empleado es el biestable RS. Sncronos: adems de las entradas de control posee una entrada de sincronismo o de reloj. Si las entradas de control dependen de la de sincronismo se denominan sncronas y en caso contrario asncronas. Por lo general, las entradas de control asncronas prevalecen sobre las sncronas. La entrada de sincronismo puede ser activada por nivel (alto o bajo) o por flanco (de subida o de bajada). Dentro de los biestables sncronos activados por nivel estn los tipos RS y D, y dentro de los activos por flancos los tipos JK, T y D.
1

Qu es Realimentacin? Para poder entender bien el funcionamiento de un Flip-Flop (basculador), hay que tener bien claro el concepto de realimentacin. Cuando una salida es conectada a una entrada del mismo circuito se dice que hay realimentacin. Esta accin de realimentar una salida hacia la entrada causa en muchos casos un efecto de memoria (hay la capacidad de almacenar informacin). Ver la figura: Datos de inicio: - X = Q (la entrada X est conectada a la salida Q y no est disponible para ser modificada) - Y = entrada disponible y esta puesta a "0" (nivel bajo), se puede modificar. Secuencia: 1 - Q = 0 entonces X = 0 2- Y=0 3 - Y cambia a "1" y causa que... 4 - Q cambia a "1" 5 - Y cambia a "0" y ...... 6 - Q se queda e "1" (No cambia a "0", hay el efecto memoria) ...

y se debe a que Q, que es "1", se realimenta a la entrada X causando que sta se mantenga en "1" (Un "1" en cualquier entrada causa un "1" a la salida en una compuerta "OR") En otras palabras, este circuito recuerda que la entrada Y fue "1". Esta situacin se mantendr as, hasta que se le quite la alimentacin.
2

El FLIP FLOP (basculador) RS o enclavador RS implementado con compuertas NOR.

Este circuito se compone de dos compuertas NOR conectadas como se muestra en la figura, (ver las realimentaciones) y se llama RS porque sus entradas tiene los nombres SET (poner un "1" en la salida Q) y RESET (reponer o poner a "0" la salida Q) Funcionamiento (ver que son compuertas NOR) Caso SET 1 - Se pone S = "1" y R = "0" 2 - En la compuerta A, con S = "1" La salida Q = "0" 3 - Q se realimenta a la entrada de la compuerta B, Q = "0" entonces la entrada tambin es "0" 4 - Las dos entradas de la compuerta B estn en nivel bajo, lo que causa que la salida Q pase a "1" 5 - La salida Q se realimenta a la entrada de la compuerta A, y 6 - Las dos entradas de la compuerta Y estn en "1", lo que causa que la salida Q permanezca en "0" Caso Reset 1 - Las entradas cambian S pase de "1" a "0" y R = pasa de "0" a "1" 2 - Con R = 1, Q en la compuerta B pasa a "0", y ..... 3 - Este Q se realimenta a la entrada de la compuerta A, y causa .... 4 - Que la salida Q pase a "1" Todo lo anterior se puede resumir en un tabla de verdad

Operacin Memoria Reset

Entradas S 0 0 R 0 1 Q Qo 0

Salidas Q Qo 1

Set
Prohibido

1
1

0
1

1
0

0
0

De la tabla se observa se ve que las operaciones Reset y Set ponen en la salida Q los valores "0" y "1" respectivamente. La operacin Memoria mantiene el valor anterior que haba en Q (Qo). Y la operacin Prohibido, como su nombre lo indica es una combinacin que debe evitarse en el flip Flop RS.

El basculador RS o enclavador RS implementado con compuertas NAND. Circuito eliminador de rebote. De la misma manera que se implement un flip flop RS con compuertas NOR, tambin se puede hacer lo mismo con compuertas NAND.

El flip flop RS est implementado con compuertas NAND, ver que las entradas son S y R El anlisis del funcionamiento de este flip flop es similar a la del flip flop RS con compuertas NOR Tabla de verdad del flip flop RS implementado con compuertas NAND:
Operacin Entradas S 0 0 1 1 R 0 1 0 1 Q 1 0 1 Qo Salidas Q 1 1 0 Qo

Prohibido Reset Set Memoria

Este circuito tiene una aplicacin muy interesante:

Circuito eliminador de rebote. Cuando se implementa un conmutador con el propsito de alimentar un circuito, ya sea con un nivel bajo "0 V." o un nivel alto "5 V. Es muy difcil lograr que esta seal de entrada sea perfecta. Esto debido a que el conmutador es un elemento mecnico , que a la hora de cerrar produce rebotes. Estos rebotes seran similares a los de una pelota que se deja caer y al final se detiene. En un conmutador este fenmeno no es evidente pero si ocurre.

Salida ideal y salida real de un conmutador

Circuito eliminador de rebote


Esta situacin podra ser no deseable para el circuito que recibe la seal. Con el siguiente circuito se elimina el problema. La seal se aplica a la entrada A y la salida se obtiene en la salida Q. Si se aplica la seal a B la salida estar en Q.

Identificar las caractersticas de los diferentes Flip-Flops Enclavador D Flip-flop D elemental, sncrono y asncrono, seal de reloj, diagrama temporal Si se sabe como funciona el enclavador RS, se lo puede representar de manera que slo las entradas y salidas estn disponibles (S, R, Q y Q). El pequeo circulo que se pone en algunas entradas, junto a las letras "S" o "R", significa que esa entrada es activa en bajo (0 lgico). Ver el diagrama abajo a la izquierda. Si no tiene el circulo la entrada es activa en alto.(1 lgico). Ver diagrama abajo a la derecha.

El enclavador tipo D implementado con FF RS Un FF tipo D slo tiene una entrada, pero mantiene las mismas salidas que el FF tipo RS. Con un FF RS se puede implementar un FF tipo D si se coloca entre las dos entradas R y S un inversor como se muestra

Flip-flop asincrnicos o asncronos Los enclavadores RS varan sus salidas dependiendo del momento en que las entradas y salidas previas cambian. En estos circuitos no existe una seal de sincrona que establezca un momento en todos los cambios sucedan. Flip-flop sincrnicos o sncronos Son otro tipo de FF, que utilizan una seal especial (llamada seal de reloj). Esta seal establece el ritmo con el cual las seales se transmiten en el FF y entre FFs. El circuito de reloj mas simple es un oscilador de onda cuadrada. En el caso del enclavador tipo D anterior, se necesita que la seal de reloj este en un nivel alto para que la seal que estn en la entrada D pase a la salida Q

Del siguiente diagrama temporal se puede ver claramente que la entrada "D" (color marrn) pasa a la salida Q (color azul) slo cuando el nivel del reloj (color naranja) est en nivel alto. Si el nivel del reloj est en nivel bajo, la salida se mantiene en el estado en el que estaba antes de que el reloj pasara a nivel bajo. La salida Q (color verde) tiene el nivel opuesto a la salida Q

Del siguiente diagrama temporal se puede ver claramente que la entrada "D" (color marrn) pasa a la salida Q (color azul) slo cuando el nivel del reloj (color naranja) est en nivel alto. Si el nivel del reloj est en nivel bajo, la salida se mantiene en el estado en el que estaba antes de que el reloj pasara a nivel bajo. La salida Q (color verde) tiene el nivel opuesto a la salida Q

Nota: - Bascular = cambiar de estado - FF = flip flop = flip-flop - asncrono = asincrnico - sncrono = sincrnico

FF JK, (universal), tipo D, Tipo T (entradas y salidas) El flip-flop JK es tambin llamado "flip-flop universal" debido a que con l, se pueden implementar otros tipos de flip-flop, como el FF tipo "D" o el FF tipo "T". En el siguiente diagrama se presenta la representacin de un flipflop tipo JK y las conexiones adicionales que hay que hacer para poder implementar un flip-flop tipo D y un flip-flop tipo T

FF JK

FF tipo D

FF tipo T

Este FF a parte de las entradas J y K y las salidas Q y Q, tambin tiene una entrada para la seal de reloj (CLK). (Esto significa que es sincrnico). La entrada de reloj del FF se comporta de diferente manera dependiendo de las caractersticas del FF.

10

Si el FF tiene una entrada de reloj que se dispara por nivel, tiene el siguiente diagrama

Si el FF tiene una entrada de reloj que se dispara por el flanco anterior o ascendente, tiene el siguiente diagrama

Si el FF tiene una entrada de reloj que se dispara por el flanco posterior o descendente, tiene el siguiente diagrama

11

Tambin existen dos entradas adicionales muy importantes: La entrada PRESET (poner), que sirve para poner directamente en el FF un "1" en la salida Q y la entrada CLEAR (borrar), que sirve para poner en "0" en la salida Q. Estas entradas son asincrnicas, lo que significa que tendrn efecto sin importar el estado del reloj y/o las entradas J y K. Es importante no activar simultneamente estas dos entradas. Importante: Los FF pueden "TENER o NO" una pequea burbuja (esfera, bolita) en las entradas PRESET o CLEAR. - Cuando NO la tienen significa que la seal es activa cuando est en nivel ALTO. - Cuando SI la tienen significa que la seal es activa cuando est en nivel BAJO. El diagrama completo del flip-flop JK ser entonces:

12

Tabla de verdad para el FF JK anterior.


Entradas Operacin Prohibido Preset Clear Memorizar Reset Set Bascular Preset 0 0 1 1 1 1 1 Clear 0 1 0 1 1 1 1 Reloj (CLK) X X X J X X X 0 0 1 1 K X X X 0 1 0 1 Q 1* 1 0 Qo 0 1 Qo Salidas Q 1* 0 1 Qo 1 0 Qo

De la tabla de verdad anterior se puede ver que las entradas CLEAR (CLR) y PRESET son activas en bajo (ver la pequea esfera en estas entradas) y se imponen en la salida Q sin importar el estado del reloj y de las entradas J y K. (ver las entradas J, K y el reloj con una X) Para que las entradas J y K y el reloj sean funcionales, las entradas Clear y Preset deben de estar en nivel "alto" (no activas), entonces: - Memorizar: Con J = 0 y K = 0, hay un estado de memoria o retencin (mantiene la salida que tena antes de que las entradas hayan cambiado). - Reset: Con J = 0 y K = 1, se pode en Q un "0" y en Q un "1". - Set: Con J = 1 y K = 0, se pode en Q un "1" y en Q un "0". - Bascular: Con J = 1 y K = 1, el FF bascula pasando de un nivel a otro ("0" a "1" o "1" a "0"). Lo anterior slo tiene efecto en el momento en que el pulso de reloj est en el flanco descendente o posterior (ver la flecha en 13 la columna "Reloj")

Vous aimerez peut-être aussi