Vous êtes sur la page 1sur 33

INSTITUTO TECNOLGICO DE TAPACHULA

INGENIERA ELECTROMCANICA

ELECTRONICA DIGITAL
UNIDAD IV: LOGICA SECUENCIAL

PRESENTA:
RODRIGO HERNNDEZ GALINDO
JOSE DANIEL ALVAREZ DEL VALLE
LEONARDO DANIEL CRUZ GONZLEZ
EFRAIN DE LOS SANTOS MANZO

PROFESOR:
ING. JOS CANDELARIO MEDINA CORTEZ

TAPACHULA, CHIAPAS A 14 de enero del 2015

Lgica secuencial

4.1 Diseo de circuitos generadores de pulsos de reloj.


Este se puede disear con diferentes tipos de circuitos integrados, su funcin
es la de enviar una seal en determinado momento o tiempo haciendo clculo
de estos mismos, su diseo es de gran importancia porque su uso va desde
sencillos circuitos para lograr encender un led en determinado tiempo hasta
controlar el tiempo en que una bomba debe encender y apagar.
Temporizador 555
En el mercado existen un conjunto de circuitos integrados denominados
temporizadores (timers) especialmente diseados para realizar multivibradores
monoestables y astables. El temporizador 555 es un circuito integrado barato y
muy popular. En la siguiente figura se muestra su diagrama circuital este
temporizador:

Est constituido por dos comparadores, un flip-flop SR y un transistor que acta


como un elemento de conmutacin. Las tres resistencias en serie de valor R
definen las tensiones de comparacin a 1/3VCC y 2/3VCC.
Clculos:
En los clculos se puede utilizar un valor supuesto por nosotros en la formula,
ya que hay dos incgnitas de por medio pero una hay que suponerla para hallar
la otra y el tiempo es valor conocido ya por nosotros, consideramos de vital
importancia los valores comerciales ya que supondremos el valor del capacitor
porque son los que menos varan en el mercado. Luego al haber sustituido y
despejado en las frmulas de las dos configuraciones podemos obtener una
astable y monoestable.

Ejemplo prctico de monoestable:


Supongamos que te pidan la configuracin de un monoestable donde te dicen
que el tiempo de duracin es 1s suponiendo un capacitor con valor real en el
mercado en este caso 47mF entonces sustituyendo quedara:
T= 1.1RC
R=T/1.1C
R=1s/1.1x47mF
R=19.34k
Ejemplo prctico astable:
Para los clculos del astable podemos considerar que los tiempos de referencia
son: T1= 0.7s y T2=0.3s. Para hallar el valor de la R 2 primero tenemos que usar
la frmula de:
T2= 0.693 R2C
R2= T2/0.693xC
R2=0.3s/0.693x47mF
R2=9.21k
Con base en este valor obtenido de R2 podemos hallar la R1 con la siguiente
frmula propuesta en la teora:
T1=0.693 (R1+R2) C
0.7=0.693 (R1 + 9.21k)(47mF)
R1=(0.7s/0.693x47mF) -9.21k

4.2 Parmetros elctricos de las seales de reloj utilizadas en circuitos


secuenciales.
El comportamiento de un circuito secuencial se determina mediante las
entradas, las salidas y los estados de sus flip-flops. Tanto las salidas como el
estado siguiente son funcin de las entradas y del estado presente. El anlisis
de los circuitos secuenciales consiste en obtener una tabla o un diagrama de
las secuencias de tiempo de las entradas, salidas y estados internos. Tambin
es posible escribir expresiones booleanas que describen el comportamiento de
los circuitos secuenciales. Sin embargo, esas expresiones deben incluir la
secuencia de tiempo necesaria ya sea en forma directa o indirecta.

Un diagrama lgico se reconoce como el circuito de un circuito secuencial e


incluye los flip-flops. Los flip-flops puede ser cualquier tipo y el diagrama lgico
puede o no incluir compuertas combinacionales.
Existen dos grandes tipos de circuitos secuenciales:
a) Maquina de Mealy. En este tipo de circuitos, las salidas dependen, en cada
instante de los valores de los elementos de memoria y de las entradas
presentes en ese instante.
Aqu, para cada estado, podemos tener tantas salidas como combinaciones
tengarnos en las entradas.
b) Maquina de Moore. Aqu las salidas en cada instante dependen
exclusivamente de los estados de los elementos de memoria, y no dependen
directamente de las entradas en ese instante. Los valores de las entradas,
sirven para modificar las diversas transiciones entre estados.
4.3 Flip flops (R-S,T,D,J-K)
Siendo los Flip-Flop las unidades bsicas de todos los sistemas secuenciales,
existen cuatro tipos: el RS, el JK, el T y el D. Y los ltimos tres se implementan
del primero pudindose con posterioridad con cualquiera de los resultados
confeccionar quienquiera de los restantes.
Todos pueden ser de dos tipos, a saber: Flip-Flop activado por nivel (FF-AN) o
bien Flip-Flop maestro-esclavo (FF-ME). El primero recibe su nombre por
actuar meramente con los "niveles" de amplitud 0-1, en cambio el segundo son
dos FF-AN combinados de tal manera que uno "hace caso" al otro.
Un circuito flip-flop puede mantener un estado binario indefinidamente (Siempre
y cuando se le este suministrando potencia al circuito) hasta que se cambie por
una seal de entrada para cambiar estados. La principal diferencia entre varios
tipos de flip-flops es el numero de entradas que poseen y la manera en la cual
las entradas afecten el estado binario.

Circuito bsico de un flip-flop

Se menciono que un circuito flip-flop puede estar formado por dos compuertas
NAND o dos compuertas NOR. Estas construcciones se muestran en
los diagramas lgicos de las figuras. Cada circuito forma un flip-flop bsico del
cual se pueden construir uno mas complicado. La conexin de acoplamiento
intercruzado de la salida de una compuerta a la entrada de la otra constituye un
camino de retroalimentacin. Por esta razn, los circuitos se clasifican como
circuitos secuenciales asincrnicos. Cada flip-flop tiene dos salidas, Q y Q y
dos entradas S (set) y R (reset). Este tipo de flip-flop se llama Flip-Flop RS
acoplado directamente o bloqueador SR (SR latch). Las letras R y S son las
iniciales de los nombres en ingls de las entradas (reset, set).

Flip-Flop RS

Tiene tres entradas, S (de inicio), R (reinicio o borrado) y C (para reloj). Tiene
una salida Q, y a veces tambin una salida complementada, la que se indica
con un circulo en la otra terminal de salida. Hay un pequeo tringulo en frente
de la letra C, para designar una entrada dinmica. El smbolo indicador
dinmico denota el echo de que el flip-flop responde a una transicin positiva
( de 0 a 1) de la seal de reloj.
Su unidad bsica (con compuertas NAND o NOR) se dibuja a continuacin que,
como acta por "niveles" de amplitud (0-1) recibe el nombre de Flip-Flop RS
activado por nivel (FF-RS-AN). Cuando no se especifica este detalle es del tipo
Flip-Flop RS maestro-esclavo (FF-RS-ME). Sus ecuaciones y tabla de
funcionamiento son
Q = S + q R*
RS=0

La operacin del flip-flop es como sigue. Si no hay una seal en la entrada del
reloj C, la salida del circuito no puede cambiar independientemente de cules
sean los valores de entrada de S y R. Slo cuando la seal de reloj cambia de
0 a 1 puede la salida afectarse de acuerdo con los valores de la entrada S y R.
Si S = 1 y R = 0 cuando C cambia de 0 a 1, la salida Q se inicia en 1. Si S = 0 y
R = 1 cuando C cambia de 0 a 1 la salida Q se reinicia o borra en 0. Si tanto S
como R son 0 durante la transicin de reloj, la salida no cambia. Cuando tanto
S como R son iguales a 1, la salida es impredecible y puede ser 0 o 1
dependiendo de los retrasos internos de tiempo que ocurran dentro del circuito.

Flip-flop RS temporizado
El flip-flop bsico por si solo es un circuito secuencial asincrnico. Agregando
compuertas a las entradas de circuito bsico, puede hacerse que el flip-flop
responda a los niveles de entrada durante la ocurrencia del reloj. El flip-flop RS
temporizado mostrado en la siguiente figura consiste en un flip-flop bsico NOR
y dos compuertas NAND. Las salidas de las dos compuertas AND permanecen
en cero mientras el pulso del reloj (abreviado en ingls CP) sea 0,
independientemente de los valores de entrada S y R se permite llegar al flip-

flop bsico. El estado de puesta a uno se logra con S=1, R=0 y CP=1. Para
cambiar el estado de puesta a cero (o borrado) las entradas deben ser S=0,
R=1 y CP=1. Con S=1 y R=1, la ocurrencia de los pulsos de reloj causar que
ambas salidas vayan momentneamente a 0. Cuando quite el pulso, el estado
del flip-flop ser indeterminado, es decir, podra resultar cualquier estado,
dependiendo de si la entrada de puesta a uno o la de puesta a cero del flip-flop
bsico, permanezca el mayor tiempo, antes de la transicin a 0 al final del
pulso.

Flip-flop RS temporizado
El smbolo grfico del flip-flop RS sincronizado se muestra en la figura anterior.
Tiene tres entradas: S, R y CP. La entrada CP no se describe dentro del
recuadro debido a que se reconoce fcilmente por un pequeo tringulo. El
tringulo es un smbolo para el indicador dinmico y denota el hecho que el flipflop responde a una transicin del reloj de entrada o flanco de subida de una
seal de un nivel bajo (o binario) a un nivel alto (1 binario). Las salidas del flipflop se marcan con Q y Q dentro del recuadro. Se le puede designar al flip-flop
un nombre de variable diferente aunque se escriba una Q dentro del recuadro.
En este caso la letra escogida para la variable del flip-flop se marca por fuera
del recuadro y a lo largo de la lnea de salida. El estado del flip-flop se
determina del valor de su salida normal Q. Si se desea obtener el complemento
de salida normal, no es necesario usar un inversor ya que el valor
complementado se obtiene directamente de la salida Q.
La tabla caracterstica del flip-flop se muestra en la figura antes presentada.
Esta tabla resume la operacin del flip-flop en forma de tabulado. Q es el
estado binario del flip-flop en un tiempo dado (refirindose al estado presente),
las columnas S y R dan los valores posibles de las entradas y Q(t + 1) es el
estado del flip-flop despus de la ocurrencia de un pulso de reloj (refirindose
al siguiente estado).
La ecuacin caracterstica de un flip-flop se deduce del mapa de la figura antes
mencionada. Esta ecuacin especifica el valor del siguiente estado como
una funcin del presente estado y de las entradas. La ecuacin caracterstica
de una expresin algebraica para la informacin binaria de la tabla
caracterstica. Los dos estados indeterminados se marcan con una X en el
mapa, ya que pueden resultar como 1 o como 0. Sin embargo la relacin SR=0
debe incluirse como parte de la ecuacin caracterstica para especificar que S y
R no pueden ser iguales a 1 simultneamente.

Flip-Flop JK
Un flip-flop JK es un refinamiento del flip-flop SR en el sentido que la condicin
indeterminada del tipo SR se define en el tipo JK. Las entradas J y K se
comportan como las entradas S y R para iniciar y reinicia el flip-flop,
respectivamente. Cuando las entradas J y K son ambas iguales a 1, una
transicin de reloj alterna las salidas del flip-flop a su estado complementario.
Su unidad bsica se dibuja a continuacin que, como acta por "niveles" de
amplitud (0-1) recibe el nombre de Flip-Flop JK activado por nivel (FF-JK-AN).
Cuando no se especifica este detalle es del tipo Flip-Flop JK maestro-esclavo
(FF-JK-ME). Su ecuacin y tabla de funcionamiento son

Q = J q* + K* q

Se da detalle de su confeccin lgica a partir del FF-RS-AN.

y si simplificamos por ejemplo usando Veich-Karnaugh

R=Kq
S = J q*
resulta el circuito

Flip-flop JK

Un flip-flop JK es un refinamiento del flip-flop RS ya que el estado


independiente del termino RS se define en el tipo JK. Las entradas J y K se

comportan como las entradas R y S para poner a uno o cero (set o reset) al flipflop (ntese que en el flip-flop JK la entrada J se usa para la entrada de puesta
a uno y la letra K para la entrada de puesta a cero). Cuando ambas entradas se
aplican a J y K simultneamente, el flip-flop cambia a su estado de
complemento, esto es, si Q=1 cambia a Q=0 y viceversa.
Un flip-flop sincronizado se muestra en la figura anterior. La salida Q se aplica
con K y CP a una compuerta AND de tal manera que el flip-flop se ponga a
cero (clear) durante un pulso de reloj solamente si Q fue 1 previamente. De
manera similar la salida Q se aplica a J y CP a una compuerta AND de tal
manera que el flip-flop se ponga a uno con un pulso de reloj, solamente si Q
fue 1 previamente.

Flip-flop JK temporizado

Como se muestra en la tabla caracterstica de la figura, el flip-flop JK se


comporta como un flip-flop RS excepto cuando J y K sean ambos 1. Cuando J
y K sean 1, el pulso de reloj se transmite a travs de una compuerta AND
solamente; aquella cuya entrada se conecta a la salida del flip-flop la cual es al
presente igual a 1. As, si Q=1, la salida de la compuerta AND superior se
convertir en 1 una vez que se aplique un pulso de reloj y el flip-flop se ponga a
cero. Si Q=1 la salida de la compuerta AND se convierte en 1 y el flip-flop se
pone a uno. En cualquier caso, el estado de salida del flip-flop se complementa.
Las entradas en el smbolo grfico para el flip-flop JK deben marcarse con una
J (debajo de Q) y K (debajo de Q). La ecuacin caracterstica se da en la
figura y se deduce del mapa de la tabla caracterstica.
Ntese que debido a la conexin de retroalimentacin del flip-flop JK, la seal
CP que permanece en 1 (mientras que J=K=1) causar transiciones repetidas y
continuas de las salidas despus que las salidas hayan sido completadas. Para
evitar esta operacin indeseable, los pulsos de reloj deben de tener un tiempo
de duracin que es menor que la demora de propagacin a travs del flip-flop.
Esta es una restriccin, ya que la operacin del circuito depende del ancho de
los pulsos. Por esta razn los flip-flops JK nunca se construyen como se
muestra en la figura. La restriccin del ancho del pulso puede ser eliminada con
un maestro esclavo o una construccin activada por flanco de la manera
discutida en la siguiente seccin. El mismo razonamiento se aplica al flip-flop T
presentado a continuacin.

Flip-Flop T
El flip-flop T se obtiene del tipo JK cuando las entradas J y K se conectan para
proporcionar una entrada nica designada por T. El flip-flop T, por lo tanto, tiene
slo dos condiciones. Cuando T = 0 ( J = K = 0) una transicin de reloj no
cambia el estado del flip-flop. Cuando T = 1 (J = K = 1) una transicin de reloj
complementa el estado del flip-flop.
Su unidad bsica se dibuja a continuacin que, como acta por "niveles" de
amplitud (0-1) recibe el nombre de Flip-Flop T activado por nivel (FF-T-AN).
Cuando no se especifica este detalle es del tipo Flip-Flop T maestro-esclavo
(FF-T-ME). Su ecuacin y tabla de funcionamiento son
qQ = T

A partir del FF-RS-AN puede disearse este FF-T-AN siguiendo los pasos
mostrados anteriormente, pero no tiene sentido ya que al ser activado por nivel
no tiene utilidad.

Flip-Flop D
El flip-flop D (datos) es una ligera modificacin del flip-flop SR. Un flip-flop SR
se convierte a un flip-flop D insertando un inversor entre S y R y asignando el
smbolo D a la entrada nica. La entrada D se muestra durante la ocurrencia de
uan transicin de reloj de 0 a 1. Si D = 1, la salida del flip-flop va al estado 1,
pero si D = 0, la salida del flip-flop va a el estado 0.
Su unidad bsica se dibuja a continuacin que, como acta por "niveles" de
amplitud (0-1) recibe el nombre de Flip-Flop D activado por nivel (FF-D-AN).
Cuando no se especifica este detalle es del tipo Flip-Flop D maestro-esclavo
(FF-D-ME) comnmente denominado tambin Cerrojo Latch. Su ecuacin y
tabla de funcionamiento son
Q=D

A partir del FF-RS-AN puede disearse este FF-D-AN siguiendo los pasos
mostrados anteriormente, pero no tiene sentido ya que al ser activado por nivel
no tiene utilidad.

Flip-flop D

El flip-flop D mostrado en la figura anterior es una modificacin del flip-flop RS


sincronizado. Las compuertas NAND 1 y 2 forman el flip-flop bsico y las
compuertas 3 y 4 las modifican para conformar el flip-flop RS sincronizado. La
entrada D va directamente a la entrada S y su complemento se aplica a la
entrada R a travs de la compuerta 5. Mientras que el pulso de reloj de entrada
sea un 0, las compuertas 3 y 4 tienen un 1 en sus salidas, independientemente
del valor de las otras entradas. Esto esta de acuerdo a los requisitos de que las
dos entradas del flip-flop bsico NAND permanezcan inicialmente en el nivel de
1. La entrada D se comprueba durante la ocurrencia del pulso de reloj. Si es 1,
la salida de la compuerta 3 va a 0, cambiando el flip-flop al estado de puesta a
uno (a no ser que ya este en ese estado). Si en 0, la salida de la compuerta 4
va a 0, cambiando el flip-flop al estado de borrado.

Flip-flop D temporizado

El flip-flop tipo D recibe su nombre por la habilidad de transmitir "datos" a un


flip-flop. Es bsicamente un flip-flop RS con un inversor en la entrada R. el
inversor agregado reduce el numero de entradas de dos a uno. Este tipo de
flip-flop se llama algunas veces bloqueador D con compuertas o flip-flop de
bloqueo. La entrada CP se le da a menudo la designacin variable G (de gate)
para indicar que esta entrada esta habilita el flip-flop de bloqueo para hacer
posible que los datos entren al mismo.
El smbolo para el flip-flop D sincronizado se muestra en la figura. La tabla
caracterstica se lista en la parte (c) y la ecuacin caracterstica se lista en la
parte (d). la ecuacin caracterstica muestra que el siguiente estado del flip-flop
es igual a la entrada D y es independiente del valor del presente estado.

4.4 Registros de corrimiento o desplazamiento.


Un registro de desplazamiento es un circuito digital secuencial (es decir, que los
valores de sus salidas dependen de sus entradas y de los valores anteriores)
consistente en una serie de biestables, generalmente de tipo D, conectados en
cascada (Fig. 1), que basculan de forma sincrnica con la misma seal de reloj. Segn
las conexiones entre los biestables, se tiene un desplazamiento a la izquierda o a la
derecha de la informacin almacenada. Es de sealar que un desplazamiento a la
izquierda de un conjunto de bits, multiplica por 2, mientras que uno a la derecha, divide
entre 2. Existen registros de desplazamiento bidireccionales, que pueden funcionar en
ambos sentidos. Los registros universales, adems de bidireccionales permiten la
carga en
paralelo

Fig. 1: Registro de desplazamiento de 4 bits.

TIPOS DE REGISTROS DE DESPLAZAMIENTO

Dependiendo del tipo de entradas y salidas, los registros de desplazamiento se


clasifican como:
Serie-Serie: slo la entrada del primer flip-flop y la salida del ltimo son
accesibles externamente. Se emplean como lneas de retardo digitales y en
tareas de sincronizacin.
Paralelo-Serie: son accesibles las entradas de todos los flip-flops, pero slo la
salida del ltimo. Normalmente tambin existe una entrada serie, que slo
altera el contenido del primer flip-flop, pudiendo funcionar como los del grupo

anterior. Este tipo y el siguiente se emplean para convertir datos serie en


paralelo y viceversa.

Este tipo convierte: Dato en paralelo a dato en serie.


Serie-Paralelo: son accesibles las salidas de todos los flip-flops, pero slo la
entrada del primero. Este tipo y el anterior se emplean para convertir datos
serie en paralelo y viceversa, por ejemplo para conexiones serie como
el RS232.
Este tipo convierte: Dato en serie a dato en paralelo.
Paralelo-Paralelo: tanto las entradas como las salidas son accesibles. Se usan
para clculos aritmticos.
Un registro de desplazamiento muy utilizado, que es universal (se llama as
porque puede utilizarse en cualquiera de las cuatro configuraciones
anteriormente descritas) y bidireccional (porque puede desplazar los bits en un
sentido u otro) es el 74HC194, de cuatro bits de datos.
Otros registros de desplazamiento conocidos, fabricados tambin con la
tecnologa CMOS, son el 74HC165 (entrada paralelo, salida serie) y 74HC164
(entrada serie, salida paralelo).
APLICACIONES
Adems de la conversin serie-paralelo y paralelo-serie, los registros de
desplazamiento tienen otras aplicaciones tpicas:

Generador pseudoaleatorio. Se construye con un registro de desplazamiento,


realimentando a la entrada una combinacin de varias salidas, normalmente un or
exclusivo entre ellas.

Multiplicador serie. Se realiza la multiplicacin mediante sumas y


desplazamientos. Un ejemplo es el 74LS384.

Registro de aproximaciones sucesivas. Se usa en conversores A/D. Se van


calculando los bits sucesivamente, empezando por el ms significativo. Mediante
un conversor DAC se compara la entrada analgica con los resultados parciales,
generando el siguiente bit.

Retardo. Se pueden utilizar para retardar un bit un nmero entero de ciclos de


reloj (consiste simplemente en un conjunto de biestables en cascada, tantos como
ciclos de reloj deseemos retardar los bits).

Formas de construir registros de desplazamiento


Se pueden combinacionales y secuenciales). Por ejemplo:

Registro de entrada paralelo y salida serie. Puede construirse con un


multiplexor digital combinacional y un contador. Las entradas de datos del
multiplexor se conectan a los datos a transmitir, y las entradas de control, a
las salidas del contador (el bMs del MUX conectado al bMs del contador),
dicho contador deber estar en modo de carrera libre.

Registro de entrada serie y salida paralelo. Similar al caso anterior, se


sustituye el muliplexor por un demultiplexor, ahora las salidas de ste sern
las salidas paralelos.

Biestables en cascada. Con esto y la lgica combinacional adecuada,


se pueden construir incluso registros de desplazamiento bidireccionales y
universales, aunque en este caso es ms aconsejable disponer del
74HC194, dado que ocupa mucho menos espacio (y el precio del integrado
es muy asequible) y en un solo integrado incluye las cuatro posibles
configuraciones y la funcionalidad de desplazar los bits en ambos sentidos.

Registros con entrada serie y salida serie (SISO)

A continuacin se muestra un registro de desplazamiento con entrada y salida


en serie de 5 bits formado con biestablesmaestro esclavo RS:

Observamos que la entrada S del primer biestable est conectado a la entrada


y est negada a la entrada R. Con esto se consigue que, cuando en la entrada
haya un 1, el primer biestable contendr un 1 (Q=1, Q=0) y los dems un 0.
Con la siguiente seal de reloj el bit almacenado en el primer biestable se
desplazar al siguiente y as uno tras otro hasta la salida en serie. Esto sucede
as porque la salida Q est conectada a la S del siguiente biestable. Tambin
podemos observar que los biestables nunca pueden estar en estado de
mantenimiento o en estado prohibido, ya que la entrada enserie pasa afirmada
a la S y negada a la R.
Los registros de desplazamiento se implementan con biestables maestro
esclavo, pues son capaces de almacenar la informacin un flanco, y transmitirla
durante el siguiente.
Cuando el registro se efecta de izquierda a derecha se denomina
desplazamiento hacia la derecha. Si el registro combina ambos tipos se llama
bidireccional.

Registros con entrada serie y salida paralelo (SIPO)


La estructura de un registro serie paralelo es muy similar a la de un registro con
entrada y salidas en serie:

Observamos que la nica diferencia es que se le aade una salida a cada una
de las salidas Q del biestable: de esta manera se pueden obtener todos los
datos a la vez. Por otro lado, tambin se puede obtener una salida en serie de
cualquier salida Q o Q.

Habitualmente se suele aadir una entrada de puesta a cero asncrona


(CLEAR) cuya funcin es inicializar el registro.
En ltimo lugar destacar que estos registros se suelen utilizar para el cambio
de una palabra de serie a paralelo.

Registros con entrada paralelo y salida serie (PISO)

A continuacin se muestra un esquema de un registro con entrada paralelo y


salida serie y carga asncrona.

El funcionamiento es el siguiente: cuando en la entrada de seleccin


desplazamiento /carga', hay un 0 se realiza la carga. Con el inversor este cero
se convierte en un 1 y por lo tanto las puertas NAND que hay arriba y debajo
de los biestables se convierten en inversores.
A continuacin se introducen los datos: en el bit que haya un 1, se activa el
Preset, y en el que haya un cero, se activa el Clear.
Para el desplazamiento se coloca un 1 en D/C de esta manera se consigue
que nunca se activan las entradas ni PR ni CL, ya que de las
puertas NAND siempre saldr un 1. El desplazamiento se realiza como en un
registro serie-serie.
A continuacin se muestra un registro con carga paralelo y salida serie pero en
este caso la carga es sncrono, ya que se carga por las entradas sncronas

Observamos que esto se consigue con un multiplexor de dos canales


gobernado por DESPLAZAMIENTO/ CARGA. Con esto se consigue que si se
quiere cargar los datos, se activan las entradas en paralelo que van cada una a
las entradas S R. Para obtener los datos se tiene que realizar la entrada serie.
En conclusin, podemos observar que la funcin del multiplexor es elegir entre
la carga en serie o en paralelo

Registro de entrada y salida en paralelo (PIPO)

Como se puede ver, se ha creado un registro de entrada y salida paralelo a


partir de biestables D con entrada de habilitacin. La entrada de datos es cada
una de las entradas D del biestable; la entrada de habilitacin se une a una
entrada de habilitacin global, de manera que cuando se activa, permite que se
lean los datos. Hay otra entrada (control de salida) que al activarse permite que
se lean las salidas. Aqu hemos utilizado puertas AND, aunque tambin
podramos haber utilizados puertas OR y un inversor, o tambin buffers con
entradas de alta impedancia.

4.5 Contadores.

Un contador es un dispositivo capaz de medir (contar) el nmero de cambios


de nivel en una seal de entrada, activando una seal de salida cuando se
alcanza un valor prefijado.
Estn definidos dos tipos de contadores:
Contador incremental, que acumula el nmero de impulsos recibidos por
su entrada de pulses.
Contador bidireccional (UP/DOWN), que acumula la diferencia entre los
pulses recibidos por sus entradas de cuenta ascendente y cuenta descendente.
En estos ltimos existen, a su vez, dos versiones:
De entradas de pulsos separadas, UP (cuenta ascendente) y DOWN
(cuenta descendente).
De entrada de pulses comn (PULSE), y seal adicional de direccin de
cuenta (U/D).
La operacin de contador reversible es cclica (..... 9998.9999, 0.1,.....),
mientras que el contador incremental se detiene una vez alcanzando el mdulo
de cuenta.
En los contadores bidireccionales la salida se activa cuando el valor contado es
cero: no tiene sentido detectar un valor de preseleccin que puede ser forzado
en cualquier momento con la orden <Preset>.
Adems para evitar actuaciones prematuras del contador, este no acta (no
recoge impulsos y la salida est en off) hasta que la seal <Preset> recorre al
menos una vez la secuencia ON-OFF.
Los bloques funcionales contadores definidos sobre autmatas, disponibles en
todos los tipos anteriores, necesitan para su programacin de los siguientes
campos:
Nombre de funcin, que distingue entre contadores incrementales o
bidireccionales

Numero de elemento, que indica su direccin en variable interna. Puesto


que los temporizadores y los contadores son conceptualmente muy semejantes
(el valor de cuenta cambia en cada impulso externo, mientras que los
temporizadores avanza segn un reloj interno), muchos autmatas disponen de
un rea comn para ubicarla, y la direccin o nmero de elemento puede ser
atribuida a uno u otro, aunque no a ambos simultneamente en un
mismo programa.

Parmetros de preseleccin, que indican el valor a alcanzar por el


contador antes de activar la salida (mdulo de cuenta, en los contadores
incrementales), o el valor de carga cuando se activa la seal de validacin
(preseleccin, en los contadores bidireccionales).
Adems, el bloque contador necesita de algunas seales binarias asociadas,
como son las entradas de pulsos para conteo, y las seales de habilitacin o
reset. Estas ultimas siempre son prioritarias sobre las entradas de pulses.
Si la programacin se hace por lista de instrucciones, el fabricante puede
imponer el orden de aparicin de las sentencias, agrupando las seales
correspondientes a un mismo contador en orden preestablecido de obligado
cumplimiento (opcin ms frecuente), o dar un nombre propio a las seales
involucradas, que de esta forma pueden aparecer en cualquier parte y en
cualquier orden dentro del programa.
El conteo de la seal de entrada se hace habitualmente por flanco o frente
ascendente (si interesa al frente descendente, bastara con complementar la
seal de entrada), de forma que sea cual sea la duracin del impulso, el
contador solo cuenta con la transicin o cambio de estado.
El mdulo de cuenta o la preseleccin suelen estar limitados, como en los
temporizadores, a un valor entre 0 y 9999 (16 dgitos binarios, en una palabra
o registro interno), y pueden venir dados, al igual que en aquellos, por una
constante en el programa o por lectura desde una variable interna o de
entrada/salida.
Si fueran necesarias cuentas superiores a 9999, o subdividirlas segn pesos
arbitrarios, pueden colocarse contadores en serie de forma que la salida de
cuenta alcanzada de cada uno actuara como impulso de entrada al siguiente,
al tiempo que provoca un autoreset en el contador. En este caso el modulo total
de cuenta ser el producto de los mdulos parciales.
Las aplicaciones tpicas de los contadores son:
1.
Conteo de sucesos, para ordenar acciones de mando o disparar
alarmas.
2.

Control de stocks

3.

Divisores de frecuencia, con un factor de divisin igual al mdulo de


cuenta: frecuencia de salida = frecuencia de entrada/mdulo de cuenta.

4.

Estadsticas de produccin (nmero de piezas, paradas de maquina),


etc.

Una aplicacin particular consiste en utilizar los contadores como


temporizadores a base de introducir a la entrada de pulsos una variable interna

de reloj. Puesto que el valor de contador puede mantenerse con una batera en
caso de prdida de tensin, la aplicacin permite construir temporizadores que
mantienen su estado incluso en caso de prdida de alimentacin.

El contador rpido es un mdulo de hardware independiente de la CPU, capaz


de contar impulsos exteriores de alta frecuencia procedentes de captadores
como codificadores incrementales, detectores de proximidad, etc. El contador
cuenta los impulsos de forma asncrona con el resto del autmata utilizando
sus propias entradas libremente filtradas y especficas para esta aplicacin.
Una vez iniciada la cuenta, el contenido del contador puede ponerse a cero
(Reset):
Por software actuando el programa sobre un rel interno

CONTADOR DE ALTA VELOCIDAD

Por hardware, actuando sobre una entrada especial de cero (seal Z).
El contador rpido puede estar incluido en el propio autmata, en
algunos modelos compactos de gama baja, o formar parte de mdulos de
expansin (caso de modelos compactos) o como tarjeta especial en los de tipo
modular.
El acceso al contador rpido puede establecerse de dos formas:
Por consultas desde el programa principal.
Por activacin de una interrupcin al programa principal, cuando el
contador alcanza el mdulo de cuenta prefijado.
En el primer caso, el programa compara el contenido actual del contador con
una o varias preselecciones en cada ciclo de ejecucin. Estas comparaciones
pueden realizarse en condiciones de igualdad superioridad o inferioridad
respecto a una constante programada, formalmente 0000 y 9999 (10000
impulsos), en autmatas estndar de gama media. Aunque esta forma de
operacin asegura que no se pierde ningn impulso de entrada, que quedan
acumulados en el contador, la consulta del contador rpido por comparacin
con preselecciones presenta dos problemas especficos:
1.
Al utilizar para el proceso variables o rels internos la respuesta queda
afectada por el retardo que introducen los tiempos del ciclo y de
conmutacin de salida:
2.

T res 2T ciclo + T outputdelay


Para reducir estos tiempos es frecuente utilizar como salidas del contador
interfaces estticas del transistor o triac.
3.
La consulta por comparacin permite el desbordamiento o superacin
del modulo de cuenta prefijado, si se alcanza el valor de preseleccin justo
despus de la consulta del programa. En este caso, el autmata deber
esperar todo un ciclo de ejecucin antes de que la nueva consulta detecte la
condicin de preseleccin alcanzada (y superada si el contador sigue
acumulando impulsos).

Para reducir este efecto la comparacin con las preselecciones puede no


realizarse una sola vez en cada ciclo de ejecucin del programa, si no
ordenarse varias veces de forma asncrona con el programa mediante una
seal exterior o interior peridica. As, los errores por desbordamiento se
reducen apreciablemente.
Adems de la consulta desde el programa es muy frecuente que el contador
rpido puede generar una seal de interrupcin cuando alcance su valor de
preseleccin, eliminando los errores del desbordamiento comentados. Esta
interrupcin obliga al procesador a ejecutar inmediatamente una rutina
de atencin al contador, lo que asegura la mayor rapidez de respuesta posible.
Los contadores rpidos disponibles sobre autmatas pueden clasificarse en
dos grupos segn su modo de operacin.

Contadores unidireccionales y

Contadores bidireccionales

Los primeros, muy poco frecuentes (pueden encontrarse sobre algunos


autmatas compactos), incrementan su contenido con cada impulso que
reciben por su nica lnea de datos.
Los contadores bidireccionales o incrementales, por su parte, necesitan dos
entradas de datos para determinar impulsos y sentido, y pueden a su vez
clasificarse atendiendo al tipo de entradas:
Doble tren de impulsos, desfasados 90 (<<differencial phase>>),

Doble lnea de seal, con impulsos de conteo y conteo regresivo


(<<Up/Down pulse>>)

Doble lnea de seal con impulsos de conteo y seal de sentido (<<pulse


and direction>>).
En autmatas de gama media, y para una mejor adaptacin del contador rpido
a su aplicacin ms general, el posicionamiento de mviles a partir de
<<encoders>> incrementales, el contador tiene normalmente entrada por doble
tren de impulsos, con dos entradas (fases) A y B tales que las seales o trenes
de ondas enviadas por el sensor digital incrementan o decrementan el contador
segn el desfase existente entre ellas.
El decalaje de de divisin en los captadores consigue que las seales
cuadradas de salida tengan entre si un desfase de de periodo cuando el
<<encoder>> gira en un sentido, y de de periodo si gira en sentido contrario.
El procesamiento de dichas seales mediante un simple sistema lgico permite
conocer el desplazamiento a partir de un origen, a base de contar impulsos y
determinar el sentido del movimiento segn el desfase existente entre las
seales.
Adems de los impulsos de posicin, los <<encoders>> pueden emitir tambin
al exterior una seal de referencia (cero o Reset) por cada revolucin complete

realizada, seal obtenida de un tercer captador que detecta una marca nica
en toda la banda de revolucin. Esta seal se utiliza para poner a cero el
contador de impulsos, a fin de fijar el origen de referencia.
Si el encoder utilizado no tuviese seal de fin de recorrido, debera contarce la
entrada del contador a uno de los terminales de la alimentacin (positivo o
negativo segn modelo) a travs de un contacto, para habilitacin/inhibicin de
la cuenta.
Al elegir el encoder apropiado para una aplicacin, debe comprobarse la
compatibilidad entre sus seales y las del contador en:
Frecuencia mxima de operacin

Ancho de impulsos mnimo

Niveles tensin/corriente de las seales

Los contadores rpidos soportados por los autmatas de gama alta permiten
elegir el tipo de entrada de impulsos segn la aplicacin, incorporando
adems funciones adicionales que mejoran sus prestaciones:
Validacin/inhibicin del mecanismo de conteo

Incorporacin de salidas binarias que reflejan el estado actual de cuenta

Funcionamiento incremental, con retorno automtico a cero al


alcanzarse el mdulo de cuenta

Funcionamiento incremental, con inicio de cuenta desde un valor inicial


de preseleccin Entradas de habilitacin, que bloquean la cuenta si no esta
presente la seal, etc.

Las frecuencias mximas de operacin en un contador rpido van de los 2 a 5


KHz en los modelos de gama baja, hasta los centenares de kilohercio en las
maquinas multiprocesos de gama alta, siendo valores usuales frecuencias de
10 a 50 KHz.
Adems de las aplicaciones tpicas como contador, otras posibles aplicaciones
de este dispositivos son las de temporizador de precisin con base de tiempos
menor de 1 ms, y como disparo de la rutina rpida (s se dispone de
esta funcin) a cualquier frecuencia peridica.
*En el K10 encontramos las siguientes clases de contadores:
Contador Horario

Contador Preselector Sumador

Contador Preselector Restador

Contador Preselector Suma/Resta

Contador Sumador Reset Automtico

Contador de Alta Velocidad


1.
2.

CONTADOR HORARIO:
Funcin: contador horario Nemotcnico: TMR
Direcc. 100 ms: T000 a T191 No de pasos: 3
10 ms: T192 a T255
Parmetros preseleccin directa: 0 a 65535 Presel. Indirecta: D0000 a
D1023
Descripcin cuando el contacto de activacin del temporizador esta en ON,
ste comienza a acumular los tiempos en los que permanece la entrada en
ON. Cuando el temporizador ha acumulado un tiempo igual al
preseleccionado, la salida del temporizador se pone en ON y se mantiene
en ON hasta que por una entrada de reset de una secuencia distinta se le
da una seal de puesta a cero y reinicio de ciclo.
Diagrama en escalera
Habilitado
I---I I---------[TMR Address Pre-set] I
I Reset I
I---I I------------------- RST Address] I
Ejemplo
I---I I-------------[TMR T004 00300] I
I T004 I
I---I I-----------------------------(P012) I
I P005 I
I---I I-----------------------[RST T004] I
Programacin desde PC
Teclas Descripcin
[F3] [P] [0] [0] [3] <CR> Habilitado contacto
Direcc. C000 a C225 Nemotcnico CTU
No. De Pasos: 3
Parmetros de Preseleccin directa: 0 a 65535
Presel. Directa: D0000 a D1023
Descripcin cada vez que la entrada del contador cambia de OFF a ON el
contador sumara "1" a la cantidad acumulada en el contador. Tan pronto
como el valor del contador alcance el valor preseleccionado la salida del
contador se pondr a ON, hasta que la entrada de reset se ponga ON y el
valor acumulado en el contador se pone cero y la salida del contador se
pone OFF.
Diagrama en escalera
Up - Input _________________
I---- I I-------- IU CTU Adress I
I Reset Input I I
I---- I I------- IR <S> Preset I
I
EJEMPLO
P000 _________________

I---- I I-------- IU CTU C004 I I


I P007 I I I
I---- I I------- IR <S> 00006 I I
I C004 I
I---- I I--------------------(P010)-----I I
Programacin desde Pc
Teclas Descripcin
[F3] [P] [0] [0] <CR> Up Input contact
<SHIFT - F6> CTU - Funcion
[C] [0] [0] [4] <SP> Counter Adress
[6] <CR> Pre Set Count Value
[F3] [P] [0] [0] [7] <CR> Reset Input Contact
[F5] <CR> <CR> <CR> Horizontal Line
<CR> <CR> <CR>
[ ] [ ] Posicin del cursor
[F3] [C] [0] [0] [4] <CR> Contact of Counter C4
[F9] [P] [0] [1] [0] <CR> Salida P10

CONTADOR PRESELECTOR SUMADOR

Direcc. C000 a C255 Nemotcnico CTD


No. De Pasos: 3
Parmetros preseleccin directa: 0 a 65535
Presel. Indirecta: D0000 a D1023
Descripcin cada vez que la entrada del contador cambia de OFF a ON el
contador resta "1" del valor registrado en el mismo. El valor inicial registrado
es el valor preseleccionado. Tan pronto como el valor registrado llega a
cero, la salida del contador se pone a ON, permaneciendo en
este estado hasta que la entrada de reset se pone a ON, entonces el valor
acumulado del contador alcanza el valor preseleccionado y la salida del
contador se pone OFF.
Diagrama en escalera
Down - Input _________________
I---- I I-------- ID CTD Adress I I
I Reset Input I I I
I---- I I------- IR <S> Preset I I
I
EJEMPLO
P001 _________________
I---- I I-------- ID CTD C201 I I
I P007 I I I
I---- I I------- IR <S> 00006 I I
I C201 I I
I---- I I--------------------(P011)-----I I
Programacin desde Pc
Teclas Descripcin
[F3] [P] [0] [1] <CR> Down Input contact
<SHIFT - F7> CTD - Funcion

[C] [2] [0] [1] <SP> Counter Adress


[5] <CR> Pre Set Count Value
[F3] [P] [0] [0] [7] <CR> Reset Input Contact
[F5] <CR> <CR> <CR> Horizontal Line
<CR> <CR> <CR>
[ ] [ ] Posicin del cursor
[F3] [C] [2] [0] [1] <CR> Contact of Counter C4
[F9] [P] [0] [1] [1] <CR> Salida P11

CONTADOR PRESELECTOR RESTADOR

Sec. De com. Nem. CTUD Direcc. C000 a C255


No. De Pasos: 3
Parmetros preseleccin directa: 0 a 65535
Presel. Indirecta: D0000 a D1023
Descripcin cada vez que la entrada de Suma cambia de ON a OFF el valor
acumulado del contador aumenta en una unidad. Cada vez que la entrada
de Resta cambia de ON a OFF el valor acumulado del contador disminuye
en una unidad. Tan pronto como el valor del contador alcanza el valor
preseleccionado la salida del contador se pone en ON, permaneciendo en
este estado hasta que la entrada de reset se pone en ON, el valor del
contador se pone OFF.
Diagrama en escalera
Up Input _________________
I---- I I-------- ID CTUD Adress I I
I Down Input I I I
I---- I I---------I D I I
I Reset I I I
I---- I I------- IR <S> Preset I I
II
EJEMPLO
P003 _________________
I---- I I-------- ID CTUD C002 I I
I P004 I I I
I---- I I---------I D 0005 I I
I P007 I I I
I---- I I------- IR <S> 00004 I I
II
I C002 I
I--- I I--------------------------(P012) I
Programacin desde Pc
Teclas Descripcin
[F3] [P] [0] [3] <CR> Up Input contact
<SHIFT - F8> CTUD - Funcion
[C] [0] [0] [2] <SP> Counter Adress
[4] <CR> Pre Set Count Value
[F3] [P] [0] [0] [4] <CR> Down - Input Contact

[F5] <CR> <CR> <CR> Horizontal Line


<CR> <CR> <CR> [ ]
[F3] [P] [0] [0] [7] <CR> Reset Input Contact
[F5] <CR> <CR> <CR> Horizontal Line
<CR> <CR> <CR>
[ ] [ ] Posicin del cursor
[F3] [C] [0] [0] [2] <CR> Contact of Counter C2
[F9] [P] [0] [1] [2] <CR> Salida P12

CONT. SUM. RESET AUTOM.

Nemotcnico CTR
Direcc. C000 a C255 No. De Pasos: 3
Parmetros Presel. Directa: 0 a 65535
Preseleccin indirecta: D0000 a D1023
Descripcin cada vez que la entrada de suma cambia de ON a OFF el valor
acumulado del contador aumenta en una unidad. Cada vez que la entrada de
Resta cambia de ON a OFF el valor acumulado del contador disminuye en una
unidad. Tan pronto como el valor del contador alcanza el valor preseleccionado
la salida del contador se pone en ON, permaneciendo en este estado hasta que
la entrada de reset se pone en ON, el valor del contador se pone cero y la
salida del contador se pone OFF.
Diagrama de Escalera
Count Input _________________
I---- I I-------- ID CTR Adress I I
I Reset Input I I I
I---- I I------- IR <S> Preset I I
II
EJEMPLO
P005 _________________
I---- I I-------- IU CTR C255 I I
I P007 I I I
I---- I I------- IR <S> 00004 I I
I C255 I
I---- I I--------------------(P013)-----I I
Programacin desde Pc
Teclas Descripcin
[F3] [P] [0] [5] <CR> Count Input contact
<SHIFT - F9> CTR - Funcion
[C] [2] [5] [5] <SP> Counter Adress
[4] <CR> Pre Set Count Value
[F3] [P] [0] [0] [7] <CR> Reset Input Contact
[F5] <CR> <CR> <CR> Horizontal Line
<CR> <CR> <CR>
[ ] [ ] Posicin del cursor
[F3] [C] [2] [5] [5] <CR> Contact of C255
[F9] [P] [0] [1] [3] <CR> Salida P13

4.6

Modelos

de

circuitos

secuenciales

sncronos.

Los sistemas digitales pueden operar en forma asncrona o sncrona. En los


sistemas asncronos, las salidas de los circuitos lgicos pueden cambiar de
estado en cualquier momento en que una o mas de las entradas cambie. En
los sistemas sncronos los tiempos exactos en que alguna salida puede
cambiar de estado se determinan por medio de una seal denominada reloj o
clock. Esta seal de reloj consiste en una serie de pulsos rectangulares o
cuadrados como se muestra en la figura.

Denominaremos periodo al tiempo entre transiciones sucesivas en la misma


direccin, esto es entre dos flancos de subida o entre dos flancos de bajada.
La transicin de estado en los circuitos secuenciales sncronos se efectan en
el momento en el que el reloj hace una transicin entre 0 y 1 (flanco de subida)
o entre 1 y 0 (flanco de bajada). Entre pulsos sucesivos de reloj no se efectan
cambios.
El inverso del periodo es lo que denominamos la frecuencia del reloj.
El ancho del pulso de reloj es el tiempo durante el cual la seal de reloj est en
1.
En el anlisis anterior sobre el latch SC podemos ver que es un circuito
asncrono, ya que el estado cambia en cualquier momento que cambiemos las
entradas y no sincronizado con un pulso de reloj.
Para hacerlo sncrono se
aade una entrada adicional
como se muestra en la
figura.
El flip-flop sincronizado por
reloj consiste del latch
bsico con compuertas NOR
mostrado anteriormente y
dos compuertas AND. La
salida
de
las
dos
compuertas AND quedar

en 0 mientras el pulso de
reloj est en 0, sin importar
los valores de entrada de S
y C. Cuando el pulso de
reloj
est
en
1,
la
informacin de las entradas
S y C pasa hacia el latch
bsico.
Como puede verse en el
diagrama de tiempo, en este
tipo de FF ahora los
cambios
se
producen
solamente cuando la seal
de reloj est en 1. Pero esto
no previene que el FF
cambie varias veces durante
un ciclo de reloj, y esto no
es
un
comportamiento
deseado, por lo tanto de
alguna manera este FF
sigue siendo de alguna
manera asncrono (por lo
menos mientras el pulso de
reloj est en 1).
Hay dos maneras de arreglar esto. Una es la de que el estado cambie una vez
que termine el ciclo de reloj, y otra es la que el cambio ocurra en los flancos de
bajada o de subida del pulso de reloj. Mas adelante se explicar como hacerlo.
Nota: Hasta ahora hemos hablado indistintamente de latch o Flip-flop. La
literatura es variada en este aspecto, y para efecto de este curso nos
referiremos en general a todos estos circuitos como flip-flop(FF).
En general se llama latch al circuito que sea asncrono y transparente, y FlipFlop a los que sean sncronos y no transparentes.
1.

Asncrono: las salidas cambian independientemente del reloj .

2.
Transparente: las salidas cambian inmediatamente en respuesta a un
cambio en las entradas.
3.
Sncrono: las salidas cambian en los flancos de subida o bajada del pulso
de reloj.
4.

No transparente: durante la duracin del pulso de reloj, los cambios en

las entradas no se reflejan en las salidas.


En la gua siguiente (Flip-Flop) nos referiremos al tipo de FF que utilizaremos a
lo largo del curso: los FF disparados por flanco.

Antes de pasar definitivamente a estudiar el comportamiento de los flip flop


veamos otras configuraciones.
Como vimos anteriormente el FF SC presenta un problema con el estado 11.
Existen otras configuraciones que eliminan este estado prohibido.
Flip Flop tipo J K
Un flip flop JK es un refinamiento del flip flop SC, en el que se elimina el estado
indeterminado. Para J=K=1 el estado futuro ser igual al estado presente
negado: Qn+1 =
TABLA
CARACTERISTI
CA
SC

Qn
+1

0 0 Qn
010
101
11
Flip Flop tipo D
El flip-flop tipo D mostrado en la figura es una modificacin del FF SC. La
entrada D va directamente hacia la entrada S y el complemento de D hacia la
entrada C. De esta forma tenemos que el estado futuro ser igual al valor de la
entrada D.

TABLA
CARACTERISTI
CA
D

Qn+
1

0 0
1 1
Flip Flop tipo T
Un flip flop tipo T se obtiene uniendo las dos entradas de un flip flop tipo JK. Si
T=0 se mantendr el estado, si T=1 el estado futuro ser igual al complemento
del estado presente.
TABLA
CARACTERISTI
CA
T

Qn+
1

0 Qn
1
Para todos los FF anteriores la tabla caracterstica describe el comportamiento
del FF mientras el reloj est en 1. Durante el periodo en el que el reloj est en
0, no hay cambios en las salidas. Se denominan flip flop disparados por nivel.
No es objetivo de este curso el anlisis detallado del comportamiento interno
de los flip flops. Solo se muestra la circuiteria interna como referencia. En lo
futuro indicaremos los flip flops con su diagrama simplificado.
Entradas asncronas
Los Flip-flops contenidos en los circuitos integrados a menudo proveen unas
entradas adicionales para fijar en el estado 1 o en el 0 al FF en cualquier
momento de forma asncrona, sin importar las condiciones presentes en las
otras entradas. Esta entradas son normalmente llamadas SET o PRESET

(para fijar en 1) o CLEAR (para fijar en 0). Son tiles para colocar los FF en un
estado inicial, antes de comenzar con su funcionamiento de forma sncrona, sin
la necesidad de utilizar un pulso de reloj. Por ejemplo, despus de encender un
sistema digital, el estado inicial de los FF es indeterminado. Activando la
entrada de clear, se inicializan en cero, y luego se comienza con el
funcionamiento normal.
La figura muestra un FF con las entradas
adicionales mencionadas. Para efecto de este
curso y para simplificar los diagramas, a menudo
se obviar la inclusin de estas entradas
adicionales, si no son necesarias.
Estas entradas pueden actuar con un nivel ALTO
( 1 ) o con un nivel BAJO (0) .
En las tablas de especificaciones de los circuitos
integrados se incluyen tablas que indican en cada
caso la forma de funcionamiento. En el caso que
se muestra, al aplicar un 0 en la entrada de clear el
FF se fijar en 0.

4.7 Anlisis y sntesis de circuitos secuenciales sncronos.

El comportamiento de un circuito secuencial se determina mediante las entradas, las


salidas y los estados de sus flip-flops. Tanto las salidas como el estado siguiente son
funcin de las entradas y del estado presente. El anlisis de los circuitos secuenciales
consiste en obtener una tabla o un diagrama de las secuencias de tiempo de las
entradas, salidas y estados internos. Tambin es posible escribir expresiones
booleanas que describen el comportamiento de los circuitos secuenciales. Sin
embargo, esas expresiones deben incluir la secuencia de tiempo necesaria ya sea en
forma
directa
o
indirecta.
Un diagrama lgico se reconoce como el circuito de un circuito secuencial e incluye los
flip-flops. Los flip-flops puede ser cualquier tipo y el diagrama lgico puede o no incluir
compuertas
combinacionales.
Un circuito lgico secuencial puede estar compuesto por compuertas y/o flip-flops
interconectados en configuraciones quizs complejas que generalmente incluyen
algn tipo de realimentacin. El circuito se considera asincrnico si no emplea una
seal de reloj peridica C para sincronizar cambios de estado interno. Por
consiguiente, los cambios de estado ocurren como respuesta directa a los cambios de
seal en las lneas de entradas primarias (Datos) y distintos elementos de memoria
pueden cambiar de estado en instantes diferentes.

El anlisis de un circuito secuencial sncrono consiste en el paso de una descripcin


estructural de un circuito mediante flip-flops y puertas lgicas a una descripcin
funcional de una FSM, principalmente una tabla de estados, y de ah un diagrama de
estados o una descripcin VHDL. Hay que realizar estos pasos:

1. Determinar el nmero de estados. Dado un circuito con N flip-flops se dispone


de N variables de estado y1, , yN, y el nmero de estados posible de la FSM
es 2N, que corresponde a cada codificacin binaria distinta de las variables de
estado. Existen otras codificaciones pero esta es la ms habitual (one-hot,
una y slo una variable de estado a 1 en la codificacin => N variables de
estado permiten N estados). Denominar los estados como Si, de S0 a S(2N -1),
y asociar a cada estado Si la codificacin i en binario en los flip-flops.

2. Dada la descripcin estructural del circuito encontrar las funciones lgicas que
definen el decodificador de salida y el decodificador del siguiente estado en
funcin de las variables de estado y de las entradas.
3. Realizar una tabla de en la que en las filas se sita cada estado descrito
mediante su codificacin en binario en las variables de estado, y en cada
columna cada posible combinacin de valores lgicos en las entradas del
circuito. Cada casilla de la tabla se debe rellenar con el valor de las entradas
de cada flip-flop (en subcolumnas), obtenido a partir de las funciones del
decodificador del siguiente estado. Determinar si se trata de una mquina de
Mealy o de Moore y obtener los valores lgicos de las salidas mediante las
funciones del decodificador de salida, y situar esos valores en la tabla como en
una tabla de estados segn el tipo de mquina que se trate (en cada fila y en
cada columna si es tipo Mealy; en cada fila si es tipo Moore).
4.

Convertir la tabla anterior en una nueva tabla con la misma relacin de filascolumnas, situando en cada casilla los nuevos valores que se cargan en las
variables de estado (al llegar el flanco de reloj), obtenidos para una variable de
estado yi en funcin de los valores de las entradas del flip-flop i, del valor actual
de la variable yi y de la tabla de operacin del flip-flop i. Realmente se est
haciendo yi+ = F(Inp, yi). Mantener las salidas como en la tabla anterior.

5.

Generar la tabla de estados sustituyendo las combinaciones de valores en las


variables de estado que aparecen en la tabla anterior por el nombre
correspondiente del estado. Esta ya es una representacin en alto nivel. Se

puede desarrollar la tabla de estados en un diagrama de estados o en una


descripcin VHDL.

Vous aimerez peut-être aussi