Vous êtes sur la page 1sur 102

Electrnica de Potencia

1.- DATOS DE LA ASIGNATURA


Nombre de la asignatura:

Electrnica de Potencia

Carrera:

Ingeniera Electrnica

Clave de la asignatura:

ECM-0415

Horas teora-horas prctica- 328


crditos

3.- UBICACIN DE LA ASIGNATURA


a). Relacin con otras asignaturas del plan de estudio
Anteriores

Posteriores

Asignaturas
Optoelectrnica

Temas
Asignaturas Temas
-Optoacopladores A criterio de
la
Electrnica
- Rectificacin,
Especialidad
Analgica I
Circuitos con
de
diodos y circuitos cada
de polarizacin de Tecnolgico
Circuitos Elctricos Transistores
II
- Circuitos delta y
Microprocesadores estrella
y
microcontroladores microcontroladores
b). Aportacin de la asignatura al perfil del egresado
Seleccionar, instalar y operar sistemas y equipos electrnicos de potencia.
4.- OBJETIVO(S) GENERAL(ES) DEL CURSO
El estudiante analizar los circuitos electrnicos de potencia y disear circuitos de
disparo.

5.- TEMARIO

Unidad
1

Unidad
3

Temas
Subtemas
Introduccin a la
1.1 Antecedentes Histricos
Electrnica de Potencia y 1.2 Terminologa
dispositivos de disparo 1.3 UJT, PUT, DIAC, SCR, TRIAC, SUS,
SBS, LASCR, GTO, SCS, IGBT,
Mosfet de potencia.
Circuitos de disparo
2.1 Circuitos de disparo sin aislamiento:
Redes pasivas, RC
2.2 Circuitos de disparo con aislamiento
2.2.1 Acoplados ptimamente
2.2.2 Acoplados magnticamente
2.3 Circuitos de disparo con dispositivos
digitales
2.3.1 Timer
2.3.2 Divisores de Frecuencia y
detectores de cruce por cero
(comparadores)
Microcontroladores
2.3.3 Modulador de Ancho de Pulso
(PWM)
Temas
Subtemas
Rectificacin no
3.1 Conceptos Bsicos de rectificacin
controlada y controlada 3.1.1 No controlada y controlada
3.2 Tipos de rectificadores:
3.2.1 No controlados, monofsicos y
trifsicos
3.2.2 Controlados, monofsicos y
trifsicos (Media onda y onda
completa)
Troceadores
4.1 Troceador por modulacin de ancho
de pulso (PWM)
4.2 Configuraciones bsicas
4.2.1 Reductor
4.2.2 Elevador
4.2.3 Reductor elevador
4.2.4 Flyback
Inversores (CD/AC) y
5.1 Inversores y Cicloconvertidores por
Cicloconvertidores
modulacin de ancho de pulso
(AC/AC)
5.2 Inversores monofsicos y trifsicos
PWM senoidal

Unidad 1
Introduccin a la Electrnica de Potencia y dispositivos de disparo
1.1 Antecedentes Histricos
En la actualidad, la palabra electrnica est directamente asociada con las computadoras, televisores,
telfonos celulares, etc. En realidad para hablar de electrnica es necesario recordar que dicha rama de la
ingeniera sienta sus bases en la teora del electromagnetismo y los circuitos elctricos. Por lo tanto desde
B. Franklin (1706-1790) hasta B. D. H. Tellegen cuando en 1952 public su teorema, contribuyeron en
alguna medida.
Pero el inicio del desarrollo especifico de la electrnica aparece en 1883 cuando T. A. Edison descubre la
emisin termoinica o efecto Edison. Sin embargo, la gestacin de la misma se produce en 1904 cuando
Sir J. A. Fleming propone el diodo o vlvula de Fleming. Finalmente, nace e inicia el recorrido de un
largo camino dos aos ms tarde, cuando en 1906 L. De Forest propone el triodo o Audion, como l lo
llamaba.
Diferentes son las importantes contribuciones que la electrnica termoinica propuso en su desarrollo
en el transcurso de los aos. Muchas de esas aplicaciones existen en el presente, con las obvias
actualizaciones tecnolgicas, como por ejemplo: la telefona inalmbrica, la radio, la televisin (1927),
etc. Quizs la contribucin menos conocida por los jvenes sea la computadora Mark 1, desarrollada en
1944 por la IBM y la Universidad de Harvard. Esta computadora fue reemplazada en 1947 por una
versin mejorada denominada ENIAC, desarrollada por la Universidad de Pennsylvania.
CONTRIBUCIN DE EDISON
En el ao 1883, el inventor estadounidense Thomas Alva Edison (1847-1931)
trabajaba en un experimento con lmparas incandescentes en las cuales utilizaba un
filamento de carbn. Estos filamentos se rompan con mucha facilidad ya que
estaban formados por hilos muy finos. Su objetivo era encontrar un sistema que le
permitiera aumentar la vida til de las lmparas. Para lograr esto, Edison construy
un soporte metlico que conect al frgil filamento mediante partes Aisladoras.
A partir de este hecho surgen diferentes versiones sobre el descubrimiento de la
emisin termoinica, que es esencialmente la emisin de electrones por un cuerpo
Sobrecalentado, se afirma que: por razones que se desconocen, Edison conect el soporte metlico al
terminal positivo de la batera que alimentaba la lmpara, como se muestra en la figura 1. Sorprendido,
observ que circulaba corriente.
Otros autores afirman que: el carbn que se desprenda del filamento se depositaba en la superficie
interna de la ampolla de vidrio de la lampara ennegrecindola. Por tal razn, Edison decidi generar una
absorcin de estas partculas mediante una atraccin electrosttica (polarizacin elctrica),
observando que circulaba corriente en modo permanente. Delogneafirma que el descubrimiento fue
hecho sin la introduccin de una tensin (batera) en el circuito (ver fig. 1). En la poca de Edison,
loscircuitos elctricos funcionaban con el positivo a tirrra (masa), por lo tanto es posible que su intensin
haya sido conectar el hilo metlico del soporte a masa.
De todo esto no se logra entender con precisin si Edison comprendi que se trataba de cargas elctricas y
que estas eran negativas.
Todo hace suponer que efectivamente logr comprender este hecho
bsico, lo que seguramente no comprendi es el origen de tales cargas,
cosa que sucedi con posterioridad al 1883, y menos aun que se trataba
de electrones. Solo 21 aos ms tarde, Fleming pudo demostrar la
importancia aplicativa de esta corriente. A decir verdad una aplicacin
importante ya exista y es precedente a Fleming, se trata del tubo de
rayos catdicos (TRC) inventado por el fsico alemn K. F. Braun1

(1850-1918)

en

1897.

Figura 1
CONTRIBUCIN DE FLEMING
En 1889, el ingeniero y fsico ingls Sir John Ambrose Fleming (1849-1945)
de la Universidad de Londres, inicia una serie de investigaciones sobre el
efecto Edison o emisin termoinica. En 1904, propone el diodo termoinico
ovlvula de Fleming. El nombre vlvula surge por la similitud con las
vlvulas mecnicas, debido a la propiedad de conducir corriente en un solo
sentido. La vlvula de Fleming consista bsicamente de un bulbo de vidrio el
cual encerraba un filamento de carbn o tungsteno, con un segundo electrodo
formado inicialmente por un hilo metlico arrollado alrededor del filamento
sin contacto entre ellos, que haca las veces de placa. Posteriormente, fue
reemplazado por un cilindro metlico (fig. 2 (a) y (b)).
El filamento cumpla adems la funcin de ctodo, el cual al
calentarse por el paso de una corriente elctrica generaba la nube
electrnica debida a la emisin termoinica. El cilindro metlico
o placa era accesible desde un lateral del bulbo de vidrio.
Contrariamente, el diodo moderno posee dos electrodos separados
para las funciones de filamento y ctodo, respectivamente. Esta
separacin permite generar circuitos elctricos aislados entre
filamento y ctodo, adems es posible mejorar la emisin del
ctodo. En la figura 2, se pueden ver detalles constructivos y los
correspondientes smbolos.
Fig. 2. (a)Vlvula de Fleming [6], (b)(c)Detalle constructivo y smbolo de un
diodo tipo Fleming. (d)-(e) Detalle
constructivo y smbolo del diodo
moderno.

CONTRIBUCIN DE FOREST
En

1906 el ingeniero estadounidense Lee De Forest (1873- 1961) propone y


patenta el Audion (posteriormente llamado triodo), una versin modificada
del diodo de Fleming, con el agregado de un electrodo de control o grilla
(ver fig. 3). Sin embargo, la publicacin del invento tuvo lugar en 1914 por
razones de patente. De los contenidos del artculo de De Forest no surge con
claridad cuales fueron los motivos que lo llevaron a generar una
modificacin del diodo de Fleming. Evidentemente se trataba de una necesidad de control del flujo de
electrones al interno del dispositivo, para a su vez lograr un control de la corriente de placa.
Probablemente la aplicacin ms lgica sea como interruptor de corriente (relay no mecnico), para ser
usado en telegrafa y
telefona, campos en
los cuales De Forest
trabajaba en la poca.

Fig. 3. Circuito del amplificador de De Forest y detalles constructivos de un triodo moderno .

Sin duda De Forest conoca al momento de la publicacin, adems de la propiedad detectora, la propiedad
amplificadora del dispositivo. Esto surge de manera evidente a partir delttulo de su trabajo . En tal
publicacin se muestran aplicaciones de un cierto desarrollo tecnolgico, como por ejemplo
amplificadores de dos y tres etapas (ver figura 3). En tal figura se observa adems el tipo de polarizacin
bsica usada (comprese con la fig. 4), adems del ctodo y filamento en un nico electrodo.
El Audion de De Forest gener una serie de controversias con Fleming atribuyndose ambos la propiedad
intelectual del desarrollo, dado que Fleming sostena que el Audion era un diodo modificado. De un
rpido anlisis surge inmediatamente la diferencia ms evidente entre estos dispositivos ya que el triodo
(o Audion) posee un electrodo de control, el diodo no. En la figura 4, se muestra el circuito de
polarizacin del triodo y la caracterstica de salida.

Fig. 4. Polarizacin de un triodo y caracterstica de salida.

CONTRIBUCIN PARALELA DE LA FSICA


Cuando Edison descubre la emisin termoinica, en 1883, seguramente no tena la mnima nocin de que
se trataba de electrones y tampoco que posean masa (por lo menos con certeza cientfica). El nombre
electrn le fue dado por el fsico ingles G. J. Stoney (1826-1911) en 1891 y fue descubierto en 1897 por
el fsico ingles J. J. Thomson (1856-1940), en un experimento orientado a encontrar la relacin e m del
mismo. Para este experimento, Thomson utiliz un tubo de rayos catdicos, que a su vez emplea la
emisin termoinica para su funcionamiento.
La determinacin directa del valor de la carga del electrn fue hecha por varios investigadores en
diferentes trabajos. Por ejemplo, la determinacin de la carga elemental en forma directa fue hecha por
Townsend en 1897, por J. J. Thomson en 1898 y por H. A. Wilson en 1903. Algunos autores atribuyen la
medida de e a Ch. T. R. Wilson en 1913. En 1917, R. A. Millikan 2 (1868-1953) midi la constante e y el
valor obtenido fue 1.59x10-19 coulombs. La carga negativa del electrn fue demostrada por el fsico
francs J. B. Perrin (1870-1942). El valor actual de la misma es -1.602x10 -19 coulombs y se debe a los
experimentos de Hopper y Laby en 1941. En 1901 aparece el primer trabajo que da una descripcin
cuantitativa del fenmeno de emisin termoinica y es debida al fsico ingls O. W. Richardson (18791959) quien establece una relacin entre la cantidad de electrones emitidos y la temperatura:

en la cual: N es el nmero de electrones emitidos por unidad de superficie; T es la temperatura absoluta; n


nmero de electrones libres en el metal; R es la constante del gas para un electrn (constante de oltzman);
m es la masa del electrn.
Si los electrones estn animados de una cierta energa cintica, producen una corriente elctrica. La
expresin de Richardson que relaciona la corriente (de saturacin) con la temperatura es:

con: carga del electrn; q valor de la superficie emisora (en las ecuaciones se mantiene la simbologa
original de la referencia). Esta ley fue posteriormente mejorada por S. Dushman, como sigue:

con A1 y b0 , constantes. La representacin grfica de la expresin de Richardson 3, se muestra en la fig. 5.


El segundo fenmeno de emisin que aparece en el mundo de la
fsica, es el efecto fotoelctrico, observado por primera vez en 1887
por el fsico alemn H. R. Hertz (1857-1894). En un experimento con
ondas electromagnticas , Hertz observ que cuando una superficie
metlica es excitada con luz de gran intensidad, algunas cargas
elctricas o portadores o iones (el electrn todava no haba sido
descubierto) reciban energa suficiente como para escapar de la
superficie metlica y crear una corriente elctrica. Este fenmeno de
difcil comprensin a la poca, fue explicado en 1905 por A. Eisntein
(1879-1955) utilizando conceptos de mecnica cuntica (introducidos
en 1900 por M. Planck4 (1858-1947)) [11]. En la famosa
ecuacin del efecto fotoelctrico:
hv= hv0 W M AX

Fig. 5. Representacin grfica


de la ley de Richardson.

El trmino hv0 recibe el nombre de funcin de trabajo de la superficie; fsicamente equivale a la energa
que necesita el electrn para alcanzar la superficie metlica y escapar de ella. Esta teora explica adems
la emisin termoinica, en la cual la energa de los electrones es obtenida por agitacin trmica de las
partculas que conforman el metal, debido a su alta temperatura. Diferentes experimentos confirmaron
que la energa mnima necesaria para el escape coincida con la funcin de trabajo fotoelctrico, para
una misma superficie. Por lo tanto a esta altura de los acontecimientos ya se tenan los instrumentos para
la explicacin fsica de la emisin termoinica de electrones y adems se conocan las caractersticas de
estos (1883-1905).
La dependencia de la perveancia con la temperatura genera la saturacin, efecto que aparece
principalmente cuando el filamento es polarizado con baja tensin (ver fig. 6) Para electrodos cilndricos
la ley es similar, siendo propuesta en 1923. La ley de Child-Langmuir es valida tambin para el triodo,
pero la corriente es adems funcin de la tensin de grilla:

De todo lo anterior se deduce que para tener una comprensin relativamente completa del fenmeno de
emisin termoinica, aplicado a las vlvulas de vaco, debieron pasar 40 aos (1883-1923) y adems tal
aporte esdebido en buena parte a los estudiosos de la fsica. A decir verdad algunas cuestiones siguieron
siendo incgnitas hasta los aos 30, prcticamente hasta el final de la vida de Edison.
DESARROLLOS IMPORTANTES
En la presente seccin se realiza una breve resea de algunos de los tipos de tubos termoionicos
desarrollados a partir del triodo. La misma est muy lejos de ser completa, pero pretende mencionar
aquellos dispositivos ms significativos, segn sus caractersticas aplicativas. En las figuras 7 y 8 se
muestran algunos tipos de tubos termoinicos entre los tantos existentes.

Tetrodo

(1919). El triodo o Audion de De Forest es solo el primer paso en el desarrollo de la


electrnica ya que lejos estaba de ser el nico dispositivo de vaco que surgira. El mismo era capaz de
amplificar corriente, pero con limitaciones sobre todo en altas frecuencias dada su gran capacidad
interelectrdica (nodo-grilla, grilla-ctodo y nodo-ctodo). Tales capacidades disminuyen la impedancia
de entrada del tubo y la ganancia, al aumentar la frecuencia de trabajo. Durante la primera guerra
mundial, el fsico suizo-alemn W. H. Schottky (1886-1976) de la empresa Siemens (autor del trabajo que
explica el efecto que usan los diodos schottky para su funcionamiento), resuelve el problema explicado en
precedencia, agregando una segunda grilla entre la de control y el nodo o placa, llamada grilla pantalla
[20]. De este modo la capacidad entre grilla de control y placa es menor dado que resulta ser la capacidad
equivalente de dos capacitores en serie. La grilla pantalla es polarizada a un potencial positivo inferior al
potencial de placa. A este nuevo tubo de vaco se lo llam tetrodo. La grilla pantalla trae aparejado un
nuevo problema relacionado con la emisin secundaria. Cuando un tubo de vaco conduce normalmente,
los electrones chocan con la placa a gran velocidad produciendo el desprendimiento de otros electrones,
los cuales generan la llamada emisin secundaria. Tal emisin es mayor cundo mayor es el potencial
de placa. Estos electrones son atrapados por la grilla pantalla (polarizada positivamente) aumentando la

corriente de la misma pero disminuyendo la corriente de placa y provocando una caracterstica de salida
del tubo como la mostrada en la figura 9.

Pentodo (1926) Para lograr un funcionamiento correcto del tetrodo es necesario polarizar la placa con
potenciales relativamente altos, debido al efecto de la emisin secundaria. La solucin al problema que
presenta el tetrodo, se logr agregando una tercera grilla entre la placa y la grilla pantalla, llamada grilla
supresora. A este tubo de vaco se lo llam pentodo. Dicho dispositivo fue inventado y patentado en
1926 por el ingeniero holands B. D. H. Tellegen (1900-1990) de la empresa Philips [21], autor del
conocido teorema de redes. La grilla supresora se polariza generalmente al mismo potencial del ctodo,
por lo tanto es muy negativa respecto a la placa. Con esto se logra que cualquier electrn en la zona entre
grilla supresora y placa sea repelido nuevamente hacia la placa, eliminando el efecto de la emisin
secundaria presente en el tetrodo. La caracterstica de salida del pentodo es como la mostrada en la figura
10. Adems resulta un dispositivo con ganancia muy superior a la de un triodo. Con la aparicin del
pentodo, el tetrodo prcticamente cae en desuso, surgiendo una amplia gama de pentodos para las ms
variadas aplicaciones ya sea en tensiones, corrientes, frecuencias y potencias. El pentodo es el dispositivo
que le permiti a la electrnica termoinica llegar a su plena madurez, ya que conjuntamente al triodo
cubrieron la casi totalidad de las aplicaciones clsicas.

Vlvulas gaseosa (1920). Los dispositivos considerados hasta el momento son todos tubos de
vaco, pero no son los nicos; se crearon adems los tubos gaseosos de ctodo caliente, los cuales
contenan un gas como por ejemplo vapor de mercurio en equilibrio o argn. A esta clase pertenecen los
diodos gaseosos que poseen una caracterstica de salida de conduccin abrupta, es decir a partir de una
cierta tensin de placa, la corriente crece abruptamente. Por debajo de esta tensin, la corriente es de
valor muy bajo o nulo. Entre los distintos modelos de tubos gaseosos existen aquellos con grilla de
control, llamados thyratrones. En estos, la grilla controla el instante de la entrada en conduccin del
dispositivo, aplicando a la misma
una tensin de polarizacin
oportuna. Una vez que la vlvula
entra en conduccin, la tensin de
grilla no tiene efecto sobre la
corriente de placa. Estas vlvulas se
usaban
principalmente
en
aplicaciones industriales, donde se
requera realizar una rectificacin
controlada, etc. Los smbolos de
estos tubos son iguales a los
smbolos del diodo y triodo, con el
agregado de un punto en su interior.
Los estudios sobre el thyratron
fueron comenzados en 1914 por los
americanos I. Langmuir (18811957) y S. Meikle, ambos
pertenecientes a la empresa GE. La
fecha de invencin del mismo es
atribuida al ao 1920. El primer
dispositivo comercial aparece en
1928.

Magnetrn

(1920).
El
magnetrn es una vlvula de vaco
en la cual el flujo electrnico del
ctodo est afectado por un campo
magntico. El magnetrn de nodo
dividido, est compuesto por un
ctodo o filamento, dos placas
semicilndricas y uncampo magntico transversal al plano de la trayectoria de los electrones [14]. Dicho
campo magntico es generado por un imn permanente. Cuando las placas son positivas, los electrones
atrados por estas siguen trayectorias curvas. Si las placas son muy positivas la curvatura de estas
trayectorias es pequea, pero a tensiones ms bajas aumenta hasta que alcanzado un potencial crtico, con

el cual los electrones siguen una trayectoria curva cerrada (cardioide) retornando al ctodo. Tal efecto se
muestra en la figura 11. El magnetrn de nodo dividido dej de usarse debido a su relativamente baja
potencia. En 1940, J. Randall y H. Boot de la Universidad de Birmingham, desarrollaron el magnetrn de
cavidad, el cual genera potencias mayores y tiene especial aplicacin en radar. Tal magnetrn posee un
cierto nmero de cavidades semicirculares en lugar del nodo dividido, las cuales se comportan como
resonadores de cavidad generando impulsos de radiofrecuencia de gran energa. La precisin en
frecuencia de esta vlvula es baja.

Klystron (1937). En aplicaciones que utilizan muy


altas frecuencias (gigahertz), alta potencia y uando el
magnetrn resulta poco preciso, se usa el klystron.
Este dispositivo si bien utiliza la emisin
termoinica,
su
funcionamiento
difiere
substancialmente respecto de los tubos de vaco
tradicionales. Posee una serie de grillas entre las
cuales se destacan las denominadas buncher y
catcher, que se comportan como resonadores de
cavidad. Dicho tubo puede trabajar adems como
amplificador. En la figura 12, se muestra el smbolo
Fig. 12. Smbolo y electrodos del Klystron.
del klystron con la denominacin de los correspondientes electrodos. La invencin del klystron es
atribuida a los autores de la referencia, de la Universidad de Stanford en 1937.
LAS VLVULAS EN LA ACTUALIDAD
En la actualidad las vlvulas termoinicas quedaron relegadas a aplicaciones muy especficas, donde los
semiconductores no satisfacen las expectativas de los expertos, como por ejemplo en equipos de audio de
altsima calidad y derivados. Otra aplicacin en la cual se utilizan actualmente dichos dispositivos, es en
estaciones transmisoras de grandes potencias como por ejemplo radio, televisin, etc. Las vlvulas
utilizadas en tales aplicaciones pueden desarrollar potencias del orden de las centenas de kilowats. Quizs
la aplicacin actual ms conocida de las vlvulas sea en los modernos hornos a microondas en los cuales
se utiliza el magnetrn, como oscilador de potencia. Contrariamente a cuanto se pudiera pensar,
actualmente la electrnica termoinica sigue teniendo un espacio en el campo de la investigacin.
CONCLUSIONES
De hecho es posible afirmar que si bien el nacimiento de la electrnica se debe fundamentalmente a las
contribuciones de Edison, Fleming y De Forest, es este ltimo el verdadero inventor de la misma, dado
que su Audion fue el primer dispositivo que logr controlar corriente y amplificar, principios bsicos y
esenciales de la electrnica. El diodo de Fleming es considerado el primer tubo de vaco. Del TRC de
Braun se puede decir que en el pasado le permiti a Thomson la realizacin de los trabajos sobre el
electrn y en el presente forma parte de la base tecnolgica de la televisin y la computacin, entre otras
aplicaciones. Cumplindose este ao el primer centenario de la invencin de la electrnica, poco queda
para agregar respecto a su importancia en el desarrollo de la vida moderna. Ms bien surgen preguntas,
como por ejemplo: cmo sera la vida actual sin la electrnica? Despus de la pregunta precedente surge
la siguiente: cul fue el invento ms importante del siglo XX? y si la duda contina, surge una nueva
pregunta: el hombre moderno habra logrado el actual desarrollo cientfico y tecnolgico sin la ayuda
de la electrnica? El autor desea expresar que segn su criterio, el invento ha considerar es la electrnica
en su conjunto, y no el triodo (o algn otro dispositivo) en forma individual.

Unidad 1
Introduccin a la Electrnica de Potencia y dispositivos de disparo
1.2 Terminologa
Diodo de silicio = Toma este nombre ya que su funcionamiento es similar a un diodo de
vaco que es un rectificador
Transistor = Palabra compuesta que quiere decir transferencia de resistencia
Bipolar = Quiere decir que es polarizado de dos maneras
Juntura = Unin
Unijuntura = Una sola unin
Rectificador = Que solo permite un sentido

Unidad 1
Introduccin a la Electrnica de Potencia y dispositivos de disparo
1.3 UJT, PUT, DIAC, SCR, TRIAC, SUS, SBS, LASCR, GTO, SCS, IGBT,
Mosfet de potencia.
Introduccin:
Dentro de los dispositivos electrnicos de potencia, podemos citar: los diodos y
transistores de potencia, el tiristor, as como otros derivados de stos, tales como los
triac, diac, conmutador unilateral o SUS, transistor uniunin o UJT, el transistor
uniunin programable o PUT y el diodo Shockley.
Existen tiristores de caractersticas especiales como los fototiristores, los tiristores de
doble puerta y el tiristor bloqueable por puerta (GTO).
Lo ms importante a considerar de estos dispositivos, es la curva caracterstica que nos
relaciona la intensidad que los atraviesa con la cada de tensin entre los electrodos
principales.
El componente bsico del circuito de potencia debe cumplir los siguientes requisitos :

Tener dos estados claramente definidos, uno de alta impedancia (bloqueo) y otro
de baja impedancia (conduccin).

Poder controlar el paso de un estado a otro con facilidad y pequea potencia.

Ser capaces de soportar grandes intensidades y altas tensiones cuando est en


estado de bloqueo, con pequeas cadas de tensin entre sus electrodos, cuando
est en estado de conduccin. Ambas condiciones lo capacitan para controlar
grandes potencias.

Rapidez de funcionamiento para pasar de un estado a otro.

El ltimo requisito se traduce en que a mayor frecuencia de funcionamiento habr una


mayor disipacin de potencia. Por tanto, la potencia disipada depende de la frecuencia.

Aplicaciones:

Traccin elctrica: troceadores y convertidores.

Industria:
o

Control de motores asncronos.

Inversores.

Caldeo inductivo.

Rectificadores.

Etc.

Tiristores
Adems de los dispositivos semiconductores de una sola juntura(1 capa N unida con
otra P), tales como los diodos rectificadores y los transistores UJT, existen los de dos
junturas (transistores bipolares hechos con dos capas N cubriendo una capa P, o a la
inversa) y los de tres o ms junturas (un mnimo de cuatro capas alternadas P-N-P-N de
material semiconductor), los cuales se conocen con el nombre de "TIRISTORES" y se
desarrollaron inicialmente por los Ingenieros de la General Electric en USA en la
dcada de los 60.Un tiristor es un dispositivo conmutador biestable que tiene la
propiedad de pasar rpidamente al esta "ON"(encendido) para una plena corriente de
trabajo cuando recibe un pulso momentneo de corriente en su terminal de control, y
slo puede ser puesto en "OFF"(apagado) con la interrupcin de la corriente principal
de trabajo, interrumpiendo el circuito o haciendo circular una corriente de sentido
contrario. Los tiristores son usualmente dispositivos de mediana y de alta potencia. Son
el equivalente slido de los interruptores mecnicos, por lo cual dejan pasar plenamente
o bloquear por completo en paso de la corriente de trabajo, sin niveles intermedios; o
todo, o nada.Al grupo de los tiristores pertenecen dispositivos tales como el DIAC,
equivalente a dos diodos zener puestos en serie pero en sentidos inversos, o sea que slo
conduce corrientes cuando stas alcanzan cierto voltaje, as sean alternas; el SCR, un
rectificador de conduccin controlada; el TRIAC, equivalente a dos SCR en

contraparalelo; el QUADRAC, o sea un TRIAC con un DIAC incluido en serie con el


terminal gate; el PUT y el FOTOTIRISTOR.
Un tiristor es uno de los tipos ms importantes de los dispositivos semiconductores de
potencia. Los tiristores se utilizan en forma extensa en los circuitos electrnicos de
potencia. Se operan como conmutadores biestables, pasando de un estado no conductor
a un estado conductor. Para muchas aplicaciones se puede suponer que los Tiristores son
interruptores o conmutadores ideales, aunque los tiristores prcticos exhiben ciertas
caractersticas y limitaciones.
CARACTERSTICAS DE LOS TIRISTORES
Un Tiristor es dispositivo semiconductor de cuatro capas de estructura pnpn con tres
uniones pn tiene tres terminales: nodo ctodo y compuerta. La fig. 1 muestra el
smbolo del tiristor y una seccin recta de tres uniones pn. Los tiristores se fabrican por
difusin.
Cuando el voltaje del nodo se hace positivo con respecto al ctodo, las uniones J1 y J3
tienen polarizacin directa o positiva. La unin J2 tiene polarizacin inversa, y solo
fluir una pequea corriente de fuga del nodo al ctodo. Se dice entonces que el tiristor
est en condicin de bloqueo directo o en estado desactivado llamndose a la corriente
fuga corriente de estado inactivo ID. Si el voltaje nodo a ctodo VAK se incrementa a
un valor lo suficientemente grande la unin J2 polarizada inversamente entrar en
ruptura. Esto se conoce como ruptura por avalancha y el voltaje correspondiente se
llama voltaje de ruptura directa VBO. Dado que las uniones J1 y J3 ya tienen
polarizacin directa, habr un movimiento libre de portadores a travs de las tres
uniones que provocar una gran corriente directa del nodo. Se dice entonces que el
dispositivo est en estado de conduccin o activado.

Fig. 1 Smbolo del tiristor y tres uniones pn


La cada de voltaje se deber a la cada ohmica de las cuatro capas y ser pequea, por
lo comn 1V. En el estado activo, la corriente del nodo est limitada por una
impedancia o una resistencia externa, RL, tal y como se muestra en la fig. 2.
La corriente del nodo debe ser mayor que un valor conocido como corriente de
enganche IL, a fin de mantener la cantidad requerida de flujo de portadores a travs de
la unin; de lo contrario, al reducirse el voltaje del nodo al ctodo, el dispositivo
regresar a la condicin de bloqueo. La corriente de enganche, IL, es la corriente del
nodo mnima requerida para mantener el tiristor en estado de conduccin
inmediatamente despus de que ha sido activado y se ha retirado la seal de la
compuerta. En la fig. 2b aparece una grfica caracterstica v-i comn de un tiristor.

Fig.2 Circuito Tiristor y caracterstica v-i


Una vez que el tiristor es activado, se comporta como un diodo en conduccin y ya no
hay control sobre el dispositivo. El tiristor seguir conduciendo, porque en la unin J2
no existe una capa de agotamiento de vida a movimientos libres de portadores. Sin
embargo si se reduce la corriente directa del nodo por debajo de un nivel conocido
como corriente de mantenimiento IH , se genera una regin de agotamiento alrededor de
la unin J2 debida al nmero reducido de portadores; el tiristor estar entonces en
estado de bloqueo. La corriente de mantenimiento es del orden de los miliamperios y es
menor que la corriente de enganche, IL. Esto significa que IL>IH . La corriente de
mantenimiento IH es la corriente del nodo mnima para mantener el tiristor en estado
de rgimen permanente. La corriente de mantenimiento es menor que la corriente de
enganche.
Cuando el voltaje del ctodo es positivo con respecto al del nodo, la unin J2 tiene
polarizacin directa, pero las uniones J1 y J3 tienen polarizacin inversa. Esto es similar
a dos diodos conectados en serie con un voltaje inverso a travs de ellos. El tiristor
estar en estado de bloqueo inverso y una corriente de fuga inversa, conocida como
corriente de fuga inversa IR, fluir a travs del dispositivo.
MODELO DE TIRISTOR DE DOS TRANSISTORES
La accin regenerativa o de enganche de vida a la retroalimentacin directa se puede
demostrar mediante un modelo de tiristor de dos transistores. Un tiristor se puede
considerar como dos transistores complementarios, un transistor PNP, Q1, y un
transistor NPN, Q2, tal y como se demuestra en la figura 3.
La corriente del colector IC de un tiristor se relaciona, en general, con la corriente del
emisor IE y la corriente de fuga de la unin colector-base ICBO, como
Ic =

IE + ICBO

(1)

La ganancia de corriente de base comn se define como =IC/IE. Para el transistor Q1


la corriente del emisor es la corriente del nodo IA, y la corriente del colector IC1 se
puede determinar a partir de la ecuacin (1):
IC1 = 1 IA + ICBO1

(2)

a)
Estructura bsica

b) Circuito equivalente

Fig. 3 Modelo de tiristor de dos terminales.


Donde alfa1 es la ganancia de corriente y ICBO1 es la corriente de fuga para Q1. En
forma similar para el transistor Q2, la corriente del colector IC2 es:
IC2 = 2IK + ICBO2

(3)

Donde 2 es la ganancia de corriente y ICBO2 es la corriente de fuga correspondiente


a Q2. Al combinar IC1 e IC2, obtenemos:
IA = IC1 + IC2 =

1IA + ICBO1 +

2IK + ICBO2

(4)

Pero para una corriente d compuerta igual AIG, IK=IA+IG resolviendo la ecuacin
anterior en funcin de IA obtenemos:
IA = 2 IG + ICBO1 + ICBO2
1 - ( 1 + 2)

(5)

ACTIVACIN DEL TIRISTOR


Un tiristor se activa incrementndola corriente del nodo. Esto se puede llevar a cabo
mediante una de las siguientes formas.
TERMICA. Si la temperatura de un tiristor es alta habr un aumento en el nmero de
pares electrn-hueco, lo que aumentar las corrientes de fuga. Este aumento en las
corrientes har que
1 y 2 aumenten. Debido a la accin regenerativa ( 1+ 2)
puede tender a la unidad y el tiristor pudiera activarse. Este tipo de activacin puede
causar una fuga trmica que por lo general se evita.

LUZ. Si se permite que la luz llegue a las uniones de un tiristor, aumentaran los pares
electrn-hueco pudindose activar el tiristor. La activacin de tiristores por luz se logra
permitiendo que esta llegue a los discos de silicio.
ALTO VOLTAJE. Si el voltaje directo nodo a ctodo es mayor que el voltaje de
ruptura directo VBO, fluir una corriente de fuga suficiente para iniciar una activacin
regenerativa. Este tipo de activacin puede resultar destructiva por lo que se debe evitar.
dv/dt. Si la velocidad de elevacin del voltaje nodo-ctodo es alta, la corriente de
carga de las uniones capacitivas puede ser suficiente para activar el tiristor. Un valor
alto de corriente de carga puede daar el tiristor por lo que el dispositivo debe
protegerse contra dv/dt alto. Los fabricantes especifican el dv/dt mximo permisible de
los tiristores.
CORRIENTE DE COMPUERTA. Si un tiristor est polarizado en directa, la
inyeccin de una corriente de compuerta al aplicar un voltaje positivo de compuerta
entre la compuerta y las terminales del ctodo activar al tiristor. Conforme aumenta la
corriente de compuerta, se reduce el voltaje de bloqueo directo, tal y como aparece en la
fig.4

Fig.4 Efectos de la corriente de compuerta sobre el voltaje de bloqueo directo.


TIPOS DE TIRISTORES
Los tiristores se fabrican casi exclusivamente por difusin. La corriente del nodo
requiere de un tiempo finito para propagarse por toda el rea de la unin, desde el punto
cercano a la compuerta cuando inicia la seal de la compuerta para activar el tiristor.
Para controlar el di/dt, el tiempo de activacin y el tiempo de desactivacin, los
fabricantes utilizan varias estructuras de compuerta. Dependiendo de la construccin
fsica y del comportamiento de activacin y desactivacin, en general los tiristores
pueden clasificarse en nueve categoras:
1. Tiristores de control de fase (SCR).
2. Tiristores de conmutacin rpida (SCR).
3. Tiristores de desactivacin por compuerta (GTO).
4. Tiristores de triodo bidireccional (TRIAC).
5. Tiristores de conduccin inversa (RTC).

6. Tiristores de induccin esttica (SITH).


7. Rectificadores controlados por silicio activados por luz (LASCR)
8. Tiristores controlados por FET (FET-CTH)
9. Tiristores controlados por MOS (MCT)
En esta practica fue necesario adems de utilizar tiristores, la utilizacin de un tipo
especial de estos como lo es un UJT adems de un PUT por lo que se definen ambos a
continuacin:
Tiristor SCR
Silicon controlled
rectifier

Tiristor SCS
Silicon controlled
switch

Diac *

Diac

Triac

Tiristor Schottky
PNPN de 4 capas
*

Tiristor
Schottky
PNPN de 4
capas

Tiristor Schottky
PNPN de 4 capas

Tiristor de
desconexin
puerta canal N
controlado por
nodo

Tiristor de
conduccin
inversa, puerta canal
P
controlado por
ctodo
Tiristor de
desconexin
puerta control P
controlado por
ctodo

SBS
Silicon bilateral
switch

SUS
Silicon unilateral
switch

Trigger Diac

Fototiristor

Ditriac / Quadrac

Darlistor

Tiristor de
conduccin
inversa, puerta canal
N controlado por
nodo

VERIFICACION Y CHEQUEO DE TIRISTORES:


Si las caractersticas de voltaje y corriente de trabajo del tiristor lo permiten, puedes
armar un crcuito para la comprobacin del estado y la identificacin del dispositivo(el
ciruito de comprobacin lo puedes ver en el indice). Cuando la bombilla enciende a
plena luz es porque est circulando la onda completa de la corriente alterna, esto
significa que se trata de un TRIAC. Cuando se trata de un SCR la bombilla slo
suministra aproximadamente la mitad de su luz, porque solamente recibe los medios
ciclos positivos. Para comprobar que el triac si est apagado cada vez que la onda de la
corriente de trabajo pasa por su nivel cero, la bombilla se debe apagar cuando se
desconecte la resistencia de polarizacin del gate(esto sirve para comprobar que el
dispositivo no est en cortocircuito).
PRUEBA CON EL OHMETRO O MULTIMETRO:
Debido a que todos los medidores de resistencia tienen una fuente de corriente
contnua(Pilas), se pueden verificar con este instrumento la gran mayora de
rectificadores SCR y TRIACs. Este procedimiento no sirve para los QUADRAC, ya que
para estos necesitamos una seal de gatillado superior a los 20 voltios, y los ohmetros y
multimetros slo tienen 3 voltios. No se aconseja hacer estos chequeos con instrumentos
que slo usan una pila de 1.5 voltios, pues la seal que entregan no alcanza ni para
probar LEDs(diodo emisor de luz).

PROCEDIMIENTO: Coloquemos el ohmetro o multimetro en la escala para medir


baja resistencia( R x 1). Coloquemos el caimn positivo(rojo) al ctodo del SCR, y
conectemos el nodo al cable negativo(negro), podr parecer incorrecto, puesto que se
ha dicho que el nodo debe quedar positivo, pero resulta que las corrientes de salida en
los terminales del instrumento tienen polaridad contraria a la que sealan sus signos y
colores. En este momento la aguja del medidor seala alta resistencia(si es que se
mueve ). Ahora hagamos un puente entre los terminales gate y nodo, esto acasionar
que la aguja suba a una posicin de baja resistencia, y se debe conservar all aunque
retiremos el puente que uni estos 2 terminales y suministr la seal de gatillado.
Si se trata de un triac, hagamos primero la prueba anterior, luego, invertimos los
terminales del ohmetro(es posible que en esta ltima posicin no se sostenga la aguja en
su lugar de baja resistencia cuando reitre el puente, pero esto se debe a que la baja
corriente del instrumento medidor no alcanza para mantener encendido el triac en esta
polaridad). Para las pruebas, TP1 equivale al ctodo, y TP2 al nodo.

UJT
El Transistor UJT (UniJunction Transistor)
Transistor uniunin

El transistor uniunin (en ingls UJT:


UniJuntion Transistor) es un tipo de
tiristor que contiene dos zonas

semiconductoras.

Tiene tres terminales denominados emisor (E), base uno (B1) y base dos (B2). Est
formado por una barra semiconductora tipo N, entre los terminales B1-B2, en la que se
difunde una regin tipo P+, el emisor, en algn punto a lo largo de la barra, lo que
determina el valor del parmetro , standoff ratio, conocido como razn de resistencias
o factor intrinseco.
Cuando el voltaje Veb1 sobrepasa un valor vp de ruptura, el ujt presenta un fenomeno
de modulacin de resistencia que, al aumentar la corriente que pasa por el dispositivo, la
resistencia de esta baja y por ello, tambien baja el voltaje en el dispositivo, esta region
se llama region de resistencia negativa, este es un proceso reiterativo, por lo que esta
region no es estable, lo que lo hace exelente para conmutar, para circuitos de disparo de
tiristores y en osciladores de relajacin.

El transistor UJT (transistor de unijuntura - Unijunction transistor) es un dispositivo


con un funcionamiento diferente al de otros transistores. Es un dispositivo de disparo.
Es un dispositivo que consiste de una sola unin PN
Fsicamente el UJT consiste de una barra de material tipo N con conexiones elctricas
a sus dos extremos (B1 y B2) y de una conexin hecha con un conductor de aluminio
(E) en alguna parte a lo largo de la barra de material N.
En el lugar de unin el aluminio crea una regin tipo P en la barra, formando as una
unin PN. Ver el siguiente grfico
Como se dijo antes este es un dispositivo de disparo. El disparo ocurre entre el Emisor
y la Base1 y el voltaje al que ocurre este disparo est dado por la frmula: Voltaje de
disparo = Vp = 0.7 + n x VB2B1
Donde:
- n = intrinsic standoff radio (dato del fabricante)
- VB2B1 = Voltaje entre las dos bases
La frmula es aproximada porque el valor establecido en 0.7 puede variar de 0.4 a 0.7
dependiendo del dispositivo y la temperatura.

Dos ejemplos sencillos


1.- Un UJT 2N4870 tiene un n = 0.63 y 24 voltios entre B2 y B1.
Cul es el voltaje de disparo aproximado?
Voltaje de disparo = Vp = 0.7 + (0.63 x 24) = 15.8 Voltios
2.- Un UJT 2N4870 tiene un n = 0.68 y 12 voltios entre B2 y B1.
Cul es el voltaje de disparo aproximado?
Voltaje de disparo = Vp = 0.7 + (0.68 x 12) = 8.86 Voltios.
Nota:
- Un dato adicional que nos da el fabricante es la corriente necesaria que debe haber
entre E y B1 para que el UJT se dispare = Ip.
- Es importante hacer notar que tambin se ha construido el UJT donde la barra es de
material tipo P (muy poco). Se le conoce como el CUJT o UJT complementario. Este
se comporta de igual forma que el UJT pero con las polaridades de las tensiones al
revs

Aplicaciones:
El transistor monounin (UJT) se utiliza generalmente para generar seales de disparo
en los SCR. En la fig.5 se muestra un circuito bsico de disparo UJT. Un UJT tiene tres
terminales, conocidas como emisor E, base1 B1 y base2 B2. Entre B1 y B2 la
monounin tiene las caractersticas de una resistencia ordinaria (la resistencia entre
bases RBB teniendo valores en el rango de 4.7 y 9.1 K). Cuando se aplica el voltaje de
alimentacin Vs en cd, se carga el capacitor C a travs de la resistencia R, dado que el
circuito emisor del UJT est en estado abierto. La constante de tiempo del circuito de
carga es T1=RC. Cuando el voltaje del emisor VE, el mismo que el voltaje del
capacitor llega a un valor pico Vp, se activa el UJT y el capacitor se descarga a travs
de RB1 a una velocidad determinada por la constante de tiempo T2=RB1C. T2 es
mucho menor que T1. Cuando el voltaje del emisor VE se reduce al punto del valle
Vv, el emisor deja de conducir, se desactiva el UJT y se repite el ciclo de carga.
El voltaje de disparo VB1 debe disearse lo suficientemente grande como para activar
el SCR. El periodo de oscilacin, T, es totalmente independiente del voltaje de
alimentacin Vs y est dado por:
T = 1/f = RC ln 1/1-n

Fig.5 Circuito bsico de disparo de un UJT


PARMETROS DEL UJT
UJT (Uni-Juntion Transistor): transistor formado por una resistencia de silicio (de 4 a
9 K) tipo N
con tres terminales, dos bases, B1 y B2, y un emisor (unin NP).
En la figura 4 se representa el smbolo, estructura y curva caracterstica

FUNCIONAMIENTO DEL UJT


El punto de funcionamiento viene determinado por las caractersticas del circuito
exterior. El
funcionamiento del UJT se basa en el control de la resistencia rB1B2 mediante la
tensin aplicada al
emisor.
Si el emisor no est conectado
VE < VP : Diodo polarizado inversamente : no conduce : IE = 0.

PUT
PUT Transistor Uniunin Programable

El PUT (Transistor Uniunin programable) es un dispositivo que, a diferencia del


transistor bipolar comn que tiene 3 capas (NPN o PNP), tiene 4 capas.
El PUT tiene 3 terminales como otros transistores y sus nombres son: ctodo K,
nodo A, puerta G.

A diferencia del UJT, este transistor permite que se puedan controlar los valores de RBB
y VP que en el UJT son fijos. Los parmetros de conduccin del PUT son controlados
por la terminal G
Este transistor tiene dos estados: Uno de conduccin (hay corriente entre A y K y la
cada de voltaje es pequea) y otro de corte cuando la corriente de A a K es muy
pequea.
Este transistor se polariza de la siguiente manera:
Del grfico anterior se ve que cuando IG = 0,
* [ RB2 / (RB1 + RB2) ] = n x VBB
donde: n = RB2 / (RB1 + RB2)

VG = VBB

La principal diferencia entre los transistores UJT y PUT


es que las resistencias: RB1 + RB2 son resistencias internas en el UJT, mientras que el
PUT estas resistencias estn en el exterior y pueden modificarse.
Aunque el UJT y el PUT son similares, El Ip es ms dbil que en el UJT y la tensin
mnima de funcionamiento es menor en el PUT.
Como funciona? Ver grfico anterior.
Para pasar al modo activo desde el estado de corte (donde la corriente entre A y K es
muy pequea) hay que elevar el voltaje entre A y K hasta el Valor Vp, que depende del
valor del voltaje en la compuerta G
Slo hasta que la tensin en A alcance el valor Vp, el PUT entrar en conduccin
(encendido) y se mantendr en este estado hasta que IA (corriente que atraviesa el
PUT) sea reducido de valor. Esto se logra reduciendo el voltaje entre A y K o
reduciendo el voltaje entre G y K
Ejemplo: Una aplicacin tpica: Oscilador con PUT
El funcionamiento es el siguiente: El condensador C se carga a travs de la resistencia
R hasta que el voltaje en A alcanza el voltaje Vp. En este momento el PUT se dispara y
entra en conduccin.
El voltaje en VG cae casi hasta 0 (cero) voltios y el PUT se apaga, repitindose otra vez
el proceso. Ver a continuacin las formas de onda de las tensiones en C, K y G

La frecuencia de oscilacin es: f = 1 / 1.2 x RC

Aplicaciones: el transistor monounin programable (PUT) es un pequeo tiristor que


aparece en la fig.7. Un PUT se puede utilizar como un oscilador de relajacin, tal y
como se muestra en la fig.7b. El voltaje de compuerta VG se mantiene desde la
alimentacin mediante el divisor resistivo del voltaje R1 y R2, y determina el voltaje de
punto de pico Vp. En el caso del UJT, Vp est fijo para un dispositivo por el voltaje de
alimentacin de cd, pero en un PUT puede variar al modificar al modificar el valor del
divisor resistivo R! y R2. Si el voltaje del nodo VA es menor que el voltaje de
compuerta VG, le dispositivo se conservar en su estado inactivo, pero si el voltaje de
nodo excede al de compuerta en una cada de voltaje de diodo VD, se alcanzar el
punto de pico y el dispositivo se activar. La corriente de pico Ip y la corriente del punto
de valle Iv dependen de la impedancia equivalente en la compuerta RG = R1R2/
(R1+R2) y del voltaje de alimentacin en cd Vs. N general Rk est limitado a un valor
por debajo de 100 Ohms.
R y C controlan la frecuencia junto con R1 y R2. El periodo de oscilacin T est dado
en forma aproximada por:
T = 1/f = RC lnVs/Vs-Vp = RC ln (1+R2/R1)

Fig.7 Circuito de disparo para un PUT

PARMETROS DEL PUT


PUT (Programable Uni-Juntion Transistor): de caracteristicas idnticas al UJT, puede
ajustar los

valores de , VP e IV mediante un circuito de polarizacin externo.


Su constitucin y funcionamiento es similar a las de un tiristor con puerta de nodo
(Fig. 6). Tiene tres terminales: ctodo K, nodo A y puerta de nodo GA.

FUNCIONAMIENTO DEL PUT


Si VA < VGA => diodo A-GA se polariza inversamente => solo circula corriente de
fugas.
Si VA > VGA =>diodo A-GA conduce y tiene una caracterstica similar a la del UJT
(Fig. 7).

DIAC
El DIAC (DIodo para Corriente Alterna) es un dispositivo semiconductor de dos
conexiones. Es un diodo bidireccional disparable que conduce la corriente slo tras
haberse superado su tensin de disparo, y mientras la corriente circulante no sea inferior
al valor caracterstico para ese dispositivo. El comportamiento es fundamentalmente el
mismo para ambas direcciones de la corriente. La mayora de los DIAC tienen una
tensin de disparo de alrededor de 30 V. En este sentido, su comportamineto es similar
a una lmpara de nen.
Los DIAC son una clase de tiristor, y se usan normalmente para disparar los triac, otra
clase de tiristor.
Es un dispositivo semiconductor de dos terminales, llamados nodo y ctodo. Acta
como un interruptor bidireccional el cual se activa cuando el voltaje entre sus terminales
alcanza el voltaje de ruptura, dicho voltaje puede estar entre 20 y 36 volts segn la
referencia.
DIAC de tres capas
Existen dos tipos de DIAC:

DIAC de tres capas: Es similar a un transistor bipolar sin conexin de base y


con las regiones de colector y emisor iguales y muy dopadas. El dispositivo
permanece bloqueado hasta que se alcanza la tensin de avalancha en la unin
del colector. Esto inyecta corriente en la base que vuelve el transistor conductor,
producindose un efecto regenerativo. Al ser un dispositivo simtrico, funciona
igual en ambas polaridades, intercambiando el emisor y colector sus funciones.
DIAC de cuatro capas. Consiste en dos diodos Shockley conectados en
antiparalelo, lo que le da la caracterstica bidireccional

DIODO SHOCKLEY
Diodo de cuatro capas o diodo tiristor: dispositivo bipolar PNPN comparable a un tiristor sin el
terminal de puerta (Fig. 9).

El diodo Shockley es un tiristor con dos terminales: nodo y ctodo. Est constituido por cuatro capas
semiconductoras que forman una estructura pnpn. Acta como un interruptor: est abierto hasta que la
tensin directa aplicada alcanza un cierto valor, entonces se cierra y permite la conduccin. La
conduccin contina hasta que la corriente se reduce por debajo de un valor especfico (IH).

Figura 1: Construccin bsica y smbolo del diodo Shockley


CARACTERISTICA TENSION-INTENSIDAD
Para valores negativos del voltaje aplicado, como en un diodo, slo habr una corriente muy pequea
hasta que se alcance la tensin de ruptura (VRB).

Figura 2: Caracterstica I-V del diodo Shockley


En polarizacin positiva, se impide el paso de corriente hasta que se alcanza un valor de tensin VB0.
Una vez alcanzado este punto, el diodo entra en conduccin, su tensin disminuye hasta menos de un
voltio y la corriente que pasa es limitada, en la prctica, por los componentes externos. La conduccin
continuar hasta que de algn modo la corriente se reduzca por debajo de la corriente de mantenimiento
IH.
La corriente que puede atravesar el dispositivo en polarizacin directa tiene un lmite impuesto por el
propio componente (IMAX), que si se supera llevar a la destruccin del mismo. Por esta razn, ser
necesario disear el circuito en el que se instale este componente de tal modo que no se supere este valor
de corriente. Otro parmetro que al superarse puede provocar la ruptura del dispositivo es VRB, ya que
provocara un fenmeno de avalancha similar al de un diodo convencional.

Dispositivo semiconductor de dos terminales de estructura similar a la del transistor que


presenta cierto tipo de conductividad biestable en ambos sentidos. Cuando las tensiones
presentes en sus terminales son suficientemente altas se utiliza principalmente junto a
los triacs que para el control en fase de los circuitos.
Es un tipo de tiristor que puede conducir en los dos sentidos. Es un dispositivo de dos
terminales que funciona bsicamente como dos diodos Shockley que conducen en
sentidos opuestos.

La curva de funcionamiento refleja claramente el comportamiento del diac, que


funciona como un diodo Shockley tanto en polarizacin directa como en inversa.
Cualquiera que sea la polarizacin del dispositivo, para que cese la conduccin hay que
hacer disminuir la corriente por debajo de la corriente de mantenimiento IH. Las partes
izquierda y derecha de la curva, a pesar de tener una forma anloga, no tienen por qu
ser simtricas.

CARACTERSTICAS
CARACTERSTICAS GENERALES Y APLICACIONES.
Se emplea normalmente en circuitos que realizan un control de fase de la corriente del
triac, de forma que solo se aplica tensin a la carga durante una fraccin de ciclo de la
alterna. Estos sistemas se utilizan para el control de iluminacin con intensidad variable,
calefaccin elctrica con regulacin de temperatura y algunos controles de velocidad de
motores.
La forma ms simple de utilizar estos controles es empleando el circuito representado
en la Figura 3, en que la resistencia variable R carga el condensador C hasta que se
alcanza la tensin de disparo del DIAC, producindose a travs de l la descarga de C,
cuya corriente alcanza la puerta del TRIAC y le pone en conduccin. Este mecanismo
se produce una vez en el semiciclo positivo y otra en el negativo. El momento del
disparo podr ser ajustado con el valor de R variando como consecuencia el tiempo de
conduccin del TRIAC y, por tanto, el valor de la tensin media aplicada a la carga,
obtenindose un simple pero eficaz control de potencia.

Figura 3: Disparo de TRIAC mediante un DIAC.


QUADRACS
Dispositivo formado por un diac que dispara a un triac. Posee tres terminales, dos de potencia del triac
y un extremo del diac como puerta del Quadracs (Fig. 10).

DIAC: ESTRUCTURA Y CARACTERISTICAS


Diac (Diode Alternative Current): dispositivo bidireccional simtrico (sin polaridad)
con dos
electrodos principales, MT1 y MT2, y ninguno de control (Fig.1.a).
Su estructura es la representada en la figura 1.b.
En la curva caracterstica tensin-corriente (Fig. 1.c) se observa que:
V(+ ) < VS => el elemento se comporta como un circuito abierto.
V(+ ) > VS =>el elemento se comporta como un cortocircuito.
Se utilizan para disparar esencialmente a los triacs.

SCR
DEFINICIN.
El SCR (Silicon
Controlled Rectifier o Rectificador Controlado de Silicio, Figura 1), es
(
un dispositivo semiconductor biestable formado por tres uniones pn con la disposicin
pnpn (Figura 2). Est formado por tres terminales, llamados nodo,
nodo Ctodo y Puerta.
Puerta La
conduccin entre nodo y ctodo es controlada por el terminal de puerta. Es un
elemento unidireccional (sentido
de la corriente es nico),, conmutador casi ideal,
(
rectificador y amplificador a la vez.

Figura 1: Smbolo del SCR.


ESTRUCTURA.

Figura 2 : Estructura bsica del SCR.


SCR(Rectificador Controlado de Silicio):
Este es un pequeo dispositivo de tres terminales, que hacen el mismo trabajo
semicondudtor de un diodo normal(deja pasar corriente en un solo sentido), pero con la
diferencia de que en ste se puede controlar el momento en el cual pueden comenzar a
pasar los electrones.
Al primer terminal se le denomina Ctodo, y es utilizado como entrada de corriente. El

segundo sirve de salida y se le llama Anodo y el tercero es el Gate, o terminal de control


para el paso de corriente ctodo - nodo. El gate, llamado tambin terminal de arranque
o encendido del tiristor, slo sirve para iniciar el paso de corriente entre los otros dos
terminales, lo que logra con una corriente muy baja(unos 20 miliamperios).
Podemos comparar un SCR con una puerta comn, de esas que tienen resorte y se
cierran solas.
Vamos a suponer que un viento fuerte la golpea por uno de sus lados, tratando de
abrirla, Bastar con que alguien la abra o accione el picaporte, para que el viento se
encargue de abrirla y mantenerta as, sin importar el estado del picaporte.
El viento, es equivalente al voltaje de los electrones presentes en el terminal de control.
CARACTERSTICAS GENERALES.
Interruptor casi ideal.
Soporta tensiones altas.
Amplificador eficaz.
Es capaz de controlar grandes potencias.
Fcil controlabilidad.
Relativa rapidez.
Caractersticas en funcin de situaciones pasadas (memoria).
CARACTERSTICAS ESTTICAS.
Las caractersticas estticas corresponden a la regin nodo - ctodo y son los valores
mximos que colocan al elemento en lmite de sus posibilidades:
- Tensin inversa de pico de trabajo .............................................: VRWM
- Tensin directa de pico repetitiva ...............................................: VDRM
- Tensin directa ............................................................................: VT
- Corriente directa media ...............................................................:: ITAV
- Corriente directa eficaz ...............................................................:
..............................................: ITRMS
- Corriente directa de fugas ...........................................................: IDRM
- Corriente inversa de fugas ..........................................................: IRRM
- Corriente de mantenimiento .......................................................: IH
Las caractersticas trmicas a tener en cuenta al trabajar con tiristores son:
- Temperatura de la unin ................................................................: Tj
- Temperatura de almacenamiento ...................................................: Tstg
- Resistencia trmica contenedor-disipador ......................................: Rc-d
- Resistencia trmica unin-contenedor ............................................: Rj-c
- Resistencia trmica unin-ambiente................................................: Rj-a
- Impedancia trmica unin-contenedor.............................................: Zj-c
CARACTERSTICAS DE CONTROL.
Corresponden a la regin puerta-ctodo y determinan las propiedades del circuito de
mando que responde mejor a las condiciones de disparo. Los fabricantes definen las
siguientes caractersticas:

-Tensin directa mx. ........................................................................: VGFM


- Tensin inversa mx. .......................................................................: VGRM
- Corriente mxima..............................................................................: IGM
- Potencia mxima ...............................................................................: PGM
- Potencia media .................................................................................:
.: PGAV
- Tensin puerta-ctodo para el encendido..........................................: VGT
- Tensin residual mxima que no enciende ningn elemento...........: VGNT
- Corriente de puerta para el encendido ..............................................: IGT
- Corriente residual mxima que no enciende ningn elemento..........: IGNT
Entre los anteriores destacan:
- VGT e IGT , que determinan las condiciones de encendido del dispositivo
semiconductor.
- VGNT e IGNT, que dan los valores mximos de corriente y de tensin, para los cuales
en condiciones normales de temperatura, los tiristores no corren el riesgo de dispararse
de modo indeseado.

rea de disparo seguro.


En esta rea (Figura 3) se obtienen las condiciones de disparo del SCR. Las tensiones y
corrientes admisibles para el disparo se encuentran en el interior de la zona formada por
las curvas:
Curva A y B: lmite superior e inferior de la tensin puerta-ctodo en funcin de la
corriente positiva de puerta, para una corriente nula de nodo.
Curva C: tensin directa de pico admisible VGF.
Curva D: hiprbola de la potencia media mxima PGAV que no debemos sobrepasar.

TEORIA Y OPERACIN DE LOS SCR


Un rectificador controlado de silicio (SCR, rectificador controlado de silicio) es un
dispositivo de tres terminales usado para controlar corrientes mas bien altas para una
carga. El smbolo esquemtico del SCR se presenta en la figura 1.

Figura1. Smbolo esquemtico y nombres de las terminales de un SCR.

Un SCR acta a semejanza de un interruptor. Cuando esta encendido (ON), hay una
trayectoria de flujo de corriente de baja resistencia del nodo al ctodo. Acta entonces
como un interruptor cerrado. Cuando esta apagado (OFF), no puede haber flujo de
corriente del nodo al ctodo. Por tanto, acta como un interruptor abierto. Dado que es
un dispositivo de estado s1ido, la accin de conmutacin de un SCR es muy rpida.
El flujo de corriente promedio para una carga puede ser controlado colocando un SCR
en serie con la carga. Este arreglo es presentado en la figura 2. La alimentaci6n de
voltaje es comnmente una fuente de 60-Hz de ca, pero puede ser de cd en circuitos
especiales.
Si la alimentacin de voltaje es de ca, el SCR pasa una cierta parte del tiempo del ciclo
de ca en el estado ON, y el resto del tiempo en el estado OFF. Para una fuente de 60-Hz
de ca, el tiempo del ciclo es de 16.67 ms. Son estos 16.67 ms los que se dividen entre el
tiempo que esta en ON y el tiempo que esta en OFF. La cantidad de tiempo que esta en
cada estado es controlado por el disparador.
Si una porcin pequea del tiempo esta en el estado ON, la corriente promedio que pasa
a la carga es pequea. Esto es porque la corriente puede fluir de la fuente, a travs del
SCR, y a la carga, s1o por una porcin relativamente pequea del tiempo. Si la seal

de la compuerta es cambiada para hacer que el SCR este en ON por un periodo mas
largo del tiempo, entonces la corriente de carga promedio ser mayor. Esto es porque la
corriente ahora puede fluir de la fuente, a travs del SCR, y a la carga, por un tiempo
relativamente mayor. De esta manera, la corriente para la carga puede variarse ajustando
la porci6n del tiempo del ciclo que el SCR permanece encendido.

Figura2. Relacin de circuito entre la fuente de voltaje ,un SCR y la carga

Como lo sugiere su nombre, el SCR es un rectificador, por lo que pasa corriente slo
durante los semiciclos positivos de la fuente de ca. El semiciclo positivo es el semiciclo
en que el nodo del SCR es mas positivo que el ctodo. Esto significa que el SCR de la
figura 2 no puede estar encendido ms de la mitad del tiempo. Durante la otra mitad del
ciclo, la polaridad de la fuente es negativa, y esta polaridad negativa hace que el SCR
tenga polarizaci6n inversa, evitando el paso de cualquier corriente a la carga.
FORMAS DE ONDA DE LOS SCR
Los trminos populares para describir la operacin de un SCR son ngulo de
conduccin y ngulo de retardo de disparo. El ngulo de conduccin es el numero de
grados de un ciclo de ca durante los cuales el SCR esta encendido. El ngulo de retardo
de disparo es el numero de grados de un ciclo de ca que transcurren antes de que el SCR
sea encendido. Por supuesto, estos trminos estn basados en la nocin de que el tiempo
total del ciclo es igual a 360 grados.
En la figura 3 se muestran las formas de onda de un circuito de control con SCR para un
ngulo de retardo de disparo. Al momento que el ciclo de ca inicia su parte positiva, el
SCR esta apagado. Por tanto tiene un voltaje instantneo a travs de sus terminales de
nodo y ctodo igual al voltaje de la fuente. Esto es exactamente lo que se vera si se
colocara un interruptor abierto en un circuito en lugar del SCR. Dado que el SCR
interrumpe en su totalidad el suministro de voltaje, el voltaje a travs de la carga (VLD)
es cero durante este lapso. La extrema derecha de las ondas ilustran estos hechos. Mas a
la derecha en los ejes horizontales, se muestra el voltaje de nodo a ctodo (VAK)
cayendo a cero despus de aproximadamente un tercio del semiciclo positivo. Esto es el
punto de 60. Cuando VAK cae a cero, el SCR se ha "disparado", o encendido. Por tanto,
el ngulo de retardo de disparo es de 60. Durante los siguientes 120 el SCR se
comporta como un interruptor cerrado sin voltaje aplicado a sus terminales. El ngulo
de conducci6n es de 120. El ngulo de retardo de disparo y el ngulo de conducci6n
siempre suman 180.

Figura3. Formas de ondas ideales del voltaje de la terminal principal (VAK) y el voltaje de carga de un
SCR. Para un ngulo de retardo de disparo de unos 60o, un ngulo de conduccin de 120o.

En la figura 3, la forma de onda del voltaje de carga muestra que, al dispararse el SCR,
el voltaje de la fuente es aplicado a la carga. El voltaje de carga entonces sigue al voltaje
de la fuente por el resto del semiciclo positivo, hasta que el SCR nuevamente se apaga.
El estado OFF ocurre cuando el voltaje de la fuente pasa por cero.
En general, estas formas de onda muestran que antes de que el SCR se dispare, el
voltaje es retirado de entre las terminales del SCR, y la carga ve un voltaje cero.
Despus de haberse disparado el SCR, la totalidad del suministro de voltaje es retirado a
travs de la carga, y el SCR presenta voltaje cero. El SCR se comporta como un
interruptor de accin rpida.
Caractersticas DE LA COMPUERTA DE LOS SCR
Un SCR es disparado por un pulso corto de corriente aplicado a la compuerta. Esta
corriente de compuerta (IG) fluye por la unin entre la compuerta y el ctodo, y sale del
SCR por la terminal del ctodo. La cantidad de corriente de compuerta necesaria para
disparar un SCR en particular se simboliza por IGT. Para dispararse, la mayora de los
SCR requieren una corriente de compuerta entre 0.1 y 50 mA (IGT = 0.1 - 50 mA). Dado
que hay una unin pn estndar entre la compuerta y el ctodo, el voltaje entre estas
terminales (VGK) debe ser ligeramente mayor a 0.6 V. En la figura 4 se muestran las
condiciones que deben existir en la compuerta para que un SCR se dispare.

Figura4.Voltaje de compuerta a ctodo (VGK) y corriente de compuerta (IG) necesarios para disparar un
SCR.

Una vez que un SCR ha sido disparado, no es necesario continuar el flujo de corriente
de compuerta. Mientras la corriente continu fluyendo a travs de las terminales
principales, de nodo a ctodo, el SCR perrnanecer en ON. Cuando la corriente de
nodo a ctodo (IAK) caiga por debajo de un valor mnimo, llamado corriente de
retencin, simbolizada IHO el SCR se apagara. Esto normalmente ocurre cuando la
fuente de voltaje de ca pasa por cero a su regin negativa. Para la mayora de los SCR
de tamao mediano, la IHO es alrededor de 10 mA.

PRACTICA: CIRCUITO BASICO DE DISPARO PARA UN SCR


OBJETIVO: Comprobar la operacin de un tiristor como elemento de control de fase
MATERIAL:

1 SCR C-106B

1 Resistencia de 3.3 K - W (R1)

1 Resistencia Variable

1 Diodo rectificador IN4007 o equivalente

1 Lmpara miniatura 127 Vca

1 Fusible de 0.5 Amp. c/porta fusible

Cable elctrico (cal. 14)


INSTRUMENTOS:

Fuente de alimentacin de 127 Vca

Osciloscopio

Voltmetro
DESARROLLO:

1. - Obtener las caractersticas elctricas y fsicas del SCR C-106B o sustituto.


Estas caractersticas se presentan en el anexo de esta practica junto con las caractersticas fsicas.

2. - Calcular el valor de R2 para el circuito bsico de disparo mostrado en la sig figura.


Datos
R1 = 3.3 K
VMax = 127 Vca
IGT = 200f
Por ley de Ohm
VMax = IGTRab
Despejando Rab
Sustituyendo valores para obtener Rab
Rab = R1 + R2,
Despejamos R2

3. - Con el osciloscopio determinar el ngulo mximo y mnimo de retardo en el disparo para el SCR.
Los valores obtenidos en el osciloscopio fueron los sig.
4. - Graficar las formas de onda en el tiristor y en la carga para cada uno de los ngulos de retardo en el disparo.

Otros dispositivos encapsulados


CONJUNTO DIODO MS TIRISTOR
Dispositivo formado por un diodo y un tiristor en la misma cpsula o integrados en la misma pastilla.
(Fig. 11).

PUENTES MIXTOS
Conjunto de dos diodos y dos tiristores en la misma cpsula (Fig. 12).

TRIAC
INTRODUCCION
El triac es un dispositivo semiconductor de tres terminales que se usa paracontrolar el
flujo de corriente promedio a una carga, con la particularidad deque conduce en ambos
sentidos y puede ser bloqueado por inversin de la tensino al disminuir la corriente por
debajo del valor de mantenimiento. El triacpuede ser disparado independientemente de
la polarizacin de puerta, es decir,mediante una corriente de puerta positiva o negativa.
El Triac puede ser considerado como la integracin de 2 SCR's en forma paralela
invertida.

TRIAC

El TRIAC (triode AC conductor) es un semiconductor capaz de bloquear tensin y


conducir corriente en ambos sentidos entre los terminales principales T1 y T2. Su
estructura bsica y smbolo aparecen en la fig.8. Es un componente simtrico en cuanto
a conduccin y estado de bloqueo se refiere, pues la caracterstica en el cuadrante I de la
curva UT2-T1 --- iT2 es igual a la del cuadrante III. Tiene unas fugas en bloqueo y una
cada de tensin en conduccin prcticamente iguales a las de un tiristor y el hecho de
que entre en conduccin, si se supera la tensin de ruptura en cualquier sentido, lo hace
inmune a destruccin por sobretensin.

Fig.8 TRIAC: Estructura y smbolo.

CIRCUITO EQUIVALENTE DE UN TRIAC


Se puede considerar a un TRIAC como si fueran dos SCR conectados en antiparalelo,
con una conexin de compuerta comn, como se muestra en la fig.9
Dado que el TRIAC es un dispositivo bidireccional, no es posible identificar sus
terminales como nodo y ctodo. Si la terminal MT2 es positiva con respecto a la
terminal MT1, se activar al aplicar una seal negativa a la compuerta, entre la
compuerta y la terminal MT1.
No es necesario que esten presentes ambas polaridades en las seales de la compuerta y
un TRIAC puede ser activado con una sola seal positiva o negativa de compuerta. En
la prctica, la sensibilidad vara de un cuadrante a otro, el TRIAC normalmente se opera
en el cuadrante I (voltaje y corriente de compuerta positivos) o en el cuadrante III
(voltaje y corriente de compuerta negativos).

Fig.9 Circuito equivalente de un TRIAC

MODOS DE FUNCIONAMIENTO DE UN TRIAC

El TRIAC puede ser disparado en cualquiera de los dos cuadrantes I y III mediante la
aplicacin entre los terminales puerta y T1 de un impulso positivo o negativo. Esto le da
una facilidad de empleo grande y simplifica mucho el circuito de disparo. A
continuacin se vern los fenmenos internos que tienen lugar en los cuatro modos de
disparo posibles.
Modo I + :
Terminal T2 positiva con respecto a T1.
Intensidad de puerta entrante.
Funcionan las capas P1N1P2N2 como tiristor con emisor en corto circuito, ya que la
metalizacin del terminal del ctodo cortocircuita parcialmente la capa emisora N2 con
la P2.
La corriente de puerta circula internamente hasta T1 , en parte por la unin P2N2 y en
parte a travs de la zona P2. Se produce la natural inyeccin de electrones de N2 a P2
que es favorecida en el rea prxima a la puerta por la cada de tensin que produce en
P2 la circulacin lateral de corriente de puerta. Parte de los electrones inyectados
alcanzan por difusin la unin P2N1, que bloquea el potencial exterior, y son acelerados
por ella inicindose la conduccin.
Modo I - :
Terminal T2 positivo respecto a T1.
Intensidad de puerta saliente.
El disparo es similar al de los tiristores de puerta de unin. Inicialmente conduce la
estructura auxiliar P1N1P2N3 y luego la principal P1N1P2N2.
El disparo de la primera se produce como un tiristor normal actuado T1 de puerta y P de
ctodo. Toda la estructura auxiliar se pone a la tensin positiva de T2 y polariza
fuertemente la unin P2N2 que inyecta electrones hacia el rea de potencial positivo. La
unin P2N1 de la estructura principal que soporta la tensin exterior, es invadida por
electrones en la vecindad de la estructura auxiliar, entrando en conduccin.
Modo III + :
Terminal T2 negativo respecto a T1.
Intensidad de puerta entrante.
El disparo tiene lugar por el procedimiento llamado de puerta remota. Entra en
conduccin la estructura P2N1P1N4.
La inyeccin de electrones de N2 a P2 es igual a la descrita en el modo I +. Los que
alcanzan por difusin la unin P2N1 son absorbidos por su potencial de unin,
hacindose ms conductora. El potencial positivo de puerta polariza ms positivamente
el rea de la unin P2N1 prxima a ella que la prxima a T1, provocndose una
inyeccin de huecos desde P2 a N1 que alcanza en parte la unin N1P1 encargada de
bloquear la tensin exterior y se produce la entrada en conduccin.
Modo III - :

Terminal T2 negativo respecto a T1.


Intensidad de puerta saliente.
Tambin se dispara por el procedimiento e puerta remota, conduciendo las capas
P2N1P1N4.
La capa N3 inyecta electrones en P2 que hacen ms conductora la unin P2N1. La
tensin positiva de T1 polariza el rea prxima de la unin P2N1 ms positivamente que
la prxima a la puerta. Esta polarizacin inyecta huecos de P2 a N1 que alcanzan en
parte la unin N1P1 y la hacen pasar a conduccin.
Los cuatro modos de disparo descritos tienen diferente sensibilidad. Siendo los modos I
+ y III - los ms sensibles, seguidos de cerca por el I -. El modo III + es el disparo ms
difcil y debe evitarse su empleo en lo posible.
El fabricante facilita datos de caractersticas elctricas el bloqueo, conduccin y de
dispar por puerta de forma similar a lo explicado para el tiristor.

DESCRIPCION GENERAL
Cuando el triac conduce, hay una trayectoria de flujo de corriente de muy baja
resistencia de una Terminal a la otra, dependiendo la direccin de flujo de la polaridad
del voltaje externo aplicado. Cuando el voltaje es mas positivo en MT2, la corriente
fluye de MT2 a MT1 en caso contrario fluye de MT1 a MT2. En ambos casos el triac se
comporta como un interruptor cerrado. Cuando el triac deja de conducir no puede fluir
corriente entre las terminales principales sin importar la polaridad del voltaje externo
aplicado por tanto acta como un interruptor abierto.
Debe tenerse en cuenta que si se aplica una variacin de tensin importante al triac
(dv/dt) an sin conduccin previa, el triac puede entrar en conduccin directa.

CONSTRUCCION BASICA, SIMBOLO, DIAGRAMA EQUIVALENTE

FIG. 1

FIG. 2

La estructura contiene seis capas como se indica en la FIG. 1, aun que funciona
siempre como un tiristor de cuatro capas. En sentido MT2-MT1 conduce a travs de
P1N1P2N2 y en sentido MT1-MT2 a travs de P2N1P1N4. La capa N3facilita el
disparo con intensidad de puerta negativa. La complicacin de su estructura lo hace mas
delicado que un tiristor en cuanto a di/dt y dv/dt y capacidad para soportar sobre
intensidades. Se fabrican para intensidades de algunos amperios hasta unos 200 A
eficaces y desde 400 a 1000 V de tensin de pico repetitivo. Los triac son fabricados
para funcionar a frecuencias bajas, los fabricados para trabajar a frecuencias medias son
denominados alternistores En la FIG. 2 se muestra el smbolo esquemtico e
identificacin de las terminales de un triac, la nomenclatura nodo 2 (A2) y nodo 1
(A1) pueden ser reemplazados por Terminal Principal 2 (MT2) y Terminal Principal 1
(MT1) respectivamente.
El Triac acta como dos rectificadores controlados de silicio (SCR) en paralelo Fig. 3 ,
este dispositivo es equivalente a dos latchs

FIG. 3
CARACTERISTICA TENSION CORRIENTE

FIG. 4

La FIG. 4 describe la caracterstica tensin corriente del Triac. Muestra la corriente a


travs del Triac como una funcin de la tensin entre los nodos MT2 y MT1.

El punto VBD (tensin de ruptura) es el punto por el cual el dispositivo pasa de una
resistencia alta a una resistencia baja y la corriente, a travs del Triac, crece con un
pequeo cambio en la tensin entre los nodos.
El Triac permanece en estado ON hasta que la corriente disminuye por debajo de la
corriente de mantenimiento IH. Esto se realiza por medio de la disminucin de la tensin
de la fuente. Una vez que el Triac entra en conduccin, la compuerta no controla mas la
conduccin, por esta razn se acostumbra dar un pulso de corriente corto y de esta
manera se impide la disipacin de energa sobrante en la compuerta.
El mismo proceso ocurre con respecto al tercer cuadrante, cuando la tensin en el nodo
MT2 es negativa con respecto al nodo MT1 y obtenemos la caracterstica invertida. Por
esto es un componente simtrico en cuanto a conduccin y estado de bloqueo se refiere,
pues la caracterstica en el cuadrante I de la curva es igual
a la del III
METODOS DE DISPARO
Como hemos dicho, el Triac posee dos nodos denominados ( MT1 y MT2) y una
compuerta G.
La polaridad de la compuerta G y la polaridad del nodo 2, se miden con respecto al
nodo 1.
El triac puede ser disparado en cualquiera de los dos cuadrantes I y III mediante la
aplicacin entre los terminales de compuerta G y MT1 de un impulso positivo o
negativo. Esto le da una facilidad de empleo grande y simplifica mucho el circuito de
disparo. Veamos cules son los fenmenos internos que tienen lugar en los cuatro
modos posibles de disparo.
1 El primer modo del primer cuadrante designado por I (+),es aquel en que la tensin
del nodo MT2 y la tensin de la compuerta son positivas con respecto al nodo MT1 y
este es el modo mas comn (Intensidad de compuerta entrante).
La corriente de compuerta circula internamente hasta MT1, en parte por la unin P2N2
y en parte a travs de la zona P2. Se produce la natural inyeccin de electrones de N2 a
P2, que es favorecida en el rea prxima a la compuerta por la cada de tensin que
produce en P2 la circulacin lateral de corriente de compuerta. Esta cada de tensin se
simboliza en la figura por signos + y -.
Parte de los electrones inyectados alcanzan por difusin la unin P2N1 que bloquea el
potencial exterior y son acelerados por ella inicindose la conduccin.
2 El Segundo modo, del tercer cuadrante, y designado por III(-)es aquel en que la
tensin del nodo MT2 y la tensin de la compuerta son negativos con respecto al
nodo MT1 (Intensidad de compuerta saliente).
Se dispara por el procedimiento de puerta remota, conduciendo las capas P2N1P1N4.

La capa N3 inyecta electrones en P2 que hacen ms conductora la unin P2N1.La


tensin positiva de T1 polariza el rea prxima de la unin P2N1 ms positivamente que
la prxima a la puerta. Esta polarizacin inyecta huecos de P2 a N1 que alcanzan en
parte la unin N1P1 y la hacen pasar a conduccin.
3 El tercer modo del cuarto cuadrante, y designado por I(-) es aquel en que la tensin
del nodo MT2 es positiva con respecto al nodo MT1 y la tensin de disparo de la
compuerta es negativa con respecto al nodo MT1(Intensidad de compuerta saliente).
El disparo es similar al de los tiristores de puerta de unin. Inicialmente conduce la
estructura auxiliar P1N1P2N3 y luego la principal P1N1P2N2.
El disparo de la primera se produce como en un tiristor normal actuando T1 de puerta y
P de ctodo. Toda la estructura auxiliar se pone a la tensin positiva de T2 y polariza
fuertemente la unin P2N2 que inyecta electrones hacia el rea de potencial positivo. La
unin P2N1 de la estructura principal, que soporta la tensin exterior, es invadida por
electrones en la vecindad de la estructura auxiliar, entrando en conduccin.
4 El cuarto modo del Segundo cuadrante y designado por III(+) es aquel en que la
tensin del nodo T2 es negativa con respecto al nodo MT1, y la tensin de disparo de
la compuerta es positiva con respecto al nodo MT1(Intensidad de compuerta entrante).
El disparo tiene lugar por el procedimiento llamado de puerta remota. Entra en
conduccin la estructura P2N1P1N4.
La inyeccin de N2 a P2 es igual a la descrita en el modo I(+). Los que alcanzan por
difusin la unin P2N1 son absorbido por su potencial de unin, hacindose ms
conductora. El potencial positivo de puerta polariza ms positivamente el rea de unin
P2N1 prxima a ella que la prxima a T1,provocndose una inyeccin de huecos desde
P2 a N1 que alcanza en parte la unin N1P1 encargada de bloquear la tensin exterior y
se produce la entrada en conduccin.
El estado I (+), seguido de III (-) es aquel en que la corriente de compuerta necesaria
para el disparo es mnima. En el resto de los estados es necesaria una corriente de
disparo mayor. El modo III (+) es el de disparo ms difcil y debe evitarse su empleo en
lo posible.
En general, la corriente de encendido de la compuerta, dada por el fabricante, asegura el
disparo en todos los estados.

FORMAS DE ONDA DE LOS TRIACS


La relacin en el circuito entre la fuente de voltaje, el triac y la carga se representa en la
FIG.7. La corriente promedio entregada a la carga puede variarse alterando la cantidad
de tiempo por ciclo que el triac permanece en el estado encendido. Si permanece una
parte pequea del tiempo en el estado encendido, el flujo de corriente promedio a travs
de muchos ciclos ser pequeo, en cambio si permanece durante una parte grande del
ciclo de tiempo encendido, la corriente promedio ser alta.

Un triac no esta limitado a 180 de conduccin por ciclo. Con un arregloadecuado del
disparador, puede conducir durante el total de los 360 del ciclo.Por tanto proporciona
control de corriente de onda completa, en lugar delcontrol de media onda que se logra
con un SCR.
Las formas de onda de los triacs son muy parecidas a las formas de ondade los SCR, a
excepcin de que pueden dispararse durante el semiciclo negativo.En la FIG.8 se
muestran las formas de onda tanto para el voltaje de carga comopara el voltaje del triac (
a travs de los terminales principales) para doscondiciones diferentes.
En la FIG.8 (a), las formas de onda muestran apagado el triac durante losprimeros 30 de
cada semiciclo, durante estos 30 el triac se comporta como uninterruptor abierto,
durante este tiempo el voltaje completo de lnea se cae atravs de las terminales
principales del triac, sin aplicar ningn voltaje ala carga. Por tanto no hay flujo de
corriente a travs del triac y la carga.
La parte del semiciclo durante la cual existe seta situacin se llama ngulode retardo de
disparo.
Despus de transcurrido los 30 , el triac dispara y se vuelve como uninterruptor cerrado
y comienza a conducir corriente a la carga, esto lo realizadurante el resto del semiciclo.

La parte del semiciclo durante la cual el triacesta encendido se llama ngulo de


conduccin.
La FIG.8 (b) muestran las mismas formas de ondas pero con ngulo de retardode
disparo mayor.

FIG.8

CIRCUITO PRACTICO PARA DISPARO

FIG.5

En la FIG. 5 se muestra un circuito practico de disparo de un triacutilizando un UJT. El


resistor RF es un resistor variable que semodifica a medida que las condiciones de carga
cambian. El transformador T1 esun transformador de aislamiento, y su propsito es
aislar elctricamente elcircuito secundario y el primario, para este caso asla el circuito
de potenciaca del circuito de disparo.
La onda senoidal de ca del secundario de T1 es aplicada a un rectificador enpuente y la
salida de este a una combinacin de resistor y diodo zener quesuministran una forma de
onda de 24 v sincronizada con la lnea de ca. Estaforma de onda es mostrada en la FIG.
6 (a).

Cuando la alimentacin de 24 v se establece, C1 comienza a cargarse hasta laVp del


UJT, el cual se dispara y crea un pulso de corriente en el devanadoprimario del
transformador T2. Este se acopla al devanado secundario, y el pulsodel secundario es
entregado a la compuerta del triac, encendindolo durante elresto del semiciclo. Las
formas de onda del capacitor( Vc1),corriente del secundario de T2 ( Isec) y voltaje de
carga (VLD),se muestran en la FIG. 6 (b), (c),(d).
La razn de carga de C1 es determinada por la razn de RF a R1,que forman un divisor
de voltaje, entre ellos se dividen la fuente de cd de 24 vque alimenta al circuito de
disparo. Si RF es pequeo en relacin aR1, entonces R1 recibir una gran parte de la
fuente de24 v , esto origina que el transistor pnp Q1 conduzca, con unacirculacin
grande de corriente por el colector pues el voltaje de R1es aplicado al circuito de base,
por lo tanto C1 se carga conrapidez. Bajo estas condiciones el UJT se dispara pronto y la
corriente de cargapromedio es alta.
Por otra parte se RF es grande en relacin a R1,entonces el voltaje a travs de R1 ser
menor que en el casoanterior, esto provoca la aparicin de un voltaje menor a travs del
circuitobase-emisor de Q1 con la cual disminuye su corriente de colector ypor
consiguiente la razn de carga de C1 se reduce, por lo que lelleva mayor tiempo
acumular el Vp del UJT. Por lo tanto el UJT y el triac sedisparan despus en el
semiciclo y la corriente de carga promedio es menor queantes.

FIG.6

DISEO DEL CIRCUITO PRACTICO


Para el circuito de la FIG. 5, suponga las siguientes condiciones, R1 = 5 k, Rf = 8 k,
R2=2,5k, C1=0,5 uF,
Supngase que R1 y Rf estn en serie,

, luego

, de la ecuacin

El capacitor debe cargarse hasta el Vp del UJT, que esta dado por,

El tiempo requerido para cargar hasta ese punto puede encontrarse en

, permite que

simbolice el ngulo de retardo de disparo.

Dado que

360 grados representan un periodo de un ciclo, y el periodo de una fuente de 60 HZ es


de 16.67 ms, se puede establece la proporcin

, Para un ngulo de retardo de disparo de 120 grados, el tiempo


entre

el cruce por cero y el disparo seta dado por la proporcin

, El punto pico del UJT es aun 14.5 V, por lo que para


retardar el

disparo durante 5.55 ms, la razn de acumulacin de voltaje debe ser,

, luego

que nos da

, entonces podemos encontrar Rf

, trabajando con seta ecuacin y resolviendo Rf se


obtiene

, por tanto, si la resistencia de realimentacin fuera incrementada a 25K, el


Angulo
de retardo de disparo se incrementa a

y la corriente de carga se reducir

proporcionalmente
EJEMPLO PRACTICO DEAPLICACION y DISEO
En la FIG.9 puede verse una aplicacin prctica de gobierno de un motor dec.a.
mediante un triac (TXAL228). La seal de control (pulso positivo) llegadesde un
circuito de mando exterior a la puerta inversora de un ULN2803 que a susalida
proporciona un 0 lgico por lo que circular corriente a travs deldiodo emisor
perteneciente al MOC3041 (opto acoplador). Dicho diodo emite un hazluminoso que
hace conducir al fototriac a travs de R2 tomando la tensin delnodo del triac de
potencia. Este proceso produce una tensin de puertasuficiente para excitar al triac
principal que pasa al estado de conduccinprovocando el arranque del motor.
Debemos recordar que el triac se desactiva automticamente cada vez que lacorriente
pasa por cero, es decir, en cada semiciclo, por lo que es necesarioredisparar el triac en
cada semionda o bien mantenerlo con la seal de controlactivada durante el tiempo que
consideremos oportuno. Como podemos apreciar,entre los terminales de salida del triac
se sita una red RC cuya misin esproteger al semiconductor de potencia, de las
posibles sobrecargas que se puedanproducir por las corrientes inductivas de la carga,
evitando adems cebados nodeseados.
Es importante tener en cuenta que el triac debe ir montado sobre un disipadorde calor
constituido a base de aletas de aluminio de forma que el semiconductorse refrigere
adecuadamente.

FIG.9

PARAMETROS DEL TRIAC


VALORES MAXIMOS (2N6071A,B MOTOROLA)

CARACTERISTICAS ELECTRICAS (2N6071A,B MOTOROLA)

DEFINICIN DE LOS PARMETROS DEL TRIAC

VDRM (Tensin de pico repetitivo en estado de bloqueo) = es el mximo valor


de tensin admitido de tensin inversa, sin que el triac se dae.
IT(RMS) ( Corriente en estado de conduccin) = en general en el grafico se da la
temperatura en funcin de la corriente.
ITSM (Corriente pico de alterna en estado de conduccin(ON)) = es la
corriente pico mxima que puede pasar a travs del triac, en estado de
conduccin. En general seta dada a 50 o 60 Hz.
I2t ( Corriente de fusin) = este parmetro da el valor relativo de la energa
necesaria para la destruccin del componente.
PGM ( Potencia pico de disipacin de compuerta) = la disipacin instantnea
mxima permitida en la compuerta.
IH ( Corriente de mantenimiento) = la corriente directa por debajo de la cual el
triac volver del estado de conduccin al estado de bloqueo.
dV/dt ( velocidad critica de crecimiento de tensin en el estado de bloqueo)
= designa el ritmo de crecimiento mximo permitido de la tensin en el nodo
antes de que el triac pase al estado de conduccin. Se da a una temperatura de
100C y se mide en V/us.
tON ( tiempo de encendido) = es el tiempo que comprende lapermanencia y
aumento de la corriente inicial de compuerta hasta que circule lacorriente
andica nominal.

EXPERIMENTO DE LABORATORIO DEL TRIAC


OBJETIVOS DE LA PRCTICA
1.
2.
3.

Conocimiento de los parmetros importantes del Triac.


Conocimiento de las tcnicas y los circuitos de medicin requeridos para la verificacin del
Triac.
Verificacin de las especificaciones del Triac.
MATERIALES UTILIZADOS EN LA PRCTICA:

1.
2.
3.
4.
5.
6.
7.
8.

Tablero de prctica del Triac N4 del curso INTER-1.


Caja de componentes.
Osciloscopio.
Generador de audio frecuencia.
Dos fuentes de tensin variable(0-36 V) con limitacin de corriente.
Multmetro (2 Unidades).
Voltmetro electrnico.
Soldador.
DESARROLLO DEL EXPERIMENTO

1.

Medicion de la tensin y corriente de encendido


1. Conectar el circuito de medicin descrito en la siguiente Fig.
Circuito de aplicacin:

2.

Fijar VDD a la tensin de 12 V.

3.
4.

Fijar VGG a la tensin de 12 V.


Ajustar P1 a su valor mximo, verificar que el Triac no se encuentre en conduccin, si
no es as presionar el interruptor S y as retornar al estado de bloqueo.
En caso de que este mtodo no funcione, apagar la fuente VDD y prenderla nuevamente

5.

Disminuir el valor de P1 lentamente y observar la corriente y tensin de la compuerta.


Anotar en la tabla 1 la tensin y corriente de encendido en el momento del paso a
conduccin.
Repetir las mediciones varias veces. Es necesario anotar el resultado de las mediciones
en el momento del encendido.

6.

Calentar el Triac acercando el extremo del soldador durante uno a dos minutos (no hay
que hacer contacto entre el soldador y el cuerpo del Triac. El calentamiento se producir
por la conduccin del calor en el aire).
Repetir la medicin y anotar la tensin y corriente de encendido que se obtienen.

7.

Cambiar la polaridad de VGG y VDD de acuerdo con la tabla 1 y repetir los ejercicios 1.4
a 1.6, anotar los resultados en la tabla.

VDD [V]

VGG [V]

VG [V]

IG [mA]

VG [V] Temp

IG [mA] Temp

+12

+12

0,669

1,75

0,6

0,98

-12

+12

-0,0528

5,36

-0,00022

2,88

-12

-12

-0,7249

-3,41

-0,69

-1,35

+12

-12

-0,6645

-3,93

-0,29

-2,07

2.
3.

5.

Tabla 1: Caractersticas tensin corriente de encendido.


4.
Medicin de la caracterstica de conduccin del Triac

1.

Conectar el circuito de medida de acuerdo a la siguiente Fig.


Circuito de aplicacin:

2.
3.
4.
5.
6.
7.

Conectar VGG y determinar su valor en 12 V constante. Fijar P1 en su valor mnimo.


Activar la fuente de tensin VDD y fijar su lmite de corriente en 400mA y en este estado
bajar su tensin a cero y conectarla al circuito.
Aumentar la tensin de la fuente VDD para obtener el mximo de corriente, If=400mA.
Medir y anotar la tensin del Triac Vf en la tabla 2. Para medir la corriente presionar el
interruptor.
Disminuir la corriente de nodo a 300mA con ayuda del lmite de corriente y anotar la
tensin Vf obtenida.
Continuar disminuyendo la corriente del Triac de acuerdo a la tabla y anotar las
tensiones obtenidas.
Cambiar la polaridad de VGG y VDD de acuerdo a la tabla (y variar la polaridad de los
instrumentos anlogamente), repetir las mediciones de los incisos 2.2 hasta 2.6 y anotar
los resultados en la tabla 2

OBS.: no es posible medir la tensin Vf cuando el interruptor S est presionado.


VGG [V]

VDD [V]

If [mA]

400 mA

300 mA

200 mA

100 mA

+12

+*

Vf [V]

1,63

1,51

1,3

-12

+*

Vf [V]

1,63

1,51

1,28

1,02

-12

Vf [V]

-1,63

-1,55

-1,3

-1,01

+12

Vf [V]

-1,63

-1,52

-1,3

-1

Tabla 2: Caractersticas de conduccin directa


Obs. : la polaridad de la fuente VDD est en relacin con MT1.
6.

Caractersticas del Triac


1. Conectar el circuito de medicin de acuerdo a la siguiente Fig. Fijar el potencimetro
P1 a su valor mximo y la fuente de tensin a un valor pequeo.
Circuito de medicin:

2.

3.
4.
5.
6.

Fijar la tensin VGG en 12 V. Tener en cuenta que el generador de audiofrecuencia y la


fuente de tensin deben estar "flotantes" (no a tierra). Si esto no fuera posible se debe
intercambiar de lugar la entrada del amplificador "Y" con tierra (GND), entonces habr
que tomar en cuenta el error que proviene de la adicin de cada de tensin sobre la
resistencia de ctodo a la tensin en el nodo medida a travs del amplificador "X".
Fijar la tensin del generador de audiofrecuencia en su valor mximo a una frecuencia
de 500 Hz. Fijar el amplificador "Y" y "X" de acuerdo a la necesidad.
Disminuir la resistencia del potencimetro P1 (para aumentar la corriente de la
compuerta). Observar en la pantalla del osciloscopio e indicar la influencia de la
corriente de compuerta en la figura.
Realizar un ajuste del eje "X" (Volt/cm) y del eje "Y" (mA/cm) y centralizar el origen
de los ejes en la pantalla.
Dibujar la curva obtenida. Indicar los valores de las corrientes y tensiones en la
compuerta para la aparicin de las partes positiva y negativa.
NOTA: los grficos estn dibujados en su forma normal y no en forma inversa como
son obtenidos en la pantalla del osciloscopio.

7.

Invertir la polaridad de la fuente VGG. Fijar el potencimetri P1 en su valor mximo y


repetir los puntos 3.2 hasta 3.6.

Tabla 3: Caractersticas del Triac


Observaciones y conclusiones
o
o
o
o

Como se pudo notar el Triac es un SCR bidirreccional.


La corriente y la tensin de encendido disminuyen con el aumento de temperatura y con
el aumento de la tensin de bloqueo.
Las corrientes de prdida del Triac son pequeas, del orden de 0,1 A a la temperatura
ambiente.
El Triac conmuta del modo de corte al modo de conduccin cuando se inyecta corriente
a la compuerta. Despus del disparo la compuerta no posee control sobre el estado del
Triac. Para apagar el Triac la corriente andica debe reducirse por debajo del valor de la
corriente de retencin IH.

SUS
CONMUTADOR UNILATERAL DE SILICIO (SUS)
SUS (Silicon Unilateral Switch): combinacin de un tirirstor con puerta andica y un
diodo Zener
entre puerta y ctodo.
En la figura 2 se representa el smbolo, circuito equivalente y la curva caracterstica.
Se usa para el disparo de tiristores. Su principal parmetro es VS 6 y 10 V.
Se dispara a una tensin fija, Vzener , y su corriente IS est muy cercana a IH .
Sincronizacin mediante impulsos en puerta del SUS.

SBS
CONMUTADOR BILATERAL DE SILICIO (SBS)
SBS (Silicon Bilateral Switch): de respuesta equivalente a la de un diac, equivale a dos
SUS
conectados en antiparalelo.
En la figura 3 se representa el smbolo, circuito equivalente y la curva caracterstica.
Se usan normalmente para el disparo de triacs. Su principal parmetro es VS (entre 6 y
10 V) en
ambos sentidos.
Especificaciones idnticas a las del SUS a excepcin de VR que pierde todo
significado.

LASCR
FOTOTIRISTORES:
Hemos aprendido que la luz es una forma de energa electromagntica de alta frecuencia, y que como tal,
est en capacidad de efectuar trabajo, ya sea calentando objetos que la absorben, motivando la generacin
de corriente elctrica, o simplemente disparando la conduccin en dispositivos semiconductores
diseados para el efecto. Este es el caso de los fototiristores, en los cuales el gatillado se efecta cada vez
que recibe un haz de luz en la juntura de control.
Su nombre tcnico LASCR, lo que significa "SCR Activado por Luz". El terminal gate se deja
simplemente como electrodo para control de sensibilidad.

ACOPLADORES PTICOS CON TIRISTORES


Conjunto formado por un fototiristor y un diodo LED en la misma cpsula. Tambin se denominan
OPTOACOPLADORES (Fig. 13).

El acoplador ptico es un dispositivo que ofrece a los diseadores electrnicos una


mayor libertad para disear circuitos y sistemas. La operacin esta basado en la
deteccin de luz emitida. La entrada del acoplador esta conectada a un emisor de luz y
la salida es un fotodetector. Los dos elementos estn separados por un aislante
transparente y dentro de un empaque que lo asla de la luz exterior (figura 1). Hay
muchos tipos de acopladores pticos, todos ellos tienen una fuente de luz infrarroja
(LED), pero el detector puede ser: fotodiodo, fototransistor, LASCR, etc.

Figura 1. Corte de un optoacoplador.


Al encapsular un emisor y un detector ptico, la relacin ptica siempre esta establecida
y esto hace que la naturaleza de uso sea totalmente electrnica. Esto elimina la
necesidad de tener conocimientos de ptica para el usuario. Por lo tanto para una
efectiva aplicacin solo hace falta conocer algunas caractersticas elctricas,
capacidades y limitaciones del emisor y el detector.

GTO
(Gate Turn-off Thyristor)
Un tiristor GTO puede ser encendido por un solo pulso de corriente positiva en la
terminal gate (como en el tiristor), pero en cambio puede ser apagado por un pulso de
corriente negativa en la terminal gate. Ambos estados, tanto el estado de encendido
como el estado de apagado del dispositivo son controlados por la corriente en la
terminal gate.
El smbolo para el tiristor GTO usado ms frecuente, as como sus caractersticas de
conmutacin se muestran en la figura.
El proceso de encendido es similar al del tiristor. Las caractersticas de apagado son un
poco diferentes. Cuando un voltaje negativo es aplicado a travs de las terminales
gate y ctodo, la corriente en el gate (ig), crece. Cuando la corriente en el gate alcanza
su mximo valor IGR, la corriente de nodo comienza a caer y el voltaje a travs del

dispositivo (VAK), comienza a crecer. El tiempo de cada de la corriente de nodo (IA)


es abrupta, tpicamente menor a 1 us. Despus de esto, la corriente de nodo vara
lentamente y sta porcin de la corriente de nodo es conocido como corriente de cola.
La razn (IA/IGR) de la corriente de nodo IA a la mxima corriente negativa en el gate
(IGR) requerida para el voltaje es baja, comnmente entre 3 y 5. Por ejemplo, para un
voltaje de 2500 V y una corriente de 1000 A, un GTO normalmente requiere una
corriente negativa de pico en el gate de 250 A para el apagado.

La estructura del GTO es esencialmente la misma que un tiristor convencional. Como se


muestra en la figura, existen 4 capas de silicn (pnpn), 3 uniones y tres terminales
(nodo, ctodo y gate). La diferencia en la operacin, radica en que en que una seal
negativa en el gate puede apagar el GTO.
Mientras el GTO se encuentre apagado y no exista seal en el gate, el dispositivo se
bloquea para cualquier polaridad en el nodo, pero una corriente de fuga (IA leak)
existe. Con un voltaje de bias en directa el GTO se bloquea hasta que un voltaje de
ruptura VAK = VB0 es alcanzado. En este punto existe un proceso dinmico de
encendido., VAK = 3V y la corriente IA es determinada por la carga. Cuando el GTO se
apaga y con la aplicacin de una voltaje en inversa, solo una pequea corriente de fuga
(IA leak) existe. Una polarizacin en inversa VAK puede ser alcanzada cuando ocurra
un corte. El valor del voltaje del voltaje de ruptura inverso depende del mtodo de
fabricacin para la creacin de una regeneracin interna para facilitar el proceso de
apagado.
Con un voltaje de polarizacin directo aplicado al nodo y un pulso de corriente positiva
es aplicada al gate, el GTO se enciende y permanece de esa forma. Para sta condicin,
existen 2 formas de apagarlo. Una forma es reduciendo la corriente de nodo IA por
medios externos hasta un valor menor a la corriente de holding Ih, en la cual, la accin
regenerativa interna no es efectiva. La segunda forma de apagarlo es por medio de un
pulso en el gate, y este es el mtodo ms recomendable porque proporciona un mejor
control.
Como el GTO tiene una conduccin de corriente unidireccional, y puede ser apagado en
cualquier instante, ste se aplica en circuitos chopper (conversiones de dc- dc) y
circuitos inversores (conversiones dc -ac) a niveles de potencia en los que los
MOSFET's, TBJ's e IGBT's no pueden ser utilizados. A bajos niveles de potencia los
semiconductores de conmutacin rpida son preferibles. En la conversin de AC - DC,
los GTO's, son tiles porque las estrategias de conmutacin que posee, pueden ser
usadas para regular la potencia, como el factor de potencia.

SCS
SCS (SILICON CONTROLLED SWITCH)
Es similar en cuanto a construccin al SCR. La diferencia est en que posee dos
terminales de puerta, uno para entrar en conduccin y otro para corte. El SCS se suele
utilizar en rangos de potencia menores que el SCR.

Figura 11: Smbolo del SCS


El SCS tiene aplicaciones muy similares a las de SCR. Este ltimo tiene la ventaja de
poder abrirse ms rpido mediante pulsos en cada uno de los terminales de gate, pero el
inconveniente que presenta respecto al SCR es que se encuentra ms limitado en cuanto
a valores de tensin y corriente. Tambin se utiliza en aplicaciones digitales como
contadores y circuitos temporizadores.

El interruptor Silicon-Controlled (SCS)


Si tomamos el circuito equivalente para un SCR y agregamos otro terminal externo,
conectado con la base del transistor superior y del colector del transistor inferior,
tenemos un dispositivo conocido como silicio-controlado-interruptor, o SCS:

Este terminal adicional permite que ms control sea ejercido sobre el dispositivo,
particularmente en el modo de la conmutacin forzada, donde una seal externa lo
fuerza para dar vuelta apagado mientras que la corriente principal a travs del
dispositivo todava no ha cado debajo del valor de la corriente de la tenencia.
Considerar el circuito siguiente:

Cuando el interruptor de botn de "ON" se acta, hay un voltaje aplicado entre la puerta
de ctodo y el ctodo, polarizando la ensambladura del emisor de base hacia adelante
del transistor ms bajo, y girndola. El transistor superior del SCS es listo conducir,
siendo provedo de una trayectoria actual de su terminal del emisor (el terminal del
nodo del SCS) a travs del resistor R2 al lado positivo de la fuente de alimentacin.
Como en el caso del SCR, ambos transistores giran y se mantienen en el modo de "ON".
Cuando el transistor ms bajo se gira, conduce la corriente de la carga del motor, y el
comienzo del motor y funciona.

El motor puede ser parado interrumpiendo la fuente de alimentacin, como con un SCR,
y esto se llama conmutacin natural. Sin embargo, el SCS provee de nosotros otros
medios de dar vuelta apagado: conmutacin forzada poniendo en cortocircuito el
terminal del nodo al ctodo. Si se hace esto (actuando el interruptor de botn de
"OFF"), el transistor superior dentro del SCS perder su corriente del emisor, as
parando la corriente a travs de la base del transistor ms bajo. Cuando el transistor ms
bajo da vuelta apagado, rompe el circuito para la corriente baja a travs del transistor
superior (que asegura su estado de "OFF"), y el motor (que te hace la parada). El SCS
seguir siendo en apagado la condicin hasta tal hora que el interruptor de botn de
"ON" re-est actuado.

REVISIN:
Un interruptor silicon-controlled, o SCS, es esencialmente un SCR con un
terminal adicional de la puerta.
Tpicamente, la corriente de la carga con un SCS es llevada por los terminales
de la puerta y de ctodo del nodo, con los terminales de la puerta y del nodo
de ctodo siendo suficientes mientras que el control conduce.
Un SCS es girado aplicando un voltaje positivo entre la puerta de ctodo y los
terminales de ctodo. Puede ser dado vuelta apagado (conmutacin forzada)
aplicando un voltaje negativo entre el nodo y los terminales de ctodo, o
simplemente poniendo en cortocircuito esos dos terminales juntos. El terminal del
nodo se debe mantener positivo con respecto al ctodo para que el SCS al cierre.

IGBT
El transistor bipolar aislado de la puerta (IGBT)
Fig.1 demuestra la estructura de un n-canal tpico IGBT. Toda la discusin aqu ser
referida al tipo del n-canal pero el p-canal IGBT se puede considerar apenas de la
misma manera.

La estructura es muy similar a la de un MOSFET verticalmente difundido que ofrece


una difusin doble de un p-tipo regin y de un n-tipo regin. Una capa de inversin se
puede formar bajo puerta aplicando el voltaje correcto al contacto de la puerta como con
un MOSFET. La diferencia principal es el uso de una capa del substrato de p+ para el
dren. El efecto es cambiar esto en un elemento bipolar pues este p-tipo regin inyecta
los agujeros en el n-tipo regin de la deriva.
Operacin
Bloqueo de la operacin
El estado con./desc. del dispositivo es controlado, como en un MOSFET, por el voltaje
VG de la puerta. Si el voltaje se aplic al contacto de la puerta, con respecto al emisor,
es menos que el voltaje Vth del umbral entonces ninguna capa de inversin del
MOSFET se crea y el dispositivo se da vuelta apagado. Cuando ste es el caso,
cualquier voltaje delantero aplicado bajar a travs de la ensambladura en polarizacin
negativa invertida J2. La nica corriente a fluir ser una corriente pequea de la salida.
El voltaje de interrupcin delantero por lo tanto es determinado por el voltaje de
interrupcin de esta ensambladura. Esto es un factor importante, particularmente para
los dispositivos de energa de donde los voltajes y las corrientes grandes se estn
tratando. El voltaje de interrupcin de la ensambladura unilateral es dependiente en el
doping del lado bajo-dopado de la ensambladura, es decir el lado de la n. Esto es porque
el doping ms bajo da lugar a una regin de agotamiento ms amplia y as a un campo
elctrico mximo ms bajo en la regin de agotamiento. Es por esta razn que la regin
de la deriva de la n es mucho dopado alumbrador que el p-tipo regin del cuerpo. El
dispositivo se est modelando que se disea para tener un voltaje de interrupcin de
600V.
La capa del almacenador intermediario de n+ est a menudo presente prevenir la regin
de agotamiento de la ensambladura J2 de la derecha que extiende al colector bipolar de
p. La inclusin de esta capa sin embargo reduce drstico la capacidad de bloqueo
reversa del dispositivo pues sta es dependiente en el voltaje de interrupcin de la
ensambladura J3, que es condiciones inferiores en polarizacin negativa reversas del
voltaje reverso. La ventaja de esta capa del almacenador intermediario que permite que
el grueso de la regin de la deriva sea reducido, as est reduciendo prdidas del enestado.
operacin del estado encendido (en-estado)
El girarse del dispositivo es alcanzado aumentando el voltaje VG de la puerta de modo
que sea mayor que el voltaje Vth del umbral. Esto da lugar a una capa de inversin que
forma bajo puerta que proporciona un canal que liga la fuente a la regin de la deriva
del dispositivo. Los electrones entonces se inyectan de la fuente en la regin de la deriva
mientras que al mismo tiempo la ensambladura J3, se polariza hacia adelante que,
inyecta los agujeros en la regin dopada n de la deriva (Fig.2).

Esta inyeccin causa la modulacin de la conductividad de la regin de la deriva donde


estn varias rdenes las densidades del electrn y del agujero de la magnitud ms arriba
que el doping original de la n. Es esta modulacin de la conductividad que da a IGBT su
voltaje bajo del en-estado debido a la resistencia reducida de la regin de la deriva.
Algunos de los agujeros inyectados recombinarn en la regin de la deriva, mientras que
otros cruzarn la regin va deriva y la difusin y alcanzarn la ensambladura con el ptipo regin donde sern recogidas. La operacin de la poder de IGBT por lo tanto se
considere como un transistor del pnp de la ancho-base que corriente de impulsin baja
sea proveda por la corriente del MOSFET a travs del canal. Un circuito equivalente
simple est por lo tanto segn las indicaciones de Fig.3 (a)

Fig.3 (b) demuestra a circuito equivalente ms completo cul incluye el transistor


parsito del npn formado por la fuente del MOSFET de n+-type, el p-tipo regin del
cuerpo y la n--mecanografiar la regin de la deriva. Tambin se demuestra la resistencia
lateral del p-tipo regin. Si es la corriente que atraviesa esta resistencia arriba bastante
producir una cada de voltaje que polarice la ensambladura hacia adelante con la regin
de n+ que da vuelta en el transistor parsito que las formas pieza de un tiristor parsito.

Una vez que suceda esto hay una alta inyeccin de electrones de la regin de n+ en la
regin de p y se pierde todo el control de la puerta. Se sabe esto como cierre conduce
para arriba y generalmente a la destruccin del dispositivo.

Para ampliar
El IGBT es un dispositivo semiconductor de potencia hbrido que combina los atributos
del TBJ y del MOSFET. Posee una compuerta tipo MOSFET y por consiguiente tiene
una alta impedancia de entrada. El gate maneja voltaje como el MOSFET. El smbolo
ms comnmente usado se muestra en la figura . Al igual que el MOSFET de potencia,
el IGBT no exhibe el fenmeno de ruptura secundario como el TBJ.
La estructura del IGBT es similar al un MOSFET de canal n, una porcin de la
estructura es la combinacin de regiones n+ , p y n- que forman el MOSFET entre el
source S y el gate G con la regin de flujo n- que es el drain D del MOSFET. Otra parte
es la combinacin de 3 capas p+ n- p, que crea un transistor de unin bipolar entre el
drain D y el source. La regin p acta como colector C, la regin n- acta como la base
B y la regin p+ acta como el emisor E de un transistor pnp. Entre el drain y el source
existen 4 capas p+n-pn+ que forman un tiristor. Este tiristor es parsito y su efecto es
minimizado por el fabricante del IGBT.

Consideremos que el IBGT se encuentra bloqueado inicialmente. Esto significa que no


existe ningn voltaje aplicado al gate. Si un voltaje VGS es aplicado al gate, el IGBT
enciende inmediatamente, la corriente ID es conducida y el voltaje VDS se va desde el
valor de bloqueo hasta cero. LA corriente ID persiste para el tiempo tON en el que la
seal en el gate es aplicada. Para encender el IGBT, la terminal drain D debe ser
polarizada positivamente con respecto a la terminal S. LA seal de encendido es un
voltaje positivo VG que es aplicado al gate G. Este voltaje, si es aplicado como un pulso
de magnitud aproximada de 15, puede causar que el tiempo de encendido sea menor a 1
s, despus de lo cual la corriente de drain iD es igual a la corriente de carga IL (asumida
como constante). Una vez encendido, el dispositivo se mantiene as por una seal de

voltaje en el gate. Sin embargo, en virtud del control de voltaje la disipacin de potencia
en el gate es muy baja.
EL IGBT se apaga simplemente removiendo la seal de voltaje VG de la terminal gate.
La transicin del estado de conduccin al estado de bloqueo puede tomar apenas 2 s, por
lo que la frecuencia de conmutacin puede estar en el rango de los 50 kHz.
EL IGBT requiere un valor lmite VGS(TH) para el estado de cambio de encendido a
apagado y viceversa. Este es usualmente de 4 V. Arriba de este valor el voltaje VDS cae
a un valor bajo cercano a los 2 V. Como el voltaje de estado de encendido se mantiene
bajo, el gate debe tener un voltaje arriba de 15 V, y la corriente iD se autolimita.

El IGBT se aplica en controles de motores elctricos tanto de corriente directa como de


corriente alterna, manejados a niveles de potencia que exceden los 50 kW.

Transistor IGBT

Seccin de un IGBT
El transistor bipolar de puerta aislada (IGBT) es un dispositivo electrnico que
generalmente se aplica a circuitos de potncia
Este es un dispositivo para la conmutacin en sistemas de alta tensin. La tensin de
control de puerta es de unos 15V. Esto ofrece la ventaja de controlar sistemas de
potencia aplicando una seal elctrica de entrada muy dbil en la puerta.

El IGBT de la figura es una conexin integrada de un MOSFET y un BJT. El circuito de


excitacin del IGBT es como el del MOSFET, mientras que las caractersticas de
conduccin son como las del BJT. El IGBT es adecuado para velocidades de
conmutacin de hasta 20 KHz y ha sustituido al BJT en muchas aplicaciones.

Anexos IGBT

MOSFET DE POTENCIA
Un MOSFET de potencia es un tipo especfico del transistor del efecto de campo del
semiconductor del xido de metal (MOSFET) diseado para manejar energas grandes.
Comparado a los otros dispositivos de semiconductor de la energa (IGBT, tiristor),
sus ventajas principales son alta velocidad de la conmutacin y buena eficacia en las
bajas tensiones. Comparte con el IGBT una puerta aislada que las marcas l fcil
conducir.
Fue hecho posible por la evolucin de la tecnologa del Cmos, desarrollada para los
circuitos integrados de la fabricacin en los ltimos aos 70. La parte del MOSFET de
la energa su principio de funcionamiento con sus contrapartes de baja potencia, el
MOSFET lateral.
El MOSFET de potencia es (es decir menos de) el interruptor de baja tensin ms
ampliamente utilizado 200 V. Puede ser encontrado en la mayora de las fuentes de
alimentacin, C.C. a los convertidores de la C.C., reguladores del motor de la baja
tensin.

Estructura bsica

Figura 1. Seccin representativa de un VDMOS, demostrando una celda (clula)


elemental. Observar que una clula es muy pequea ( de algunos micrmetros a
algunos diez de micrmetros de par en par), y que un MOSFET de potencia est
constituido de varios miles de ellos.
Varias estructuras se han explorado al principio de los aos 80, cuando el primer
MOSFET de potencia fue introducido. Sin embargo, la mayor parte de se han
abandonado (por lo menos hasta hace poco tiempo) a favor de la estructura difundida
vertical del MOS (VDMOS) (tambin llamada MOS Doble-Difundido o simplemente
DMOS).

La seccin representativa de un VDMOS (vase el cuadro 1) demuestra la verticalidad


del dispositivo: Puede ser visto que el electrodo de la fuente est colocado sobre el
drenaje, dando por resultado una vertical actual del flujo principalmente cuando el
transistor est en el en-estado. La difusin en VDMOS refiere al proceso de
fabricacin: los pozos de P (vase el cuadro 1) son obtenidos por un proceso de la
difusin (realmente un proceso doble de la difusin para conseguir las regiones de P y
de P+, por lo tanto el doble conocido difundido).
Los MOSFETs de potencia tienen una diversa estructura que el MOSFET lateral: como
con todos los dispositivos de energa, su estructura es vertical y no planar. En una
estructura planar, los grados del voltaje de la corriente y de interrupcin son ambos
funcin de las dimensiones del canal (respectivamente anchura y longitud del canal),
dando por resultado el uso ineficaz del estado del silicio. Con una estructura vertical,
el grado del voltaje del transistor es una funcin del doping y del grueso de la capa
epitaxial de N (vase la seccin representativa), mientras que el grado actual es una
funcin de la anchura del canal. Esto hace posible para que el transistor sostenga voltaje
de bloqueo del colmo y de gran intensidad dentro de un pedazo compacto de silicio.
Vale el observar de que existen los MOSFETs de potencia con la estructura lateral. Se
utilizan principalmente en amplificadores audio high-end. Su ventaja es un
comportamiento mejor en la regin saturada (que corresponde a la regin linear de un
transistor bipolar) que los MOSFETs verticales. Los MOSFETs verticales se disean
para los usos de la conmutacin, as que se utilizan solamente adentro en o de estados.
voltaje de bloqueo

Las caractersticas del estado encendido (en-estado)


Resistencia del estado encendido

Fig.2: Contribucin de las diversas piezas del MOSFET a la resistencia del en-estado.
Cuando el MOSFET de potencia est en el en-estado (vase el MOSFET para una
discusin sobre modos de la operacin), exhibe un comportamiento resistente entre el
drenaje y los terminales de origen. Puede ser visto en la fig 2 que esta resistencia
(llamada RDSon para el drenaje a la resistencia de la fuente en en-estado) es la suma
de muchas contribuciones elementales:

RS es la resistencia de la fuente. Representa todas las resistencias entre el


terminal de origen del paquete al canal del MOSFET: resistencia de los enlaces
del alambre, de la metalizacin de la fuente, y de los pozos de N+;

Rch. sta es la resistencia del canal. Es directamente proporcional a la anchura


del canal, y para un tamao dado del dado, a la densidad del canal. La resistencia
del canal es uno de los contribuidores principales al RDSon de los MOSFETs de
baja tensin, y el trabajo intensivo se ha realizado para reducir su tamao de
clula para aumentar la densidad del canal;
El Ra es la resistencia del acceso. Representa la resistencia de la zona epitaxial
directamente debajo del electrodo de puerta, donde la direccin de los cambios
actuales de horizontal (en el canal) a la vertical (al contacto del drenaje);
RJFET es el efecto perjudicial de la reduccin de tamao de clula mencionada
arriba: las implantaciones de P (vase el cuadro 1) forman las puertas de un
transistor parsito de JFET que tienden para reducir la anchura del flujo actual;
El Rn es la resistencia de la capa epitaxial. Pues el papel de esta capa es sostener
el voltaje de bloqueo, el Rn se relaciona directamente con el grado del voltaje
del dispositivo. Un MOSFET del alto voltaje requiere una capa gruesa, bajodopada (es decir altamente resistente), mientras que un transistor de baja tensin
requiere solamente una capa delgada con un nivel de doping ms alto (es decir
menos resistente). Consecuentemente, el Rn es el factor principal responsable de
la resistencia de MOSFETs de alto voltaje;
El RD es el equivalente de RS para el drenaje. Representa la resistencia del
substrato del transistor (nota que la seccin representativa en el cuadro 1 no est
en la escala, la capa del fondo N+ es realmente el ms grueso) y de las
conexiones del paquete.

compensacin de la resistencia de la interrupcin voltage/on-state

fig 3: El RDSon del aumento de los MOSFETs con su grado del voltaje.
Cuando en el OFF-state, el MOSFET de la energa es equivalente a un PERNO diodo
(constituido por P + difusin, la capa epitaxial de la n y el substrato de N+). Cuando esta
estructura altamente simtrica es reversible, los huecos de carga se extienden
principalmente en el lado de el dopado luz, es decir sobre la capa n. Esto significa que
esta capa tiene que soportar la mayor parte de el voltaje OFF-state (voltaje de drenaje a
fuente) del MOSFET.
Sin embargo, cuando el MOSFET est en el En-estado, esta capa n no tiene ninguna
funcin. Adems, como es una regin ligero-dopada, su resistencia intrnseca es noinsignificante y agrega a la resistencia de Drenaje-a-Fuente del En-estado del MOSFET
(RDSon) (sta es la resistencia del Rn en la fig 2).

Dos parmetros principales gobiernan el voltaje de interrupcin y el RDSon del


transistor: el nivel de doping y el grueso de la capa epitaxial de la n. Cuanto ms gruesa
es la capa y el ms bajo su nivel de dopado, ms alto es el voltaje de interrupcin. En el
contrario, el diluente de la capa y cuanto ms alto es el nivel de dopado, ms bajo es el
RDSon (y por lo tanto ms bajo son las prdidas de la conduccin del MOSFET). Por lo
tanto, puede ser visto que hay una compensacin en el diseo de un MOSFET, entre su
grado del voltaje y su resistencia del En-estado. Esto es demostrado por el diagrama en
ela fig 3.

Diodo del cuerpo


Puede ser visto en la fig 1 que la metalizacin de la fuente conecta las implantaciones de
N+ y de P, aunque el principio de funcionamiento del MOSFET requiere solamente la
fuente ser conectado con la zona de N+. Sin embargo, si fuera, esto dara lugar a una
zona flotante de P entre la fuente y el drenaje N-dopados, que es equivalente a un
transistor de NPN con una base no-conectada. Bajo ciertas condiciones (bajo alta
corriente del drenaje, cuando el drenaje del en-estado al voltaje de la fuente est en la
orden de algunos voltios), este transistor parsito de NPN sera accionado, haciendo el
MOSFET incontrolable. La conexin de la implantacin de P a la metalizacin de la
fuente pone en cortocircuito la base del transistor parsito a su emisor (la fuente del
MOSFET).
Esta solucin, sin embargo, crea un diodo entre el drenaje (ctodo) y la fuente (nodo)
del MOSFET, hacindola solamente capaz de bloquear la corriente en una direccin.

Operacin de la conmutacin

fig 4: Localizacin de las capacitancias intrnsecas de un MOSFET de la energa.


Debido a su naturaleza unipolar, el MOSFET de la energa puede cambiar en muy alta
velocidad. De hecho, no hay necesidad de quitar los portadores minoritarios como con
los elementos bipolares.
La nica limitacin intrnseca en velocidad de la conmutacin es debido a las
capacitancias internas del MOSFET (vase fig 4). Estas capacitancias deben ser
cargadas o ser descargadas cuando el transistor cambia. Esto puede ser un proceso
relativamente lento porque la corriente que atraviesa las capacitancias de la puerta es
limitada por el circuito externo del conductor. Este circuito dictar realmente la

velocidad de la conmutacin del transistor (si se asume que el circuito de potencia tiene
suficientemente de baja inductancia).

Las capacitancias
En los datasheets de los MOSFETs, las capacitancias a menudo se nombran CISS
(entrar capacitancia, el dren y el terminal de origen puesto en cortocircuito), Coss
(capacitancia de salida, puerta y fuente puestas en cortocircuito), y Crss (capacitancia,
puerta reversa y fuente puestas en cortocircuito). La relacin entre estas capacitancias y
thoses descritos ms abajo es:

Donde estn respectivamente la puerta-a-fuente CGS, CGD y los CDES, puerta-adrenar y las capacitancias de la drenar-a-fuente (vase abajo). Los fabricantes prefieren
cotizar CISS, Coss y Crss porque pueden ser medidos directamente en el transistor. Sin
embargo, como CGS, CGD y los CDES estn ms cercano al significado fsico, ellos
sern utilizados en el restante de este artculo.

Capacitancia de compuerta a fuente


La capacitancia de CGS es constituida por la conexin paralela de CoxN+, de CoxP y
de Coxm (vase fig 4). Mientras que las regiones de N+ y de P se dopan altamente, las
dos capacitancias anteriores se pueden considerar como constante. Coxm es la
capacitancia entre la puerta (del polysilicon) y el electrodo de la fuente (del metal), as
que es tambin constante. Por lo tanto, es prctica comn considerar CGS como
capacitancia constante, es decir su valor no depende del estado del transistor.

Capacitancia de puerta a drenaje


La capacitancia de CGD se puede considerar como la conexin en serie de dos
capacitancias elementales. Primer es la capacitancia del xido (CoxD), constituida por
el electrodo de puerta, el dixido del silicio y la tapa de la capa epitaxial de N. Tiene un
valor constante. La segunda capacitancia (CCDj) es causada por la extensin del
espacio-carga zona cuando el MOSFET est en off-state (vase el voltaje de bloqueo de
la seccin). Por lo tanto, es dependiente sobre el dren al voltaje de la fuente. De esto, el
valor de CGD es:

La anchura del espacio-carga la regin se da por

donde est la constante dielctrica el Si del silicio, q es la carga del electrn, y N es el


nivel de doping. El valor de CGDj se puede aproximar usando la expresin del
condensador plano:

Donde est el rea AGD superficial del puerta-drenar el traslapo. Por lo tanto, viene:

Puede ser visto que CGDj (y as CGD) es una capacitancia que el valor es dependiente
sobre la puerta drenar voltaje. Mientras que este voltaje aumenta, la capacitancia
disminuye. Cuando el MOSFET est en en-estado, CGDj se desva, as que la puerta
para drenar el restos de la capacitancia igual a CoxD, un valor constante.

Capacitancia de drenaje a fuente


Mientras que la metalizacin de la fuente traslapa los P-huecos (vase el cuadro 1), el
drenaje y los terminales de origen son separados por una ensambladura del P-N. Por lo
tanto, los CDES es la capacitancia de la ensambladura. Esto es una capacitancia no
lineal, y su valor se puede calcular usando la misma ecuacin que para CGDj.

Otros elementos dinmicos

Circuito equivalente de un MOSFET de potencia, incluyendo los elementos dinmicos


(condensadores, inductores), de los resistores parsitos, el diodo del cuerpo.

Las inductancias de empaquetado


Para funcionar, el MOSFET se debe conectar con el circuito externo, la mayor parte del
tiempo usando la vinculacin del alambre (aunque se investigan las tcnicas

alternativas). Este el objeto expuesto de la conexin una inductancia parsita, que es de


ninguna manera especfico a la tecnologa del MOSFET, pero tiene efectos importantes
debido a su alta velocidad de la conmutacin. Las inductancias parsitas tienden para
mantener su constante actual y generar la sobretensin durante el transistor dar vuelta
apagado, dando por resultado prdidas de aumento de la conmutacin.
Una inductancia parsita se puede asociar a cada terminal del MOSFET. Tienen
diversos efectos:

la inductancia de la puerta hace que poco influencie (si se asume que lo es ms


bajo que algunos centenares de nano henrios), porque los gradientes actuales en
la puerta son relativamente lentos. En algunos casos, sin embargo, la inductancia
de la puerta y la capacitancia de la entrada del transistor pueden constituir un
oscilador. Esto se debe evitar como l da lugar a prdidas muy altas de la
conmutacin (hasta la destruccin del dispositivo). En un diseo tpico, las
inductancias parsitas se guardan bajo bastante para prevenir este fenmeno;
la inductancia del drenaje tiende a reducir el voltaje del drenaje cuando el
MOSFET se controla, as que reduce prdidas. Sin embargo, como crea una
sobretensin durante el tiempo de apagado, aumenta las prdidas de tiempo
apagado;
la inductancia parsita de la fuente tiene el mismo comportamiento que la
inductancia del drenaje, ms un efecto de la regeneracin que haga conmutacin
ms larga, incrementa las perdidas por conmutacin.
o al principio de un excitamiento rpido, debido a la inductancia de la
fuente, el voltaje en la fuente (en el dado) podr saltar encima del voltaje
de la puerta; el voltaje interno de VGS seguir siendo bajo por un tiempo
ms largo.
o al principio de un tiempo apagado rpido, fluye corriente con las
disminuciones de la inductancia de la fuente agudamente, el voltaje que
resulta a travs de l es negativo (con respecto al plomo fuera del
paquete) que levanta el voltaje interno de VGS, guardando el MOSFET
encendido, y por lo tanto turn-off delaying.

Los lmites de la operacin


Bloquear la interrupcin del xido
El xido de la puerta es muy fino (100 nanmetro o menos), as que puede sostener
solamente un voltaje limitado. En los datasheets, los fabricantes indican a menudo una
puerta mxima al voltaje de la fuente, a alrededor 20 V, y a exceder este lmite puede
dar lugar a la destruccin del componente. Adems, un alto voltaje de compuerta a
fuente reduce perceptiblemente el curso de la vida del MOSFET, con poco a ninguna
ventaja en la reduccin de RDSon.

rea de funcionamiento seguro


El rea de funcionamiento seguro de un MOSFET de potencia es limitado por los
valores que no pueden ni deben ser excedidos

Resistencia de drenaje a fuente


En el en-estado (estado encendido), un MOSFET de potencia se comporta como un
resistor. Esto significa obviamente que a un nivel de corriente de drenaje, el voltaje de
drenaje a fuente es definido por este resistor.

Voltaje maximo de drenaje a fuente


Corriente mxima del drenaje
a bajo al voltaje de drenaje a fuente, la corriete de drenaje es limitada solamente por la
disipacin de la energa. Sin embargo, cuando es de alto voltaje y de gran intensidad se
aplican simultneamente (esto se refiere a menudo como condiciones del cortocircuito),
un fenmeno conocido como segunda interrupcin puede ocurrir. Da lugar a un
suitcheo de el transistor parsito de NPN (constituido por el contacto de la fuente de N,
la difusin de P y el epi-layer de N), haciendo el MOSFET incontrolable.

Temperatura mxima
Tecnologa
Disposicin

Estructura celular

Este MOSFET de potencia tiene una puerta endentada, con las clulas cuadradas

La disposicin de la puerta de este MOSFET se constituye de rayas paralelas.


Segn lo dicho arriba, la capacidad actual de un MOSFET de potencia es dictada por su
anchura del canal. La anchura del canal corresponde a la tercera dimensin de la seccin
representativa visible abajo

Por costo y tamao, es importante guardar la superficie del dado del transistor tan bajo
como sea posible. Por lo tanto, las optimizaciones para aumentar la anchura del canal en
el rea superficial (es decir aumentar la densidad del canal) se han desarrollado.
Consisten principalmente en crear las estructuras celulares repetidas sobre el rea entera
del dado del MOSFET. Varias formas se han propuesto para estas clulas, el ms
famoso es el Hexfet del rectificador internacional (forma hexagonal).
Otra manera de aumentar la densidad del canal es reducir la echada de la estructura
elemental. Esto permite ms clulas por el rea superficial, y por lo tanto ms anchura
del canal. Sin embargo, como el tamao de clula se contrae, llega a ser ms difcil
asegurar el contacto apropiado de cada clula. Superar esto, una estructura de la tira
es de uso frecuente (vase la figura). Es menos eficiente que una estructura de la clula
de la resolucin equivalente en trminos de densidad del canal, pero puede hacer frente
a una echada ms pequea.

Las estructuras

MOSFET de potencia del P-substrato


Un MOSFET del P-substrato (designado a menudo el PMOS) es un MOSFET con los
tipos de doping opuestos (N en vez de P y de P en vez de N en la seccin representativa
en el cuadro 1). Se hace este MOSFET usando un P-tipo substrato, con un epitaxy del p.
Mientras que el canal se sienta en una N-regin, este transistor es controlado por una
puerta negativa al voltaje de la fuente. Esto hace deseable en un convertidor del buck,
donde uno de los terminales del interruptor est conectado con el alto lado del voltaje de
entrada: con un N-MOSFET, esta configuracin requiere para aplicar a la puerta un
voltaje igual a Vin + a VGS, mientras que no se requiere ningn voltaje Vin excesivo con
un P-MOSFET.
La desventaja principal de este tipo de MOSFET es el funcionamiento pobre del enestado (encendido): utiliza los huecos como portadores de la carga, que tienen una
movilidad mucho ms baja que electrones. Pues la resistencia se relaciona directamente
con la movilidad, un PMOS dado tendr un RDSon tres veces ms arriba que un NMOSFET con las mismas dimensiones.

VMOS
Esta estructura fue utilizada para los primeros dispositivos comerciales

UMOS

El UMOS tiene una puerta del foso. Se piensa para aumentar la densidad del canal
haciendo la vertical del canal
En esta estructura del MOSFET de potencia, el electrodo de puerta se entierra en un
foso grabado al agua fuerte en el silicio. Esto da lugar a un canal vertical. El inters
principal de la estructura es la ausencia del efecto de JFET. El nombre de la estructura
viene de la forma U del foso.

CoolMOS
Especialmente para los voltajes ms all de 500V algunos fabricantes, lo ms
notablemente posible tecnologas de Infineon, han comenzado a utilizar un principio de
la remuneracin de la carga. As la resistencia en la capa epitaxial como contribuidor
ms grande en MOSFETs de alto voltaje se puede reducir por un factor >5.

Para complementar
Transistores de Efecto de Campo de unin Metal Oxido Semiconductor
(MOSFET's)
El MOSFET es un dispositivo de 4 terminales y la corriente que circula internamente es
controlada por un campo elctrico. Los terminales son Fuente (Source), Compuerta
(Gate), Drenaje(Drain) y el Sustrato (Sustrate) cuando est polarizada la compuerta
(V=0), se cierran la uniones p-n ubicadas entre el drenaje y la fuente y por esto no hay
flujo de corriente entre la fuente y el drenado. Cuando se le aplica a la compuerta un
voltaje positivo con respecto a la fuente (la entrada y el sustrato son comunes), las
cargas negativas en el canal son inducidas y comienza a circular corriente por el canal.
De ah en adelante la corriente es controlada por el campo elctrico, este tipo de
dispositivo es llamado transistor de efecto de campo de unin o JFET. El MOSFET ha
reemplazado a los BJT en muchas aplicaciones electrnicas porque sus estructuras son
mas sencillas y su costo es menor. Entre estos tambin se encuentran los MOSFET de
canal n (nMOS), MOSFET de canal p (PMOS), MOSFET complementarios (CMOS),
memorias de compuertas lgicas y dispositivos de carga acoplada (CCDs).
El transistor de efecto de campo de Metal-Aislante-Semiconductor (MISFET) El cual es
formado por un metal como es el Aluminio (Al) y un semiconductor como puede ser el
Silicio (Si), Germanio (Ge) o el Arseniuro de Galio (GaAs) colocados entre un aislante
como puede ser SiO2, Si3N4 o Al2O3. Si la estructura formada es Al- SiO2-Si tambin
es llamada MOSFET aunque coincida con el MISFET. El MOSFET es muy usado en la
fabricacin de circuitos para microondas de alta escala de integracin.
Los MOSFET pueden ser tanto de canal n como de canal p. El MOSFET canal n
consiste en un sustrato semiconductor tipo p levemente dopado, en la cul dos secciones
tipo n+ altamente dopados difunden como se muestra en la figura.

Esas secciones tipo n+ que actan como fuente (Source) y drenador (Drain) se
encuentran separadas cerca de 0.5 m m (0.5-6 m). Una delgada capa de aislante de
Dixido de Silicio (SiO2) es colocada sobre la superficie de la estructura. El contacto
metlico en el aislante es llamado compuerta (Gate). El polisilicn altamente dopado o
una combinacin de Siliciuro y polisilicn tambin pueden ser usados como el electrodo
de compuerta.
El funcionamiento de este dispositivo consiste en que cuando no se aplica ningn
voltaje a la compuerta (Gate) de un MOSFET de canal tipo n, las conexiones entre el
electrodo de la fuente (Source) y el electrodo del drenador (Drain) quedan
interrumpidos, es decir, no circula corriente. Cuando se aplica un voltaje positivo (con
respecto a la fuente) a la compuerta, las cargas positivas son depositadas en el metal de
la compuerta, como consecuencia las cargas negativas es inducida en el semiconductor
tipo p a la regin del aislante semiconductor.
Hay cuatro modos bsicos d operacin para los MOSFET's de canal n y de canal p y son
los siguientes:
Modo de enriquecimiento del canal (Normalmente OFF). Cuando el voltaje de
compuerta es cero, la conductancia del canal es muy baja y este no conduce, es decir, se
necesita un voltaje positivo para que el canal entre en conduccin.
Modo de vaciamiento del canal n (Normalmente ON). Si existe equilibrio en el
canal, un voltaje negativo debe ser aplicado a la compuerta para extraer los portadores
del canal.
Modo de enriquecimiento del canal p (Normalmente OFF). Un voltaje negativo
debe ser aplicado a la compuerta para inducir a que el canal conduzca.
Modo de vaciamiento del canal p (Normalmente ON). Un voltaje positivo debe ser
aplicado a la compuerta para extraer los portadores del canal y aislarlo.

El MOSFET es frecuentemente usado como amplificador de potencia ya que ofrecen


dos ventajas sobre los MESFET's y los JFET's y ellas son:
En la regin activa de un MOSFET en modo de enriquecimiento, la capacitancia de
entrada y la trasconductancia es casi independiente del voltaje de la compuerta y la
capacitancia de salida es independiente del voltaje del drenador. Este puede proveer una
potencia de amplificacin muy lineal.
El rango de voltaje activo de la compuerta puede ser mayor porque los MOSFET's
de canal n en modo de vaciamiento pueden operar desde la regin de modo de
vaciamiento (-Vg) a la regin de modo de enriquecimiento (+Vg).
Capacitancia en el MOSFET
Dos capacitancias son importantes en un conmutador de encendido-apagado con
MOSFET. stas son Cgs entre Gate y la fuente y Cgd entre Gate y drenaje. Cada valor
de capacitancia es una funcin no lineal del voltaje. El valor para Cgs tiene solamente
una variacin
pequea, pero en Cgd, cuando DG haya pasado a travs de cero, es muy significativa.
Cualquier desprecio de estas variaciones crea un error substancial en la carga que es
requerida en Gate que es necesaria para estabilizar una condicin dada de operacin.

Encendido
En la mayora de los circuitos con MOSFET, el objetivo es encenderlo tan rpido como
sea posible para minimizar las prdidas por conmutacin. Para lograrlo, el circuito
manejador del gatillo debe ser capaz de alimentar la suficiente corriente para
incrementar rpidamente el voltaje de gatillo al valor requerido.
Apagado
Para apagar el MOSFET, el voltaje gate-fuente debe reducirse en accin inversa como
fue hecho para encenderlo. La secuencia particular de la corriente y el voltaje depende
de los arreglos del circuito externo.
rea segura de operacin
El rea segura de operacin de el MOSFET est limitada por tres variables que forman
los lmites de una operacin aceptable. Estos lmites son:
1. Corriente mxima pulsante de drenaje
2. Voltaje mximo drenaje-fuente
3. Temperatura mxima de unin.
Prdidas del MOSFET
Las prdidas de potencia del MOSFET son un factor tomado en cuenta para la seleccin
de un dispositivo de conmutacin. La eleccin no es sencilla, pues no puede decirse que
el MOSFET tenga menores o mayores prdidas que un BJT en un valor especfico de
corriente. Las prdidas por conmutacin en el encendido y apagado juegan un papel ms
importante en la seleccin. La frecuencia de conmutacin es tambin muy importante.
Esquema y Teora de Operacin del Puente-H con MOSFETs
Este puente-H usa MOSFETs por una razn primordial - mejorar la eficiencia del
puente. Cuando se usaban transistores BJT(transistores convencionales), stos
presentaban al activarse un voltaje de saturacin de aproximadamente 1V entre EmisorColector. La fuente de alimentacin era de 10V y estaba consumiendo 2V a travs de los
dos transistores necesarios para controlar la direccin del motor. Se probaron
darlingtons etc... nada funcion. Los transistores se calentaban demasiado - y no haba
sitio para ventilacin.
Se escogieron los MOSFETs porque tienen un resistor llamado RDS(on) que acta al
poner el transistor en funcionamiento. El RDS (on) es la resistencia entre Fuente y
Drenador que presenta el transistor al activarse. Es bastante fcil comprar MOSFETs
que tengan RDS(on) de valores my bajos de menos de 0.1 ohm. Esto significara que
con 4 amps, el voltaje bajara a 0.4V por MOSFET, una mejora sustancial. Los
MOSFETs que se seleccion tenan RDS(on) de valores inferiores a 0.04 ohms, lo que
mejor sustancialmente la eficiencia.
Realmente, cuando un MOSFET tiene un RDS(on) de valor bajo, presenta normalmente
un valor de corriente elevado (tpicamente del orden de 10-20 amps). Necesitabamos 4
amps de corriente continua y el MOSFET que escog ofrece 25 amps. Naturalmente,
cuanto ms bajo es el valor del RDS(on), ms caro es el MOSFET. BTW, ambos tipos
de MOSFET estn disponibles en encapsulado TO220.

Los MOSFET funcionan aplicando un voltaje a la Puerta. A ste tipo de fucionamiento


se le llama transconductancia. Cuando se aplica un voltaje positivo mayor que el voltaje
ubral de Puerta, el MOSFET se activa (Q4 y Q6 - canal-N solamente). Los de canal-P
funcionan en modo inverso.
Es importante saber que adems de ser extremadamente sensibles a la corriente esttica,
es importante tener en cuenta que si se deja la Puerta en circuito abierto (sin conectar)
los transistores MOSFET se pueden autodestruir. La puerta es un dispositivo de alta
impedancia (mas de 10megohms) y el ruido puede activar el MOSFET. Las resistencias
R3, R4, R6, y R8 se han aadido a propsito para evitar la autodestruccin del
MOSFET. Es muy importante montar primero estas resistencias antes de instalar el
MOSFET. Despus demontar estas resistencias, notars que los MOSFET son
dispositivos bastante estables. Adems de forzar un determinado voltaje de Puerta para
desactivar los MOSFETs, las resistencias aaden proteccin contra la corriente esttica.
Los diodos D1, D2, D3, y D4 devuelven EMF desde el motor a la fuente de
alimentacin. Algunos MOSFET (de hecho lamayora) se fabrican con estos diodos ya
incorporados, con lo que puede ser que su instalacin no sea necesaria.
Q1 y Q2 son transistores NPN que controlan el funcionamiento del motor.
Modo parado
Cuando A=0 y B=0, el motor est parado. R3 y R4 conectan las Puertas de Q3 y Q5 a
un voltaje positivo respectivamente desactivando los MOSFETs.
Modo inverso
Cuando A=0 y B=1 (+5V), el motor opera en modo inverso. Q1 se desactiva y Q3 se
desactiva a consecuencia de R3. Q2 se activa a causa del voltaje en B. El colector de Q2
conecta la Puerta de Q5 con tierra. Esto activa Q5 (El canal-P necesita mayor voltaje -ve
que la fuente para activarse). El lado -ve del motor aumentar a +12V. R5 aumentar la
Puerta de Q4 a +11V o lo que es equivalente, activar Q4. La conexin el Drenador de
Q4 con tierra fuerza la conexin del lado +ve del motor con tierra. R7 tambin est
conectado al lado +ve del motor, lo que conecta la Puerta de Q6 con tierra asegurando
su desactivacin.El camino seguido por la corriente del motor va de +12V a tierra
pasando por Q5, el contacto -ve, el contacto +ve, y Q4.
Modo Normal
Cuando A=1 y B=0, el motor opera en modo normal. Q2 se desactiva y Q5 se activa
debido a R4. Q1 se activa debido al voltaje en A y el Colector de Q1 va a tierra. Esto
activa Q3 que eleva el voltaje del lado +ve del motor a +12V. R7 eleva el voltaje de la
Puerta de Q6, activndolo. La presencia de R5 asegura la desactivacin de Q4, cuando
Q6 se desactiva. El camino seguido por la corriente del motor va de +12V a tierra
pasando por Q3, el contacto +ve, el contacto -ve, y Q6.
Modo NO PERMITIDO (o slo permitido una vez)

Si A=1 y B=1, entonces todos los MOSFETs se activan, provocando un desgaste de la


de la fuente de alimentacin . No serecomienda. El LED tricolor permite probar el
circuito sin conectar el motor. El color del LED ser verde para una direccin y rojo
para laotra. Prueba muy til.
Los motores provocan mucho ruido elctrico a causa del movimiento de las astas
mientras est en marcha, y enormes deltas elctricas al parar, ponerse en marcha, y
especialmente al cambiar de direccin. C1 y C2 intentan suprimir los deltas de ruido.
Las deltas negativas se neutralizan al conectar D1 y D4 a tierra y a la fuente de
alimentacin, respectivamente. Z1 intenta cortar las deltas positivas.
Si es posible, intente mantener la fuente de alimentacin del motor separada de la fuente
de alimentacin del resto del circuito, o bien utilize tcnicas extremas de filtrado usando
bobinas, diodos, y condensadores para filtrar el ruido del motor.
A continuacion se muestra el diagrama esquematico del circuito:
Figura 1.- Motor controlado por Mosfet con configuracin Puente H

ANEXOS
MCT (MOS- Controlled Thyristor)

El MCT es otro dispositivo semiconductor de potencia hbrido que combina los


atributos del MOSFET y el tiristor. Recientemente se puso en disponibilidad en el
mercado. El smbolo de ste dispositivo se muestra en la figura. Est integrado por 2
MOSFET's, uno de ellos enciende al tiristor y el otro lo apaga.

Existen diversos tipos de estructuras, pero todas ellas coinciden existe un tiristor pnpn
que determina las propiedades de conduccin (y de bloqueo). Tambin, todos los MCT's
tienen integrados dos dispositivos MOS para controlar las propiedades de conmutacin.
Entre el nodo A y el ctodo K existe una estructura pnpn que como ya se mencion
forma la estructura del tiristor del MCT. La regin gate - nodo est formada por ms de
105 celdas. Este largo nmero de celdas provee superficies cortas de largas secciones
transversales para una rpida y uniforme conmutacin de corriente. Dentro de la regin
nodo - gate existen dos MOSFET's. Uno de ellos es un canal p, tipo pnp que es usado
para el encendido y el otro es un canal n, de tipo npn que es usado para el apagado.
Existen otras regiones p-pn+ que producen el encendido y el apagado del MCT.
La estructura descrita aqu es muy general y no muestra que solo el 4 por ciento de las
celdas que posee el MOSFET sirven para el encendido.

En su operacin, si el ctodo K es positivo con respecto al nodo, no importando la


polarizacin del gate, el MCT va a caer a un voltaje muy bajo, sta situacin debe ser
evitada.
Si el nodo A es positivo con respecto al ctodo K, y no existe un voltaje en le gate, el
MCT permanece en estado de apagado hasta que un voltaje de ruptura es alcanzado
cuando una avalancha de ruptura ocurre. En la prctica una pequea corriente de fuga
IA leak existe en el estado de bloqueo hasta que la ruptura suceda y el dispositivo se
encienda.
Si el nodo es positivo con respecto al ctodo y un voltaje negativo es aplicado al gate,
el MCT se enciende. La cada de voltaje VMCT (ON) es muy pequea y vara desde 0.
7 V sin carga hasta 1.1 V a plena carga. La corriente de nodo es limitada solo por el
valor de la impedancia de la carga.

Si el MCT est encendido, la aplicacin de un voltaje positivo en el gate, regresa al


dispositivo al estado de apagado hasta que un voltaje negativo en el gate es aplicado.

Debido a que el tiempo de apagado del MCT es muy bajo (cerca de 1.5 s) y que posee
un elevado di/dt (1000 A/s) y dv/dt ( 5000 V/s), stas caractersticas superiores lo
convierten en un dispositivo de conmutacin ideal y posee un tremendo potencial para
aplicaciones en motores de media y alta potencia, as como en distintas aplicaciones en
la electrnica de potencia.

Transistor de Induccin Esttica (SIT)


El Dispositivo mas importante bajo desarrollo es el transistor de induccin estatica
(SIT) mostrado esquemticamente en la figura 1. El SIT es un dispositivo portador
mayoritario (unipolar) en el que el flujo de electrones de la fuente a el drenaje es
controlado por un potencial de barrera en el semiconductor de dos dimensiones con
forma de silla de montar entre las compuertas metlicas.Si el dopado y las dimensiones
laterales son escogidas adecuadamente, la altura del potencial de barrera sera modulado
por la compuerta y el dranje. Debido a que la corriente se incrementa exponencialmente
conforme la barrera es disminuido, las caracteristicas de la salida del SIT son
usualmente no saturadas o de manera de triodo, por ejemplo pareciendose a un triodo
de tubo al vacio.El SIT es importante como un dispositivo de microondas a bahas
frecuencias en GHz porque este entrega potencia extremadamente alta por unidad de
area.

Figura 1. Seccion transversal de un


transistor de union esttica (SIT). Los
electronesfluyen de la fuente a el drenaje
travs de un punto ensillado de
potencialelectrostatico entre los
electrodos de compuerta.

La fabricacin del SIT requiere un grabado anistrpico de pared recta de zanjas de 2 -3


m de profundidad usando una grabado reactivo de ion (RIE, por sus siglas en ingles)
seguida por una deposicin de Metalizacion de Shottky en la zanja del fondo sin cubrir
la zanja lateral. Las dimensiones laterales entre las zanjas de compuerta oscilan en el
orden de 0.5 - 1.5 m . Los contactos de baja resistencia ohmica son establecidos a las
regiones de la fuente en el techo de las uniones. La figura 2 (debajo) muestra una foto
SEM de un SIT completo teniendo una ancho de unin de 1m y una longitud total de
union de 1 cm(100 dedos). Para mas claridad , esta foto ha sido tomada antes de la
deposicin de las conexiones del puente de aire de la fuente. Caracteristcas
experimentales estaticas VI son mas pequeas en el SIT mostrado en la figura 3 .
El maximo voltaje de drenaje es de 250 volts, la corriente de encendido en la rodilla es
casi
80 mA/mm y la ganacia de bloqueo es aproximadamente 10. Estos valores son
comparables a la mejor literatura reportada para un SIT.

Figura 2. Foto SEM de una gran area experimental de un dispositivo SIT fabricado en el
laboratorio.Los dedos de union son 1m de ancho y 100 m de largo.

Figura 3. Caracteristicas VI
medidas de un SIT
experimental.El voltaje de
compuerta cambia desde
cero(arriba de la curva) a 18 V (
debajo de la curva) en cambios
de 2V.La escaka Horizontal es
de 20 V / div. El maximo voltaje
de drenaje mostrado enla foto es
de 200 V .

Para alcanzar operacin a altas frecuencias , es necesario escalar agresivamente la


escala de la unin anchar las zanjas, incrementar el dopado de la regin del canal y
minimizar capacitancias parsitas. La figura 4 muestra otra fotografa SEM de la banda
C del SIT recientemente fabricado en el laboratorio. El ancho de unin y los anchos de
las zanjas son 0.5m cada uno. Los contactos de la fuente son formados por una
interconexin de puente de aire para minimizar capacitancias parasitas. Este dispositivo
exhibi una frecuencia de 7 GHz , el valor mas alto hasta ahora reportado para un SIT.
Una grafica de corrientes a seal baja contra frecuencia es mostrada en la figura 5

Vous aimerez peut-être aussi