Vous êtes sur la page 1sur 11

ESCUELA SUPERIOR POLITCNICA DE CHIMBORAZO

FACULTAD DE INFORMTICA Y ELECTRNICA


ESCUELA DE INGENIERA ELECTRNICA EN TELECOMUNICACIONES Y REDES
GUA DE LABORATORIO DE COMUNICACIONES II
PRCTICA No.1 Convertidor A/D
1. DATOS GENERALES:

INTEGRANTES

CDIGO

Brayan Pacheco
Francisco Shagay
Carla Raza
Marjorie Paredes
Erika Zuiga

565
525

FECHA DE REALIZACIN:

FECHA DE ENTREGA:

2014/10/23

195

2014/10/30

2. OBJETIVOS:
2.1.

GENERAL

Entender el funcionamiento del convertidor analgico-digital y realizar las practicas


correspondientes.
2.2.

ESPECFICOS

Comprobar el funcionamiento del circuito ADC implementado en el mdulo para el


ingreso de varios voltajes de manera aleatoria.
Comprobar los datos obtenidos en la medicin con los resultados obtenidos al realizar
los clculos de manera manual.

3. METODOLOGA
La metodologa utilizada es experimental y terica ya que fue comprobado con clculos
matemticos los resultados obtenidos en la prctica, los pasos escritos en la gua de
prctica fueron realizados por el grupo de trabajo en los mdulos del laboratorio.

4. EQUIPOS Y MATERIALES:

Modulo KL 92001
Modulo KL 94001
DMM
Jumpers
Generador de Seales
Multmetro

5. MARCO TEORICO:
INTRODUCCIN
Entre las seales vlidas para los sistemas digitales y las seales analgicas que son las que
habitualmente encontramos en la naturaleza es necesario realizar una conversin que permita
comunicar los sistemas digitales con el exterior.
Se trata en este caso de digitalizar una seal de entrada analgica para obtener el valor de la
magnitud de dicha seal expresada mediante una secuencia binaria. Hay una gran cantidad de
diseos, muy variados, de conversores A/D, cuya precisin depende del nmero de bits de
salida mediante los que digitalizamos la seal.
Anlisis de los fundamentos
El convertidor analgico-digital (convertidores ADC o A/D) convierte las mediciones analogas,
que usualmente son voltajes o corrientes continuos, a palabras digitales usadas en
computacin, transmisin de datos, procesamiento y almacenamiento de informacin, y
sistemas de control. Hacemos esta conversin, porque las seales digitales son mejores para
almacenar y depurar (usando una amplia variedad de tcnicas de codificacin) y son casi libres
de ruido.
El funcionamiento del convertidor de analgico -digital
La Figura 9-1 muestra la caracterstica de transferencia de un ADC de 3-bits idea. El rango de
salida analgico va desde 0 hasta 1 V, es calculado dividindolo continuamente en 8 rangos
discretos. Dentro de todos los valores analgicos cada uno da un rango representado por el
mismo cdigo digital, que corresponden al valor nominal de gama media. Por lo tanto, existe
una inexactitud de cuantificacin inherente o error de cuantificacin de

bit menos

significativo (LSB) en el proceso de conversin de analgico a digital. La nica manera de


reducir su inexactitud de cuantificacin es aumentar el nmero de bits.
El Q, valor de cuantificacin o cuntica, es la ms pequea diferencia analgica que puede ser
resuelto por el ADC. Esto se puede expresar por

Figura 9-1. Caracterstica dela de transferencia 3bit ADC

donde FS es el rango mximo de la escala, que es igual a

,y

es la resolucin

determinada por los n bits de salida digital. Por lo tanto cuanto mayor sea el valor de n, mayor
es la resolucin. En general, los fabricantes de ADC indican la resolucin en la unidad de bits,
por ejemplo, la resolucin de ADC0804 es expresado por 8 bits en el manual tcnico.
Hay un gran nmero de diseos de circuitos de convertidores A/D: como de rampa digital,
aproximaciones sucesivas, flash y tipos de rastreo. La aproximacin sucesiva es el nico tipo
de ADC usado en nuestros experimentos, por lo tanto, introducimos el funcionamiento del ADC
de aproximaciones sucesivas de la siguiente manera.
La Figura 9 muestra el diagrama de bloques ADC de 8 bits de aproximacin sucesiva. El
funcionamiento normal procede as. El circuito sample-and-hold (S/H o S&H) se utiliza para
mantener la tensin de entrada analgica Vi, que da un tiempo determinado antes de que
comience la conversin, y la mantienen constante para evitar cualquier cambio durante el
perodo de conversin. El control lgico establece dar al bit ms significativo (MSB) D 7 del
registro a 1, con todos los dems bits a 0. El convertidor digital a analgico (DAC o D/A)
convierte los cdigos binarios en una salida analgica V(D) y que se puede evaluar a travs de:

La salida DAC V(D) es slo la mitad de la tensin de referencia V ref. El comparador compara el
V(D) con la entrada analgica Vi. Si Vi <V(D), el 1 permanece en D7. Si Vi> V(D), el 1 se elimina
de D7, y se trata en el siguiente bit ms significativo D 6. As, un 1 se trata en cada bit de las
salidas del registro hasta que al final del proceso se obtiene el equivalente binario de Vi.

Figura 9-2. Diagrama de bloques de una sucesin aproximada ADC

El ADC0804, este es un pasador 20 en el paquete doble lnea, es un nio de 8 bits que


funciona segn el principio de aproximaciones sucesivas. Sus principales caractersticas
incluyen nica fuente de alimentacin de 5V, 0V a 5V rango de tensin de entrada
analgica, la disipacin de potencia de 15 mW y 100 uS tiempo de conversin. Ya que
la resolucin del ADC 0804 es de 8 bits, la entrada analgica es dividir en n o 256
intervalos discretos.
Con tensin de referencia de 5V, cada rango representa 5/256 = 0.01953V. Por lo tanto
el cdigo de salida digital 00000000 (00H) corresponde a un voltaje analgico de
entrada de 0.00V y 11111111 (FFH) representa 4.9805V. El error no ajustado por
Contiene gran escala, offset y los errores de no linealidad, es +/- 1LSB o 0.01953V

Figura 9-3. Configuracin de pines ADC 0804

El diagrama de conexin de ADC0804 se muestra en la figura. 9-3. cuando los datos se


va a leer desde el puerto de salida D0-D7, la combinacin de ambos CS y RD siendo
baja permitir la salida 3-pestillos de estado para proporcionar las salidas digitales de 8
bits.
Cualquiera de CS o RD se ilumina, las salidas D0 a D7 estar flotando. El convertidor
ADC0904 se inicia por tener CS y WR simultneamente bajo.
Se reajusta el registro de 8 bits. WR cuando va al alta, el convertidor inicia el proceso de
conversin. El CLK IN es el pin de entrada de impulsos de reloj cuya frecuencia puede
ser de 100 a 800 Khz. el pasador INTR permanece alta durante la conversin y har
una transicin de alto a bajo si se completa la conversin.
La tensin analgica de entrada diferente se aplica a los pines Vin (+) y Vin (-). Si se
desea una sola entrada final, el Vin (+) debe ser conectado a tierra. El AGND ser la
tierra por seal analgica y la DGND es para la seal digital. Observe que la diferencia
de voltaje es o bien un medio del voltaje que se aplica a la patilla VCC, o es igual a la
tensin que es forzado externamente en el pin Vref/2.

Figura 9-4. Generador interno de la seal de reloj de ADC0804

El reloj para el ADC0804 se puede derivar de una fuente externa conectada a CLK IN o
una red RC externa se puede aadir para proporcionar autosincronizable. Como RC
muestra est conectado a los pines CLK R (pin 19) y CLK (pin 4) para proporcionar el
reloj para el ADC. La frecuencia de reloj se puede encontrar
Frecuencia CLK =1/1.1RC
Fig 9-5 muestra un convertidor de analgico a digital con el ADC0804. La seal de
entrada analgica est conectada a Vin (+) de entrada y la amplitud es controlado por el
VR2. Otra entrada Vin (-) est conectada en tierra. La tensin de referencia a la Vref / 2
(pin 9) es proporcionado por + 5V con el divisor de tensin que contiene R1, R2 y VR1,
la combinacin de C1 y R3 determina la frecuencia de reloj. El CS (pin 1) y RD (pin 2)
se conectar directamente a tierra para permitir a la ADC. Por conveniencia, el WR (pin
3) ADC INTR (pin 5) estn cableados para cambiar SW, para simular la seal de control
en el experimento tarde.

Figura 9-5. Circuito ADC0804

Convertidor Digital Analgico ADC0809


El ADC0809 est constituido por 28 pines es un ADC de 8 bits que aplica operaciones
sucesivas con 8 canales multiplexados. Esta opera con un voltaje de 5V en un rango de
0 a 5V una entrada analgica de este rango y 15mW de disipacin de energa. El
ADC0809 contiene 8 entradas analgicas debidas para 8 canales multiplexados. Desde
la resolucin de ADC0809 est 8 bits, la entrada analgica es dividida entre 2^8=256
rangos discretos. Con 5V como voltaje de referencia, cada intervalo representa
5V/256=0.1953V. Por lo tanto el cdigo de salida digital 00000000 (00H) corresponde a
un voltaje analgico de entrada de 0.00V y 11111111 (FFH) representa 4.9805V. El error
no ajustado por Contiene gran escala, offset y los errores de no linealidad, es +/- 1LSB
o 0.01953V. El reloj, 10 KHz a 1280Khz es requerido para el operar el control del
ADC080.
La figura 9-6 muestra el diagrama de conexin del ADC0809. Prendedores 5,4,3,2,1,28
y 26 son las entradas analgicas IN, a IN0, y prendedores 21, 20, 19, 18, 8, 15, 14, 17,
son salidas digitales D7 a D0, respectivamente. Pin 10 es entrada CLK. En la prctica la
potencia de entrada Vcc (pin 11) y la entrada de tensin de referencia Vref (+) (pin 12)
ser atados juntos por conveniencia. Observe que acuerdo reducir la exactitud de la
ADC si la fuente de alimentacin tiene una mala estabilidad. Cualquier canal en la
entrada particular es seleccione mediante el control de los estados de las entradas de
direccin de decodificador ADDA (pin 25), ADDA (pin 24). y ADDC (pin 23), por ejemplo,
los Estados 000 a las entradas de ADDA, ADB y ADDC seleccionar el canal de entrada
analgica IN0.

Figura 9-6. Configuracin de pines ADC 0809

El ADC0809 se ha diseado para interactuar directamente con un microprocesador o


sistema. La capacidad de salida 3 del estado de la ADC0809 elimina la necesidad de un
dispositivo perifrico. Las lneas de control START; EOC, la OE y ALE generalmente se
conectan a las lneas de autobuses de control estndar de la CPU. A travs de estas

lneas de control, la, el ADC0809 puede comunicarse fcilmente con la CPU para
transferir datos entre ellos. Tan pronto como el ADC0809 ha completado la conversin,
la seal de EOC est habilitada para interrumpir la CPU. Cuando la CPU est lista para
recibir datos de las salidas digitales, la CPU permite a la seal de la OE y lee los datos
digitales y luego, por supuesto, si se utilizan mltiples canales, las entradas del
codificador por direccin deben seleccionarse durante el ciclo de reinicio.

Figura 9-7. Circuito ADC0809

Un circuito ADC prctica con el ADC0809 se muestra en la fig. 9-7. El pasador de EOC
se conecta directamente a la clavija de START. Esto utiliza la EDC salida de la seal
para iniciar el ADC0809. Los impulsos de reloj tal como se aplican a los pines ALE y
CLK para controlar la operacin de ADC. La magnitud de la tensin analgica a IN =
entrada se controla ajustando el VR1, mientras que los de otros insumos (IN0 a IN7)
estn determinados por el circuito divisor de tensin (R1 a R7). Las entradas de los
canales analgicos son de seleccin por los estados de SW1, SW1 y SW3. La pantalla
LED indica los estados de las salidas digitales.
6. PROCEDIMIENTO:
ADC0804 A/D CONVERTER
1. Busque circuito ADC0804 en Mdulo KL-94001. Encienda la fuente de
alimentacin.
2. Con DVM, mida la tensin en la entrada Vref / 2 (pin 9) y poco a poco ajustar el
VR1 hasta que la tensin medida alcanza 2.5V. Esto ajusta la tensin analgica de
entrada ADC0804 de 0V a 5V.
3. Mida la entrada analgica (pin 6) y poco a poco ajustar el VR2 hasta que la tensin
medida alcanza 0V.
4. Inserte el enchufe de conexin de J1. Esto mantendr las salidas digitales.
Observar estados de visualizacin LED y registrar los resultados de la Tabla 9-1.
5. Retire el enchufe de conexin de J1. La salida digital variar con el cambio de la
entrada analgica.
6. Ajustar cuidadosamente el VR2 para obtener otras tensiones de entrada analgica
que figuran en la Tabla 9-1. y repita los pasos 4 y 5 Tabla Completa 9-1
ADC0809 A/D CONVERTER
1. Busque el circuito ADC0809 Convertidor Analogo a Digital en el Mdulo KL-94001.
Encienda la fuente de alimentacin.
2. Conecte una seal cuadrada a 120KHz, 2.5V con 2.5V de salida (alta=5v, baja=0V)
a la entrada del reloj (CLK)
3. Ajuste los interruptores SW3, SW2 Y SW1 a la posicin GND. La seal analgica
llegar al puerto de entrada IN0
4. Ajustar cuidadosamente la Vr1 para obtener tensin analgica individuo que
aparece en la tabla 9-2
5. Observar estados de visualizacin LED para cada entrada analgica y registrar los
resultados en la tabla 9-2
6. Calcular la tensin de entrada en los puertos de entrada IN1 A IN7 y registrar los
resultados en la tabla 9-2
7. Ajuste las posiciones de los interruptores SW3, SW2 SW1 y que aparece en la
tabla 9-3 para asignar un puerto de entrada(IN1 a IN7) como la entrada analgica
cada vez
8. Observar estados de visualizacin LED y registrar los resultados en la tabla 9-2
TABLA 9-1

Voltaje Anlogo
de Entrada (V)

Salida Digital
Valor Calculado
Valor Medido
Binario
Hexadecimal
Binario
Hexadecimal
00000000
0
00000000
0
00011001
19
00011001
19
00110011
33
00110101
35
01001100
4C
01001101
4D
01100110
66
01100110
66
10000000
80
10000000
80
10011001
99
10011001
99
10110011
B3
10110101
B5
11001100
CC
11001101
CD
11100110
E6
11100110
E6
11111111
FF
11111111
FF

0.0
0.5
1.0
1.5
2.0
2.5
3.0
3.5
4.0
4.5
5.0
TABLA 9-2
Voltaje Anlogo
de Entrada (V)

Salida Digital
Valor Calculado
Valor Medido
Binario
Hexadecimal
Binario
Hexadecimal
00000000
0
00000000
0
00010000
10
00001110
E
00011110
1E
00011101
1D
01010000
50
01001101
4D
01101001
69
01100111
67
10000000
80
10000000
80
10011011
9B
10011010
9A
10110100
B4
10110011
B3
11001111
CF
11001101
CD
11101010
EA
11101001
E9
11111111
FF
11111111
FF

0.0
0.5
1.0
1.5
2.0
2.5
3.0
3.5
4.0
4.5
5.0

TABLA 9-3

SW3

GND
GND
GND
+5V
+5V
+5V
+5V

SW2

GND
+5V
+5V
GND
GND
+5V
+5V

SW1

+5V
GND
+5V
GND
+5V
GND
+5V

Entrada Analgica
(Valor calculado)
Puerto de
Entrada
IN1
IN2
IN3
IN4
IN5
IN6
IN7

Voltaje

7. CONCLUSIONES Y RECOMENDACIONES:
CONCLUSIONES

Salida Digital
(Valor medido)
Binario

Hexadecimal

11011011
10110101
10010000
11101101
11001001
10100101
10000001

DB
B5
90
ED
C9
A5
81

Se encontraron los valores de la conversin de la forma explicada en el procedimiento


de la prctica, ya que al ingresar un valor de voltaje dado se observaba la salida con la
ayuda de diodos prendidos los cuales tomaban un valor de apagado (0), prendido (1).
Las distintas tcnicas descritas en la prctica muestran las ventajas y caractersticas del
componente, lo cual permite la seleccin del mismo dependiendo de la utilizacin y el
modo de trabajo.
Todo convertidor ADC debe procurar que el conjunto de bit obtenidos a la salida sea un
reflejo lo ms exacto posible del valor analgico correspondiente.
Se usan un gran nmero de mtodos para convertir seales analgicas a la forma
digital, los que ms usados son: Rampa de escalera, aproximaciones sucesivas,
paralelo (flash), doble rampa, voltaje a frecuencia, tipo serie etc.
Cada convertidor posee sus propias caractersticas y parmetros que lo definen. Estos
parmetros y medidas se toman con respecto a curvas ideales de transmisin es decir
cuando ms se ajuste su funcionamiento a estas curvas, ms preciso ser.

RECOMENDACIONES

Leer previamente la prctica a realizar para familiarizarnos con los circuitos integrados
convertidores que manipularemos en laboratorio.

Comprobar el voltaje requerido con el multmetro antes de conectar al circuito.

8. BIBLIOGRAFA:

COUCH, LEON W., Sistemas de Comunicacin Digitales y Analgicos, 7ma ed.,


PRENTICE HALL.
http://wsdetcp.upct.es/Personal/JZapata/labelec09.pdf
http://www.digchip.com/datasheets/parts/datasheet/321/ADC0809-pdf.php

9. ANEXOS
FOTOS PRCTICA

CUESTIONARIO
1. Comenta sobre la funcin de R3 y C1 en el circuito ADC0804 ADC de la fig 9-5
La funcin de R3 y C1 es explcitamente para proporcionar una frecuencia al reloj del
ADC. La frecuencia de reloj se puede encontrar.
Frecuencia CLK =1/1.1R3C1

2. Comenta sobre la funcin de los Switches SW1, SW2, SW3 en el circuito


ADC0809 ADC de la fig 9-7
Las combinaciones de estos switch habilitaban el puerto de entrada analgico
siendo el switch 1 el menos significativo y el switch 3 el mas significativo.

3. Nombra el error no ajustado de ADC0804


Se aplica los siguientes parmetros
Vref=5VDC, fclk=640KHz
ADC0804: Total Unadjusted Error VREF/2=2.500 VDC

Max 1 LSB

4. Nombra el error no ajustado de ADC 00809


Se aplica los siguientes parmetros
Vclk=5VDC, fclk=640KHz, Vref()=GND, TMINTATMAX
ADC0809 Total Unadjusted Error, 0C to 70C TMIN to TMAX, 1 LSB, 114 LSB

Vous aimerez peut-être aussi