Académique Documents
Professionnel Documents
Culture Documents
Enunciado
Metodologa de Trabajo
Para entender un poco los pasos que hay que seguir para observar los
comportamientos del circuito a disear, se tiene que especificar algunas cosas
sobre el enunciado.
Nosotros tomaremos 3 entradas para elaborar nuestro circuito, las
cuales estn identificadas como:
A = Conmutador 1
B = Conmutador 2
C = Conmutador 3
Pasamos ahora a observar la tabla de la verdad para ver los casos que
ya nombramos.
0
1
2
3
4
0
0
0
0
1
0
0
1
1
0
0
1
0
1
0
1
0
0
1
0
5
6
7
1
1
1
0
1
1
1
0
1
1
1
1
F = (~A*~B*~C)+(B*C)+(A*C)+(A*B)
F = (~A+B+C)*(A+~B+C)*(A+B+~C)
0
1
I0
I1
I2
I3
00
01
10
11
1
0
C*
0
1
C
0
1
C
1
1
1
El montaje seria:
Interruptores
Leds
Fuente DC 5V
Montaje en el Active-Hdl
entity Mux_Top_EA is
port(
sw : in STD_LOGIC_VECTOR(2 downto 0);
ld : out STD_LOGIC_VECTOR(0 downto 0)
);
end Mux_Top_EA;
architecture Mux_Top_EA of Mux_Top_EA is
---- Component declarations ----component Multiplexer_Efstatios_Alvaro_M
port (
A : in STD_LOGIC;
B : in STD_LOGIC;
I0 : in STD_LOGIC;
I1 : in STD_LOGIC;
I2 : in STD_LOGIC;
I3 : in STD_LOGIC;
F : out STD_LOGIC
);
end component;
---- Constants ----constant VCC_CONSTANT : STD_LOGIC := '1';
---- Signal declarations used on the diagram ---signal NET69 : STD_LOGIC;
signal VCC : STD_LOGIC;
begin
---- Component instantiations ---U1 : Multiplexer_Efstatios_Alvaro_M
port map(
A => sw(0),
B => sw(1),
F => ld(0),
I0 => NET69,
I1 => sw(2),
I2 => sw(2),
I3 => VCC
);
NET69 <= not(sw(2));
Resultados
Anexos
Combinacin 1-1-1
A = 2, B = 1, C = 0
Combinacin 0-1-1
A = 2, B = 1, C = 0
Combinacin 0-0-1
A = 2, B = 1, C = 0