Vous êtes sur la page 1sur 8

CARRERA DE INGENIERA MECATRNICA

Laboratorio Sistemas Digitales

Informe de reloj
Integrantes:
Galvez Milton
Jaramillo Carlos
Lopez David
Muoz Juan Pablo
Rodriguez Rafael
Salazar Carlos

NRC: 2235
FECHA DE ENTREGA: 06/12/2014

Contenido
TEMA:.................................................................................................................. 2
OBJETIVO:............................................................................................................ 2
DESARROLLO:..................................................................................................... 2
CONCLUSIONES:.................................................................................................. 7
RECOMENDACIONES:.......................................................................................... 7
BIBLIOGRAFIA:..................................................................................................... 7

TEMA: Elaboracin de un reloj programable con la utilizacin de


contadores

OBJETIVO:

Disear y simular un reloj digital programable con la utilizacin de contadores y


otros circuitos digitales con el fin de aplicar los conocimientos previamente
adquiridos y observar las aplicaciones de los contadores.

DESARROLLO:
Primeramente se realiz una investigacin sobre el funcionamiento, conexin y
aplicaciones de los contadores.
Utilizando principalmente la conexin en cascada se dise un circuito de un reloj digital
programable el cul se simul en Proteus.
El diseo se lo realiz separando tanto el segundero, como el minutero y el horero.
Se utiliz como frecuencia de entrada una frecuencia de 1 Hz, para que se realice la cuenta
en segundos.
A continuacin podremos observar las partes del circuito:

Podemos observar en el circuito del segundero que la entrada va directamente en el primer


contador, el cul es un contador de dcadas y sus salidas son conectadas al decodificador;
El acarreo del contador va conectado a un segundo contador el cual est programado para

contar hasta seis, y una vez terminado su ciclo, enva una seal al RESET de ambos
contadores reinicindolos nuevamente.
Para el segundero, el primer contador representa las unidades de los segundos, mientras que
el segundo representa las decenas de los segundos.

El acarreo de la primera etapa (acarreo del segundo contador) se dirige a una etapa
parecida, siendo ahora est la nueva entrada para el circuito del minutero.
Bsicamente el funcionamiento es el mismo pero con una frecuencia diferente de entrada,
la cual es dictada por la salida del segundo contador.
El circuito es el mismo dado que los minutos tienen el mismo comportamiento que los
segundos pero ms despacio, es decir, van de cero hasta mximo sesenta minutos.

La salida de acarreo del minutero, va a la entrada de reloj del horero, el cul, en las
unidades de las horas cuenta hasta el 10, por lo que no hay necesidad de establecer ningn
control. y para el segundo contador, bsicamente se conecta para que cuente hasta 2. Sin
embargo tambin hay que aadir una condicin extra, la cul se refiere a que al contar 25
los contadores se vuelvan a reiniciar.

El siguiente circuito corresponde a la entrada de la hora que se desea programar el reloj.

La siguiente corresponde simplemente a la salida del reloj

SIMULACIONES:

CONCLUSIONES:
Se conoci de manera satisfactoria el uso y aplicabilidad de los
contadores para un sistema de control de tiempo.
Se comprendi exitosamente la funcionalidad de un contador-divisor por
60 y su utilidad en el diseo de un reloj digital.
En conclusin con esta aplicacin pudimos ver cmo funciona el
contador digital y su gran utilidad, pues con los conocimientos tericos
se ha comprobado cada uno de los circuitos simulados y su coherencia
con la teora as que podemos concluir en lo siguiente:

Se dise y simulo satisfactoriamente el reloj digital utilizando


componentes digitales bsicos.
Se debe tener en cuenta la hoja de datos de las compuertas ya que
se pueden presentar algunos inconvenientes con los circuitos
integrados debido a las conexiones que debe tener.
El CI 74192 cuenta hasta 9, por lo cual se debe resetear
dependiendo de la numeracin deseada.
En la elaboracin del reloj es indispensable escoger bien los
componentes que vamos a utilizar.
La simulacin del reloj mediante contadores digitales nos permiti
visualizar una aplicacin importante de los circuitos en la vida
cotidiana.

RECOMENDACIONES:
Al momento de implementar este reloj digital de manera prctica, se
debe tener en cuenta que es necesario transformar una tensin alterna
sinusoidal de 60 Hz en un tren de impulsos a 60 Hz.
Con la experiencia que nos dej el presente trabajo recomendamos que
para futuros trabajos se tenga claro el objetivo y lo que se quiere lograr
tomando muy en cuenta las herramientas que tenemos a disposicin.
Tenemos que hacer siempre la tabla de verdad para poder comprobar
junto con el circuito implementado, porque lo que sale ah debe ser igual
a la de la tabla.

BIBLIOGRAFIA:
[1] Diseo Digital. Volumen 1, (2009).M Morris Mano
[2] Sistemas Digitales y Electrnica Digital- Juan ngel Garza Garza . Volumen
1, (1993).
[3] http://www.taringa.net/posts/ciencia-educacion/13094992/Reloj-Digital-tipomilitar-con-7490-sin-compuertas.html
[4]http://www.educarchile.cl/UserFiles/P0029/File/Objetos_Didacticos/ELO_02_TE
L/Recursos_Conceptuales/SN54LS90J.pdf

Vous aimerez peut-être aussi