Vous êtes sur la page 1sur 23

DC-commands

(neel)

1.
2.
3.
4.
5.
6.
7.
8.
9.
10.
11.
12.
13.
14.
15.
16.
17.
18.
19.
20.
21.
22.
23.
24.
25.
26.
27.
28.
29.
30.
31.
32.
33.
34.
35.
36.
37.
38.
39.
40.
41.
42.
43.

acs_check_directories
acs_compile_design
acs_create_directories
acs_customize_directory_structure
acs_get_parent_partition
acs_get_path
acs_merge_design
acs_read_hdl
acs_recompile_design
acs_refine_design
acs_remove_dont_touch
acs_report_attribute
acs_report_directories
acs_report_user_messages
acs_reset_directory_structure
acs_set_attribute
acs_submit
acs_submit_large
acs_write_html
add_module
add_pg_pin_to_db
add_pg_pin_to_lib
add_port_state
add_power_state
add_pst_state
add_to_collection
after
alias
alib_analyze_libs
all_clock_gates
all_clocks
all_connected
all_critical_cells
all_critical_pins
all_designs
all_dont_touch
all_drc_violated_nets
all_fanin
all_fanout
all_high_fanout
all_ideal_nets
all_inputs
all_isolation_cells

44.
45.
46.
47.
48.
49.
50.
51.
52.
53.
54.
55.
56.
57.
58.
59.
60.
61.
62.
63.
64.
65.
66.
67.
68.
69.
70.
71.
72.
73.
74.
75.
76.
77.
78.
79.
80.
81.
82.
83.
84.
85.
86.
87.
88.

all_level_shifters
all_operand_isolators
all_outputs
all_registers
all_threestate
all_tieoff_cells
analyze
analyze_datapath
analyze_dw_power
analyze_minpwr_library
analyze_mv_design
append
append_to_collection
apply_clock_gate_latency
apropos
array
associate_supply_set
balance_buffer
balance_registers
binary
break
catch
cd
cell_of
change_link
change_names
change_selection
change_selection_no_core
change_selection_too_many_objects
characterize
check_bindings
check_bsd
check_budget
check_design
check_error
check_implementations
check_isolation_cells
check_level_shifters
check_library
check_license
check_mv_design
check_scan_def
check_synlib
check_target_library_subset
check_timing

89. clean_buffer_tree
90. clock
91. close
92. close_mw_lib
93. commands:
94. compare_collections
95. compare_delay_calculation
96. compare_interface_timing
97. compare_lib
98. compile
99. compile_mcl
100. compile_partitions
101. compile_ultra
102. compute_polygons
103. concat
104. connect_logic_net
105. connect_net
106. connect_pin
107. connect_supply_net
108. context_check
109. continue
110. convert_from_polygon
111. convert_to_polygon
112. copy_collection
113. copy_design
114. copy_mw_lib
115. cputime
116. create_bsd_patterns
117. create_bus
118. create_cache
119. create_cell
120. create_clock
121. create_command_group
122. create_design
123. create_generated_clock
124. create_ilm
125. create_logic_net
126. create_logic_port
127. create_multibit
128. create_mw_lib
129. create_net
130. create_operating_conditions
131. create_pass_directories
132. create_port
133. create_power_domain

134.
135.
136.
137.
138.
139.
140.
141.
142.
143.
144.
145.
146.
147.
148.
149.
150.
151.
152.
153.
154.
155.
156.
157.
158.
159.
160.
161.
162.
163.
164.
165.
166.
167.
168.
169.
170.
171.
172.
173.
174.
175.
176.
177.
178.

create_power_switch
create_pst
create_qtm_clock
create_qtm_constraint_arc
create_qtm_delay_arc
create_qtm_drive_type
create_qtm_generated_clock
create_qtm_insertion_delay
create_qtm_load_type
create_qtm_model
create_qtm_path_type
create_qtm_port
create_supply_net
create_supply_port
create_supply_set
create_test_protocol
current_design
current_design_name
current_dft_partition
current_instance
current_mw_lib
current_test_mode
date
dc_allocate_budgets
decrypt_lib
define_design_lib
define_dft_design
define_dft_partition
define_name_rules
define_proc_attributes
define_scaling_lib_group
define_test_mode
define_user_attribute
delete_operating_conditions
derive_constraints
design_management:
dft_drc
disconnect_net
drive_of
duplicate_clock_constraints
echo
ekki:
elaborate
encoding
encrypt_lib

179.
180.
181.
182.
183.
184.
185.
186.
187.
188.
189.
190.
191.
192.
193.
194.
195.
196.
197.
198.
199.
200.
201.
202.
203.
204.
205.
206.
207.
208.
209.
210.
211.
212.
213.
214.
215.
216.
217.
218.
219.
220.
221.
222.
223.

eof
error
error_info
eval
exec
exit
expr
fblocked
fconfigure
fcopy
file
fileevent
filter
filter_collection
find
find_objects
flush
for
foreach
foreach_in_collection
format
get_alternative_lib_cells
get_always_on_logic
get_app_var
get_attribute
get_buffers
get_cells
get_clocks
get_clusters
get_command_option_values
get_design_lib_path
get_designs
get_dont_touch_cells
get_dont_touch_nets
get_generated_clocks
get_gui_stroke_bindings
get_ilm_objects
get_ilms
get_lib_attribute
get_lib_cells
get_lib_pins
get_libs
get_license
get_message_info
get_multibits

224.
225.
226.
227.
228.
229.
230.
231.
232.
233.
234.
235.
236.
237.
238.
239.
240.
241.
242.
243.
244.
245.
246.
247.
248.
249.
250.
251.
252.
253.
254.
255.
256.
257.
258.
259.
260.
261.
262.
263.
264.
265.
266.
267.
268.

get_nets
get_object_name
get_path_groups
get_pins
get_polygon_area
get_ports
get_power_domains
get_power_switches
get_references
get_related_supply_net
get_rp_groups
get_scan_cells_of_chain
get_scan_chains
get_scan_chains_by_name
get_selection
get_supply_nets
get_supply_ports
get_timing_paths
get_unix_variable
get_zero_interconnect_delay_mode
getenv
gets
glob
global
group
group_path
group_variable
gui_bin
gui_change_highlight
gui_close_window
gui_cmds:
gui_create_attrgroup
gui_create_menu
gui_create_pref_category
gui_create_pref_key
gui_create_schematic
gui_create_tk_palette_type
gui_create_toolbar
gui_create_toolbar_item
gui_create_window
gui_delete_attrgroup
gui_delete_menu
gui_delete_toolbar
gui_delete_toolbar_item
gui_eval_command

269.
270.
271.
272.
273.
274.
275.
276.
277.
278.
279.
280.
281.
282.
283.
284.
285.
286.
287.
288.
289.
290.
291.
292.
293.
294.
295.
296.
297.
298.
299.
300.
301.
302.
303.
304.
305.
306.
307.
308.
309.
310.
311.
312.
313.

gui_execute_menu_item
gui_exist_pref_category
gui_exist_pref_key
gui_exist_window
gui_get_current_task
gui_get_current_window
gui_get_highlight
gui_get_highlight_options
gui_get_menu_roots
gui_get_mouse_tool_option
gui_get_pref_keys
gui_get_pref_value
gui_get_setting
gui_get_task_list
gui_get_toolbar_names
gui_get_window_ids
gui_get_window_pref_categories
gui_get_window_pref_keys
gui_get_window_types
gui_hide_palette
gui_hide_toolbar
gui_inspect_violations
gui_list_attrgroups
gui_mouse_tool
gui_query_objects
gui_remove_pref_key
gui_report_hotkeys
gui_schematic_add_logic
gui_schematic_remove_logic
gui_scroll
gui_set_active_window
gui_set_current_task
gui_set_highlight_options
gui_set_hotkey
gui_set_mouse_tool_option
gui_set_pref_value
gui_set_setting
gui_set_timing_table_paths
gui_show_analyze_dialog
gui_show_clock_specify_dialog
gui_show_elaborate_dialog
gui_show_man_page
gui_show_palette
gui_show_toolbar
gui_show_window

314.
315.
316.
317.
318.
319.
320.
321.
322.
323.
324.
325.
326.
327.
328.
329.
330.
331.
332.
333.
334.
335.
336.
337.
338.
339.
340.
341.
342.
343.
344.
345.
346.
347.
348.
349.
350.
351.
352.
353.
354.
355.
356.
357.
358.

gui_start
gui_stop
gui_update_attrgroup
gui_update_pref_file
gui_view_port_history
gui_violation_schematic_add_objects
gui_wave_add_signal
gui_write_window_image
gui_zoom
help
history
hookup_retention_register
identify_clock_gating
if
incr
index_collection
infer_switching_activity
info
insert_buffer
insert_clock_gating
insert_dft
insert_isolation_cell
insert_level_shifters
insert_mv_cells
interp
is_false
is_true
join
lappend
lib2saif
license_users
lindex
link
linsert
list
list_attributes
list_designs
list_dont_touch_types
list_duplicate_designs
list_files
list_hdl_blocks
list_instances
list_libs
list_licenses
list_test_models

359.
360.
361.
362.
363.
364.
365.
366.
367.
368.
369.
370.
371.
372.
373.
374.
375.
376.
377.
378.
379.
380.
381.
382.
383.
384.
385.
386.
387.
388.
389.
390.
391.
392.
393.
394.
395.
396.
397.
398.
399.
400.
401.
402.
403.

list_test_modes
llength
lminus
load_of
load_upf
lrange
lreplace
ls
lsearch
lset
lsort
man
map_isolation_cell
map_level_shifter_cell
map_power_switch
map_retention_cell
mem
merge_saif
name_format
namespace
open
open_mw_lib
optimize_registers
package
parse_proc_arguments
pg_powerlib:
pid
preview_dft
print_message_info
print_proc_new_vars
print_suppressed_messages
print_variable_group
printenv
printvar
proc
proc_args
proc_body
propagate_constraints
propagate_switching_activity
push_down_model
puts
pwd
qtm
query_cell_instances
query_cell_mapped

404.
405.
406.
407.
408.
409.
410.
411.
412.
413.
414.
415.
416.
417.
418.
419.
420.
421.
422.
423.
424.
425.
426.
427.
428.
429.
430.
431.
432.
433.
434.
435.
436.
437.
438.
439.
440.
441.
442.
443.
444.
445.
446.
447.
448.

query_net_ports
query_objects
query_port_net
quit
quit!
read
read_aocvm
read_bsdl
read_db
read_ddc
read_edif
read_file
read_lib
read_parasitics
read_partition
read_pin_map
read_saif
read_scan_def
read_sdc
read_sdf
read_sverilog
read_test_model
read_test_protocol
read_verilog
read_vhdl
rebuild_mw_lib
redirect
regexp
regsub
remove_annotated_check
remove_annotated_delay
remove_annotated_transition
remove_annotations
remove_aocvm
remove_attribute
remove_boundary_cell
remove_boundary_cell_io
remove_bsd_ac_port
remove_bsd_compliance
remove_bsd_instruction
remove_bsd_linkage_port
remove_bsd_power_up_reset
remove_buffer
remove_bus
remove_cache

449.
450.
451.
452.
453.
454.
455.
456.
457.
458.
459.
460.
461.
462.
463.
464.
465.
466.
467.
468.
469.
470.
471.
472.
473.
474.
475.
476.
477.
478.
479.
480.
481.
482.
483.
484.
485.
486.
487.
488.
489.
490.
491.
492.
493.

remove_case_analysis
remove_cell
remove_cell_degradation
remove_clock
remove_clock_gating
remove_clock_gating_check
remove_clock_gating_style
remove_clock_groups
remove_clock_latency
remove_clock_sense
remove_clock_transition
remove_clock_uncertainty
remove_constraint
remove_data_check
remove_design
remove_dft_clock_gating_pin
remove_dft_connect
remove_dft_design
remove_dft_equivalent_signals
remove_dft_location
remove_dft_logic_usage
remove_dft_partition
remove_dft_power_control
remove_dft_signal
remove_disable_clock_gating_check
remove_disable_timing
remove_dp_int_round
remove_driving_cell
remove_fanout_load
remove_from_collection
remove_generated_clock
remove_host_options
remove_ideal_latency
remove_ideal_net
remove_ideal_network
remove_ideal_transition
remove_input_delay
remove_isolate_ports
remove_isolation_cell
remove_level_shifters
remove_license
remove_multibit
remove_net
remove_operand_isolation
remove_output_delay

494.
495.
496.
497.
498.
499.
500.
501.
502.
503.
504.
505.
506.
507.
508.
509.
510.
511.
512.
513.
514.
515.
516.
517.
518.
519.
520.
521.
522.
523.
524.
525.
526.
527.
528.
529.
530.
531.
532.
533.
534.
535.
536.
537.
538.

remove_pass_directories
remove_path_group
remove_pin_map
remove_pin_name_synonym
remove_port
remove_power_domain
remove_propagated_clock
remove_rtl_load
remove_scaling_lib_group
remove_scan_group
remove_scan_link
remove_scan_path
remove_scan_register_type
remove_scan_replacement
remove_scan_suppress_toggling
remove_sdc
remove_target_library_subset
remove_test_assume
remove_test_mode
remove_test_model
remove_test_point_element
remove_test_power_modes
remove_test_protocol
remove_unconnected_ports
remove_upf
remove_user_attribute
remove_user_budget
remove_verification_priority
remove_wire_load_min_block_size
remove_wire_load_model
remove_wire_load_selection_group
rename
rename_design
rename_mw_lib
replace_clock_gates
replace_synthetic
report_annotated_check
report_annotated_delay
report_annotated_transition
report_aocvm
report_app_var
report_area
report_attribute
report_auto_ungroup
report_autofix_configuration

539.
540.
541.
542.
543.
544.
545.
546.
547.
548.
549.
550.
551.
552.
553.
554.
555.
556.
557.
558.
559.
560.
561.
562.
563.
564.
565.
566.
567.
568.
569.
570.
571.
572.
573.
574.
575.
576.
577.
578.
579.
580.
581.
582.
583.

report_autofix_element
report_block_abstraction
report_boundary_cell
report_boundary_cell_io
report_bsd_ac_port
report_bsd_buffers
report_bsd_compliance
report_bsd_configuration
report_bsd_instruction
report_bsd_linkage_port
report_bsd_patterns
report_bsd_power_up_reset
report_budget
report_buffer_tree
report_buffer_tree_qor
report_bus
report_cache
report_case_analysis
report_cell
report_cell_mode
report_check_library_options
report_clock
report_clock_gating
report_clock_gating_check
report_clock_timing
report_clock_tree
report_compile_options
report_constraint
report_crpr
report_datapath_gating
report_delay_calculation
report_design
report_design_lib
report_dft_clock_controller
report_dft_clock_gating_configuration
report_dft_clock_gating_pin
report_dft_configuration
report_dft_connect
report_dft_design
report_dft_drc_rules
report_dft_drc_violations
report_dft_equivalent_signals
report_dft_insertion_configuration
report_dft_location
report_dft_logic_usage

584.
585.
586.
587.
588.
589.
590.
591.
592.
593.
594.
595.
596.
597.
598.
599.
600.
601.
602.
603.
604.
605.
606.
607.
608.
609.
610.
611.
612.
613.
614.
615.
616.
617.
618.
619.
620.
621.
622.
623.
624.
625.
626.
627.
628.

report_dft_partition
report_dft_power_control
report_dft_signal
report_direct_power_rail_tie
report_disable_timing
report_dont_touch
report_dp_smartgen_options
report_dw_rp_group_options
report_fsm
report_hierarchy
report_host_options
report_ideal_network
report_ilm
report_infeasible_paths
report_interclock_relation
report_internal_loads
report_isolate_ports
report_isolation_cell
report_level_shifter
report_lib
report_logicbist_configuration
report_mode
report_multibit
report_mv_library_cells
report_mw_lib
report_name_rules
report_names
report_net
report_net_characteristics
report_net_fanout
report_opcond_inference
report_operand_isolation
report_operating_conditions
report_partitions
report_pass_data
report_path_budget
report_path_group
report_pin_map
report_pin_name_synonym
report_pipeline_scan_data_configuration
report_port
report_power
report_power_calculation
report_power_domain
report_power_gating

629.
630.
631.
632.
633.
634.
635.
636.
637.
638.
639.
640.
641.
642.
643.
644.
645.
646.
647.
648.
649.
650.
651.
652.
653.
654.
655.
656.
657.
658.
659.
660.
661.
662.
663.
664.
665.
666.
667.
668.
669.
670.
671.
672.
673.

report_power_pin_info
report_power_switch
report_pst
report_qor
report_qtm_model
report_reference
report_resources
report_retention_cell
report_saif
report_scan_cell_set
report_scan_chain
report_scan_compression_configuration
report_scan_configuration
report_scan_group
report_scan_link
report_scan_path
report_scan_register_type
report_scan_replacement
report_scan_state
report_scan_suppress_toggling
report_serialize_configuration
report_streaming_compression_configuration
report_supply_net
report_supply_port
report_synlib
report_target_library_subset
report_test_assume
report_test_model
report_test_point_element
report_test_power_modes
report_testability_configuration
report_threshold_voltage_group
report_timing
report_timing_derate
report_timing_requirements
report_transitive_fanin
report_transitive_fanout
report_ultra_optimization
report_units
report_use_test_model
report_wire_load
report_wrapper_configuration
reset_autofix_configuration
reset_autofix_element
reset_bsd_configuration

674.
675.
676.
677.
678.
679.
680.
681.
682.
683.
684.
685.
686.
687.
688.
689.
690.
691.
692.
693.
694.
695.
696.
697.
698.
699.
700.
701.
702.
703.
704.
705.
706.
707.
708.
709.
710.
711.
712.
713.
714.
715.
716.
717.
718.

reset_cell_mode
reset_clock_gate_latency
reset_design
reset_dft_clock_controller
reset_dft_clock_gating_configuration
reset_dft_configuration
reset_dft_drc_rules
reset_dft_insertion_configuration
reset_infeasible_paths
reset_logicbist_configuration
reset_mode
reset_path
reset_pipeline_scan_data_configuration
reset_scan_compression_configuration
reset_scan_configuration
reset_serialize_configuration
reset_streaming_compression_configuration
reset_switching_activity
reset_test_mode
reset_testability_configuration
reset_timing_derate
reset_wrapper_configuration
resize_polygon
return
rewire_clock_gating
saif_map
save_qtm_model
save_upf
scan
seek
set
set_always_on_cell
set_always_on_strategy
set_annotated_check
set_annotated_delay
set_annotated_transition
set_aocvm_coefficient
set_app_var
set_attribute
set_auto_disable_drc_nets
set_auto_ideal_nets
set_autofix_configuration
set_autofix_element
set_balance_registers
set_boundary_cell

719.
720.
721.
722.
723.
724.
725.
726.
727.
728.
729.
730.
731.
732.
733.
734.
735.
736.
737.
738.
739.
740.
741.
742.
743.
744.
745.
746.
747.
748.
749.
750.
751.
752.
753.
754.
755.
756.
757.
758.
759.
760.
761.
762.
763.

set_boundary_cell_io
set_boundary_optimization
set_bsd_ac_port
set_bsd_compliance
set_bsd_configuration
set_bsd_instruction
set_bsd_linkage_port
set_bsd_power_up_reset
set_case_analysis
set_cell_degradation
set_cell_internal_power
set_cell_mode
set_check_library_options
set_cle_options
set_clock_gate_latency
set_clock_gating_check
set_clock_gating_objects
set_clock_gating_registers
set_clock_gating_style
set_clock_groups
set_clock_latency
set_clock_sense
set_clock_skew
set_clock_transition
set_clock_uncertainty
set_combinational_type
set_compile_directives
set_compile_partitions
set_connection_class
set_context_margin
set_cost_priority
set_critical_range
set_current_command_mode
set_data_check
set_datapath_gating_options
set_datapath_optimization_effort
set_default_drive
set_default_driving_cell
set_default_fanout_load
set_default_input_delay
set_default_load
set_default_output_delay
set_delay_calculation
set_design_attributes
set_design_license

764.
765.
766.
767.
768.
769.
770.
771.
772.
773.
774.
775.
776.
777.
778.
779.
780.
781.
782.
783.
784.
785.
786.
787.
788.
789.
790.
791.
792.
793.
794.
795.
796.
797.
798.
799.
800.
801.
802.
803.
804.
805.
806.
807.
808.

set_design_top
set_dft_clock_controller
set_dft_clock_gating_configuration
set_dft_clock_gating_pin
set_dft_configuration
set_dft_connect
set_dft_drc_configuration
set_dft_drc_rules
set_dft_equivalent_signals
set_dft_insertion_configuration
set_dft_location
set_dft_logic_usage
set_dft_power_control
set_dft_signal
set_direct_power_rail_tie
set_disable_clock_gating_check
set_disable_timing
set_domain_supply_net
set_dont_retime
set_dont_touch
set_dont_touch_network
set_dont_use
set_dp_int_round
set_dp_smartgen_options
set_drive
set_driving_cell
set_dw_rp_group_options
set_dynamic_optimization
set_equal
set_false_path
set_fanout_load
set_fix_hold
set_fix_multiple_port_nets
set_flatten
set_fsm_encoding
set_fsm_encoding_style
set_fsm_minimize
set_fsm_order
set_fsm_preserve_state
set_fsm_state_vector
set_fuzzy_query_options
set_gui_stroke_binding
set_gui_stroke_preferences
set_host_options
set_ideal_latency

809.
810.
811.
812.
813.
814.
815.
816.
817.
818.
819.
820.
821.
822.
823.
824.
825.
826.
827.
828.
829.
830.
831.
832.
833.
834.
835.
836.
837.
838.
839.
840.
841.
842.
843.
844.
845.
846.
847.
848.
849.
850.
851.
852.
853.

set_ideal_net
set_ideal_network
set_ideal_transition
set_impl_priority
set_implementation
set_input_delay
set_input_transition
set_isolate_ports
set_isolation
set_isolation_cell
set_isolation_control
set_lbist_configuration
set_leakage_optimization
set_leakage_power_model
set_level_shifter
set_level_shifter_cell
set_level_shifter_strategy
set_level_shifter_threshold
set_lib_attribute
set_libcell_dimensions
set_libpin_location
set_load
set_local_link_library
set_logic_dc
set_logic_one
set_logic_zero
set_logicbist_configuration
set_map_only
set_max_area
set_max_capacitance
set_max_delay
set_max_dynamic_power
set_max_fanout
set_max_leakage_power
set_max_net_length
set_max_time_borrow
set_max_transition
set_message_info
set_message_severity
set_min_capacitance
set_min_delay
set_min_library
set_minimize_tree_delay
set_mode
set_model_drive

854.
855.
856.
857.
858.
859.
860.
861.
862.
863.
864.
865.
866.
867.
868.
869.
870.
871.
872.
873.
874.
875.
876.
877.
878.
879.
880.
881.
882.
883.
884.
885.
886.
887.
888.
889.
890.
891.
892.
893.
894.
895.
896.
897.
898.

set_model_load
set_model_map_effort
set_multi_vth_constraint
set_multibit_options
set_multicycle_path
set_mw_lib_reference
set_mw_technology_file
set_opcond_inference
set_operand_isolation_cell
set_operand_isolation_scope
set_operand_isolation_slack
set_operand_isolation_style
set_operating_conditions
set_opposite
set_optimize_registers
set_output_clock_port_type
set_output_delay
set_pg_pin_model
set_pin_model
set_pin_name_synonym
set_pipeline_scan_data_configuration
set_port_attributes
set_port_fanout_number
set_port_location
set_power_switch_cell
set_prefer
set_propagated_clock
set_pulse_clock_cell
set_qtm_global_parameter
set_qtm_port_drive
set_qtm_port_load
set_qtm_technology
set_register_merging
set_register_replication
set_register_type
set_related_supply_net
set_replace_clock_gates
set_resistance
set_resource_allocation
set_retention
set_retention_cell
set_retention_control
set_retention_control_pins
set_rtl_load
set_scaling_lib_group

899.
900.
901.
902.
903.
904.
905.
906.
907.
908.
909.
910.
911.
912.
913.
914.
915.
916.
917.
918.
919.
920.
921.
922.
923.
924.
925.
926.
927.
928.
929.
930.
931.
932.
933.
934.
935.
936.
937.
938.
939.
940.
941.
942.
943.

set_scan_compression_configuration
set_scan_configuration
set_scan_element
set_scan_group
set_scan_link
set_scan_path
set_scan_register_type
set_scan_replacement
set_scan_state
set_scan_suppress_toggling
set_scope
set_serialize_configuration
set_size_only
set_streaming_compression_configuration
set_structure
set_svf
set_switching_activity
set_synlib_dont_get_license
set_tap_elements
set_target_library_subset
set_test_assume
set_test_point_element
set_test_power_modes
set_test_target
set_testability_configuration
set_timing_derate
set_timing_ranges
set_transform_for_retiming
set_true_delay_case_analysis
set_ultra_optimization
set_unconnected
set_ungroup
set_unix_variable
set_user_attribute
set_user_budget
set_verification_priority
set_voltage
set_voltage_model
set_vsdc
set_wire_load
set_wire_load_min_block_size
set_wire_load_mode
set_wire_load_model
set_wire_load_selection_group
set_wrapper_configuration

944.
945.
946.
947.
948.
949.
950.
951.
952.
953.
954.
955.
956.
957.
958.
959.
960.
961.
962.
963.
964.
965.
966.
967.
968.
969.
970.
971.
972.
973.
974.
975.
976.
977.
978.
979.
980.
981.
982.
983.
984.
985.
986.
987.
988.

set_zero_interconnect_delay_mode
setenv
sh
sh_list_key_bindings
shell_is_in_exploration_mode
shell_is_in_topographical_mode
shell_is_in_xg_mode
show_cng
show_cng_node
show_cng_stats
show_pin_slack
show_redundant_cng_nodes
simplify_constants
size_cell
sizeof_collection
socket
sort_collection
source
split
start_gui
stop_gui
string
sub_designs_of
sub_instances_of
subst
suppress_message
switch
syntax_check
tell
time
trace
translate
unalias
ungroup
uniquify
unset
unsuppress_message
update
update_lib
update_lib_model
update_lib_pg_pin_model
update_lib_pin_model
update_lib_voltage_model
update_timing
uplevel

989. upvar
990. use_test_model
991. variable
992. vwait
993. which
994. while
995. write
996. write_app_var
997. write_bsd_rtl
998. write_bsdl
999. write_compile_script
1000. write_design_lib_paths
1001. write_dw_rp_group
1002. write_environment
1003. write_file
1004. write_interface_timing
1005. write_lib
1006. write_lib_specification_model
1007. write_link_library
1008. write_makefile
1009. write_milkyway
1010. write_mw_lib_files
1011. write_parasitics
1012. write_partition
1013. write_partition_constraints
1014. write_qtm_model
1015. write_rtl_load
1016. write_saif
1017. write_scan_def
1018. write_script
1019. write_sdc
1020. write_sdf
1021. write_test
1022. write_test_model
1023. write_test_protocol
1024. write_tmax_library

Vous aimerez peut-être aussi