Vous êtes sur la page 1sur 3

CONTADOR ASCENDENTE DE 0 A 9

Universidad Nueva Esparta


Caracas
Andrs Contreras; e-mail: Andres.e.contreras@gmail.com
Ronald Guevara; e-mail: Ronaldrguevara@gmail.com
ngelo Mrquez; e-mail: angelom2488@gmail.com

Resumen:. El presente informe tiene como finalidad demostrar la elaboracin de un contador de 0 a


9, el uso de una herramienta de software, llamada Quartus II. El cual permite al desarrollador crear
diagramas de bloque, simular y compilar sus diseos, para luego ser programada en un modulo de
PLD.

Keywords: PLD, Quartus II, Contador, divisor de frecuencia, BCD a 7 segmentos.


LABORATORIO
INTRODUCCIN
El informe a presentar, corresponde a la programacin de
un contador ascendente de 0 a 9 en un mdulo de PLD
(MAX7000S).
Hay que tener en cuenta que el PLD, es una
herramienta cuyas caractersticas pueden ser modificadas
y almacenadas mediante programacin. El contar con
dispositivos programables, permite fabricar dispositivos
personales, los cuales son hechos de acuerdo nuestras
necesidades. Con el PLD podemos realizar funciones
lgicas muy complejas o muy grandes y resultar ms
barato adquirir un PLD en lugar de adquirir los circuitos
integrados por separado. Adems de que el espacio
ocupado por el PLD en su sistema ser menor que el
espacio ocupado por todos los circuitos individuales.
Para la elaboracin de la practica se conto con la
ayuda del software Quartus II, como herramienta para el
diseo y simulacin del contador ascendente de 0 a 9,
para luego se programado en un mdulo PLD.
PROCEDIMIENTO EXPERIMENTAL EN LA
UTILIZACION DEL PLD
El primer paso realizado para el montaje del
montaje fue familiarizarnos con el software Quartus II, ya
que era la primera vez que hacamos uso del mismo.

Al elaborar el montaje del contador se tuvo que


tener en cuenta que el mdulo de altera contiene un reloj
de una frecuencia de 1 MHz, el cual es empleado para el
funcionamiento del PLD que hay que tomar en cuenta a la
realizacin del circuito que se desea programar. El
problema con el uso del reloj interno es que es demasiado
rpido para el contador (74192) que se deseaba
realizar. Para resolver este problema, se utilizo un circuito
divisor de frecuencia, para retardar el pulso de reloj. En un
circuito divisor bsicamente cada una de las salidas
binarias divide la seal de reloj tantas veces como bit
tenga el contador. En nuestro caso en el primer bloque,
utilizamos el 16cudslr de 16 bits del cual tomamos la
salida Q10 que proporciona un tren pulsos a 1 KHz. Esta
salida Q10 fue la entrada del clock para el segundo divisor
16cdslr puesto que para el contador necesitbamos una
frecuencia de 1 Hz, la cual tomamos de la salida Q10.
Ambos divisores se alimentaron con VCC y con tierra en
las entradas correspondientes.
El segundo bloque es el contador sncrono de
cuatro bits 74192. A este se coloc como clock la salida
Q3 del segundo divisor. Las entradas A, B, C y D se
mandaron a tierra junto con el pin CLR, los pines LDN y
DN se mandaron a VCC.
El tercer y ltimo bloque es el decodificador de
binario a siete segmentos, en este se conectan las salidas
QA, QB, QC, QD del contador a las entradas A, B, C y D.

Mientras que los pines LTN, RBIN, BIN se mandan a


VCC.
Una vez finalizado el diseo del contador de 0 a
9, para tener referencias de las entradas y salidas, le
fueron asignadas a cada una de ellas su nombre
correspondiente. Luego de esto el siguiente paso fue
compilar y verificar que el software no indicara ningn
error.
A fin de visualizar en la simulacin las ondas
todas las salidas del contador, se le fueron agregadas 4
salidas adicionales, que fueron conectadas a las salidas A,
B, C y D del 74192. En la simulacin colocamos como
tiempo final 2 segundos, con la finalidad de visualizar los
resultados del conteo para ese tiempo tope. Los resultados
de cada salida fueron mostrados en forma binaria. Para
visualizarlos en forma decimal, agrupamos todas las
salidas y se aumento el tiempo fin de la simulacin a 10
segundos, de esa manera se pudo visualizar el conteo de
forma completa.

Simulacin de la contador

Luego de ver la simulacin y corroborar el


correcto funcionamiento del contador, a cada entrada y
salida se le asigno su pin correspondiente del integrado a
programar. Los pasos posteriores fueron la programacin
de integrado en el mdulo en el cual se alimenta con 8V y
se conecta por puerto paralelo a la computadora para as
lograr la visualizacin del contador en los display del
mdulo.
RESULTADOS EXPERIMENTALES
Al realizar el diagrama esquemtico propuesto
para esta prctica, se procedi a la simulacin reflejando
el conteo como se ve en la grfica 1 vista en anexos.
Luego de este proceso y su dicha programacin, se
observ en el display del mdulo el conteo ascendente de
0 a 9.
DATOS EXPERIMENTALES
A continuacin se presenta la grfica da la simulacin
en tiempo de 2 seg del contador ya finalizado. As como
el diagrama de bloques del circuito.

Diagrama de bloques

ANALISIS DE RESULTADOS
Es importante resaltar que el proceso de
simulacin es largo debido a la implementacin de los
divisores de frecuencia, debido a que cuando el contador
realiza su conteo, este trabaja con varias frecuencias, lo
cual retarda la duracin de la simulacin, debido a que
exige ms procesos por segundo.

Con los resultados obtenidos primeramente en la


simulacin podemos constatar que el funcionamiento
planteado del circuito propuesto funciona correctamente;
luego de haber observado el programa puesto en
funcionamiento en el PLD podemos notar como la clara
disposicin de los puestos de salidas y entradas son
importante a la hora de la culminacin del circuito de tal
manera de poder visualizar sus efectos posteriormente.
Para culminar obtuvimos el contador de 0 a 9 de manera
efectiva para nuestra prctica.

CONCLUSIONES
Con la elaboracin de esta prctica se demostr que:
1.

2.
3.
4.

5.

Colocando los pines LDN Y DN A VCC y UP a


la seal de reloj el contador va a efectuar el
conteo de forma ascendente y si se desea un
conteo descendente solo se debe conectar LDN y
UP a VCC mientras que al pin de DN se le coloca
la seal de reloj.
Al utilizar los contadores como divisores se
pueden obtener cualquier frecuencia deseada y
mltiples frecuencias a la vez.
Mediante el uso de la herramienta de simulacin
se puede verificar el funcionamiento correcto del
circuito.
Es necesario tener en cuenta que la conexin
directa de VCC a un puerto de salida genera
warnigs, por lo tanto se debe hacer uso de un
wire (cable), para evitar futuros errores.
Es importante tener en cuenta que el mdulo
utilizado posee solo 3 displays y que cada uno se
encuentra multiplexado. Para el caso del contador

elaborado, como solo era necesario utilizar un


display, se aliment con VCC el pin
correspondiente al mux que lo habilita. Ya que en
caso contrario el mismo no encendera.
REFERENCIAS

Rodrguez, I (2010) Gua prctica de


computacin 6.

Vous aimerez peut-être aussi