Vous êtes sur la page 1sur 41

PLAGIARISM REPORT

Report name:
Search type:
Folder name:
Plagiarism percentage:
Dated:
WEB

*******************************************
THESIS
Exact sentence match[Detection mode: Normal]
Default
30.76%
Wednesday, Nov 19, 2014 12:15 PM

BOOKS/PUBLICATIONS

ESSAYS

MULTIPLE SOURCES

CHAPTER 1 INTRODUCTION
Because of the prominence of compact electronic items, low power framework has pulled in more consideration
lately.As technology advances, a system-on-a-chip (SOC) configuration can contain more parts that prompt a
higher power density.This makes power dissipation achieve the cutoff points of what packaging, cooling or
other framework can help.Decreasing the power consumption can upgrade battery life as well as can evade the
overheating issue, which would build the level of trouble of packaging or cooling consequently, the thought of
power consumption in complex SOCs has turned into a huge test to designers.
In addition, in advanced VLSI plans, power consumed by clocking has taken a significant piece of the entire plan
particularly for those designs using deeply scaled CMOS technologies.In this way, a few strategies have been
proposed to decrease the power consumption of clocking.For a given plan that the areas of the cells have been
firm, the power consumed by clocking can be decreased further by substituting a few flip-flops with multi-bit
flip-flops.At clock tree synthesis, less number of flip-flops implies reduced number of clock sinks.Therefore, the
resulting clock system uses reduced power consumption and utilizes less routing resource.
Furthermore, smaller flip-flops are substituted by bigger multi-bit flip-flops; gadget varieties in the relating
circuit can be orderly reduced.As the CMOS technology progresses, the driving capacity of an inverter-based
clock buffer increments fundamentally.The ability to drive a clock buffer can be assessed by the quantity of least
measured inverters that it can drive on a given rising or falling time.Due to this sensation, a few flip-flops can
impart a common clock buffer to evade unnecessary waste of power.
Fig. 1.1 shows the block diagrams of 1- and 2-bit flip-flops.If we replace the two 1-bit flip-flops as shown in Fig.
1.1 by the 2-bit flip-flop as shown in Fig . 1.2, the total power consumption can be reduced because the two 1-bit
flip-flops can share the same clock buffer.
In any case, the areas of some flip-flops would be changed after this substitution, and afterward the wire lengths
of nets connecting pins to a flip-flop are additionally changed.To abstain from damaging the timing imperatives,
we confine that the wire lengths of nets uniting pins to a flip-flop can't be longer than detailed values after this
procedure.On the other hand, to ensure that another flip-flop can be put inside the desired region, we likewise
need to consider the area capacity of the region.
The power plays a significant part in any design one may need to focus on power reduction strategies.To
diminish the power consumption, a lot of low-power plan procedures have been presented, for example, clock
gating, power gating making multi-supply-voltage plans, dynamic voltage per frequency scaling, and minimizing
clock system.Among these procedures, minimizing and fusing the clock system is essential in reducing power
consumption of a Soc (System on Chip).By diminishing the power in circuit design it naturally reduces the
many-sided quality and wire length.In this manner, distinctive systems have been proposed [2], [3] to design a
reduced power consumption design.
The power had been expanded for diverse stages are static and dynamic power.In dynamic power, change in
input signal at distinctive rationale level will result in exchanging and short out force in the configuration.In
static force, it doesn't have any impact of level change in information and yield.The Multi-bit Flip-flop (MBFF) is a
successful power reduction procedure.It is utilized to decrease the quantity of Flip Flop away stage.Sending
numerous bits of information with single FF utilizing single clock pulse is called MBFF.The idea of MBFF is
presented in adder application which is utilized to diminish the quantity of FFs which are not empowered in the
circuit outline.Mbffs have advantage over SBFF as more modest outline zone, controllable clock, less delay on
clock system and effective use of routing resources.
The working of multi-bit flip flop is same as single-bit flip-flop, at whatever point the clock gets dynamic state flip
flop latches all data to yield.For idle state the flip flop holds the information.The fundamental structure of multibit flip failure is given in Fig.1, it demonstrates that as opposed to utilizing single bit FF we can supplant into
multi bit FF as 2-bit FF, 4-bit FF and 8-bit FF are produced as a different assignment.At the point when will the
obliged bit of capacity FF is required the specific errand is, no doubt brought in active region and others will be
in-active (sleep mode) region.
In the proposed work it takes after that it is utilized to store the quantity of bits that are empowering specifically
flip-flop utilizing single check and others are in sleep mode.It doesn't devour power for other flip-flop which is
not empowered during the storage stage.
The multi smaller FF is supplanted by larger MBFF utilizing the less clock source; all the more over gadget
varieties in the relating circuit can be successfully reduced.The FF can be fused with the assistance of
combinational table which will be powerfully empowered built in light of the number of bit capacity necessity
with force thought.The FF going to be united can be utilized for memory shows.By decreasing the quantity of
Ffs, the clock sinks area and clock dynamic power have been viably diminished.
Let us see the 8 bit flip-flop can be arranged by using this application as shown below.There are 8 inputs to the d
type flip flop where single clock signal enables all the 8 flip flops and gives the corresponding outputs.

CHAPTER 2 EXISTING METHOD


2.1 NEED FOR LOW POWER DESIGN
In the near the beginning 1970s scheming digital circuits for soaring speed and bare minimum area were the
main design constraints.Most of the EDA tools were deliberate distinctively to meet this criterion.Power
consumption was also a element of the devise progression but not very discernible.The lessening of area of
digital circuits is not as big issue today for the reason that with new IC making techniques, many millions of
transistors can be fit in a single IC.On the other hand, dwindling sizes of circuits have paved the way for
condensed power consumption in order to have an wholesale battery life.Also in submicron technologies, there
is a constraint on the proper running of circuits due to heat generated by power dissipation.Market military are
severe low power for not only well again life but also trustworthiness, portability, routine, cost and time to
market.This is very true in the field of personal computing devices, wireless connections systems, home
amusement systems, which are becoming popular now-a-days.Devices that are also used for high-performance
computing particularly need to squander less power to function fittingly and for a long period of time .Keeping
all these in mind, low power design has grow to be one of the most important design parameters for VLSI (Very
Large Scale Integration) systems.
2. 1.1 DESIGN FLOW WITH AND WITHOUT POWER
A top-down commonplace VLSI design come up to is illustrated in Fig. 2. 1.The Fig.summarizes the flow of
stepladder that is requisite to follow from a system level plan to the physical design.The approach was meant at
recital optimization and area minimization.On the other hand, introducing the third stricture of power dissipation
finished the designers to alter the pour as shown in the right-hand side of the Fig. 2. 1.In each of the devise
levels are two imperative power factors, namely power optimization and power assessment.Power optimization
is defined as the progression of obtaining the best devise eloquent the devise constraints and devoid of
violating design stipulation.In order to meet the devise and requisite aspiration, a power optimization modus
operandi only one of its kind to that altitude should be in employment.Power estimation is definite as the course
of action of manipulative power and energy debauched with a certain entitlement of accuracy and at poles apart
phase of the devise progression.Power estimation techniques appraise the effect of various optimizations and
devise modifications on power at poles apart abstraction levels.
Generally a devise performs a power optimization rung first and then a power estimation rung, but surrounded
by a firm devise level there is no unambiguous devise procedure.Each devise level includes a large gathering of
low power techniques.Each possibly will result in a momentous decline of power dissipation.However, a firm
recipe of low power techniques may go in front to healthier domino effect than another series of techniques.
Generally, power is obsessive when capacitors in the circuits are either charged or discharged due to switching
tricks.So at higher levels of a structure this power dissipation is preserved by reducing the switching tricks
which is finished by shutting down down portions of the system when they are not looked-for.Large VLSI
circuits contain different workings like a processor, a functional unit and controllers.The initiative of power
reduction is to stop any of the workings of the processor when they are not needed so that less power will be
debauched when the processor is in commission.
The first semiconductor chips apprehended two transistors each.Subsequent advances supplementary more
transistors, and as a upshot, more creature functions or systems were incorporated over time.The first
integrated circuits held only a few devices, perhaps as many as ten diodes, transistors, resistors and capacitors,
making it possible to fabricate one or more logic gates on a single device.Now known respectively as smallscale integration (SSI), improvements in technique led to devices with hundreds of logic gates, known as
medium-scale integration (MSI).Further improvements lead to large-scale integration (LSI), i. e.systems with at
slightest a thousand logic gates.Current technologies have encouraged far-flung past this mark and today's
microprocessors have loads of millions of gates and billions of personage transistors.
At one occasion there was an stab to name and regulate an assortment of levels of large-scale integration
beyond VLSI.Terms like ultra large scale integration (ULSI) were worn.But the gigantic number of gates and
transistors existing on common devices has rendered such fine distinctions moot.Terms portentous greater
than VLSI levels of integration are no longer in widespread use.
Fig 2.1: VLSI design flow
2.2 RELATIONSHIP BETWEEN DIFFERENT ABSTRACTION LEVELS
The relationship between devise abstraction level and power estimation techniques is shown as Fig 2. 2.The
power estimation at top level is a good deal more rapidly, but the accurateness will become worse due to the
some degree of devise information a number of CAD techniques for power estimation at lower levels of
abstraction, such as transistor-level [2-4] or gate-level, has been projected.
Generally speaking, they can afford more accurate estimation results.However, they may become unpractical for
multipart designs due to the whole system simulation requires moreover much computation resources in such
stumpy abstract levels.In addition, as soon as the devise has been precise down to gate level or lower, it may be
too classy to go back to glue high-power problems.Most outstandingly, IP vendors may not provide such lowlevel description for an IP to care for their acquaintance.
Fig 2.2: Relationship between different abstraction level & Power estimation techniques
2.3 BASIC CONCEPTS FOR POWER
The power dissipation of digital CMOS circuits can be described by
Pavg = Pdynamic + Pshort-circuit +Pleakage +Pstatic
2. 3.1 STATIC POWER
Static power is the power dissipated by a gate whilst it is not switching that is, when it is dormant or
static.Superlatively, CMOS (Complementary Metal Oxide Semiconductor) circuits drive away no static (DC)
power since in the sturdy state there is no direct pathway from Vdd to ground.These circumstances can on no
account be realized during practice, In view of the fact that in authenticity the MOS transistor is not a just the
thing switch.There will until the end of time be leakage currents, sub threshold currents, and substrate injection
currents, which bestow rise to the static piece of power dissipation.The chief percentage of static power
outcome from source-to-drain sub threshold voltage, which is caused by compact threshold voltages that thwart
the gate from top to bottom turning off.
2. 3.2 DYNAMIC POWER

Dynamic power is the power debauched whilst the circuit is active.A circuit is active anytime the voltage on net
changes due to a quantity of spur applied to the circuit.In supplementary words, dynamic power dissipation is
caused by the charging.For the reason that voltage on an input net can change without unavoidably
consequential in logic alteration in the output, dynamic power can be dissipated even at what time an output net
doesnt change its logic state.This piece of dynamic power dissipation is the consequence of charging and
discharging parasitic capacitances in the circuit.
Dynamic power of a circuit is self-possessed of
a) Switching power
b) Internal power
2. 3. 2.1 SWITCHING POWER
The switching power of a rousing cell is the power debauched by the charging and discharging of the load
capacitance at the amount produced of the cell.The full amount load capacitance at the output of a driving cell is
the totting up of the net and gate capacitances on the pouring amount produced.The charging and discharging
are upshot of logic transitions.Switching power increases as logic transitions increase.Therefore, the switching
power of a cell is a utility of in cooperation the total load capacitance at the cell amount produced and the
velocity of logic transitions.Switching power comprises 70-90 percent of the power dissipation of an vigorous
CMOS circuit.
2. 3. 2.2 INTERNAL POWER
In-house power is any power dissipated surrounded by the border line of a cell.During switching, a circuit
dissipate internal power by the charging or discharging of any to be had capacitances in-house to the cell.Inhouse power includes power debauched by a momentary short circuit stuck between the P and N transistors of
a gate, called short-circuit power.
2. 3.3 SHORT-CIRCUIT POWER
The short-circuit power consumption, Pshort-circuit, is caused by the current pour all the way through the direct
path existing stuck between the power supply and the ground during the transition segment.
2. 3.4 LEAKAGE POWER
The PMOS and NMOS transistors worn in a CMOS logic circuit universally encompass non-zero turn around
leakage and sub-threshold currents.These currents can make a payment to the total power dissipation flush
when the transistors are not the theater any switching action.The leakage power dissipation, Pleakage is caused
by two types of leakage currents.
The leakage power dissipation, P leakage is caused by two types of leakage currents
a) Reverse-bias diode leakage current
b) Sub threshold current through a turned-off transistor channel
2.4 OVERVIEW OF POWER ESTIMATION TECHNIQUES
In our do research, we focal point on estimating the dynamic power dissipation of digital circuit, which is directly
related to chip heating and battery natural life.This is pretty different from estimating the most awful case of on
the spot power.Because this is a strongly input blueprint dependent predicament, several solutions are wishedfor to triumph over this dilemma by using the probabilistic dealings.In folks approaches, they use probabilities
as a packed together way to describe a large set of achievable logic signals.Another come within reach of for
average power assessment is to acquire the current waveform by performing arts a simulation.We pass on these
methods as simulation-based techniques.In the literature, many simulation-based approaches have been
wished-for at a choice of kinds of abstraction level.Generally vocalizations, the comparison of the accuracy and
speed in the midst of those approaches be capable of be summarized in Fig. 2. 2.Those nearly everyone
accurate power estimation approaches is to act upon transistor-level simulation, because the detailed
information of the whole design is notorious.
Nevertheless, it has the most awful because it requires too to a large extent computation resources competence
and it takes too to a great extent time on behalf of simulation.Gate-level power simulation techniques be capable
of make available a well again trade-off stuck between accuracy and efficiency, but it possibly will still cost a lot
of redesign time to get to the bottom of power problems at what time the design is before now at gatelevel.Compared to other approaches, high-level power estimation is much harder to obtain high accurate results,
because the detail in order of the design is before now loss too a large amount.However, if the accuracy know
how to be superior to an up to standard region, high level power estimation techniques determination develop
into very functional because we can become aware of the power problems to a great extent earlier and more
hastily.In following section, the high-level power estimation willpower be introduced.
2.5 HIGH-LEVEL POWER ESTIMATION
In arrange to keep away from precious redesign steps for such complex devise, designers encompass to
estimate the power consumption at superior design stage to appreciate whether supplementary improvements
are requisite.It is unpractical for SOC designs to use the long-established SPICE-liked simulation at transistorlevel as mentioned in Chapter 1.Consequently, a numeral of CAD techniques encompass been planned for gatelevel power estimation.However, when the devise has been implemented to the gate level, it may at a standstill
too late or too expensive to advance the devise for power consumption problems.It implies that high-level power
assessment techniques are vital for designing such a complex design to curtail redesign cycles.
Fig 2.3: A usage of high-level power model
A number of high-level power assessment techniques have been projected as surveyed in.They are habitually
classified as top-down and bottom-up styles.In the top-down techniques, a course is specified as a Boolean
function without factor information of the circuit configuration.Top down methods habitually use a quantity of
abstract measurements such as entropy to measure of the total of information alter as the power consumption
standards .They would be constructive when designing a logic block that was not until that time designed.
High-level power estimation techniques can be in the region of divided into two categories: top down and
bottom-up.At home the top-down techniques, a combinational circuit is precise no more than as a Boolean

function without in turn on the circuit implementation.


Fig 2.4: H igh level power modeling concept
Normally, they determination approximation the switching activity of circuits by means of entropy.Entropy is a
characterization of a random capricious or a random progression which is commonly used in the in sequence
theory as a measure of information-carrying capacity.These kind of top-down techniques are useful when one is
designing a logic block that was not previously premeditated because they be capable of provide a irregular
measurement on the subject of the trend of power consumption sooner than implementation.However, they
possibly will not have very good accuracy owing to the lack of implementation particulars.
In disparity, bottom-up methods are useful as soon as reusing a previously designed logic block so with the
intention of all exhaustive internal structures of the circuit are acknowledged.A power macro-model strength of
character be built for such logic blocks in this sort of methods.When this logic block is used in an additional
application, the analogous power macro-model be capable of be recycled to estimate the power dissipation of
this block lacking performing any simulation at gate-level or transistor-level.The tradition of power model has
been showing Fig. 2. 3.This kind of power modeling approach will be very useful in the IP-based SOC designs.
CHAPTER 3 TOOLS REQUIRED
There has been a assortment of tools mixed up in this thesis.Even though, this thesis is all with reference to
simulation and power calculations of macros which are made using tools; there are other tools that have been
used preceding to the tradition of power tools to give the requisite input to the power tools.More prominence is
given to these tools that are mainly involved in power assessment.The usage of tools has been off the record as
Power tools and Non-Power tools.
3.1 NON-POWER TOOLS
Non-power tools take account of Simulation tools, Synthesis tools, Layout tools, Extraction tools and Waveform
viewers.The tools that are discussed in this chapter are some of the non-power tools drawn in in the intact
design flow.A short portrayal of each of these tools along with their functioning flow is given in this chapter to
appreciate their functionality.The subsequent chapter discusses each of the power tools in detailed manner as
most of the thesis involves the use of these power tools.The following chapter also discusses the design flow
from code inscription to spice net-list simulation, clearly illumination the usage of these tools at the respective
level.
3. 1.1 SIMULATION TOOL
Initially, Verilog or VHDL code for a fastidious design is written and tested.Simulation is done using Mentors
Modelsim for both VHDL Verilog and other Verilog simulators.Xilinx is a simulation and a debugging tool for
VHDL, Verilog, and other mixed-language designs from Mentor Graphics.The basic simulation flow is as shown
in Fig. 2. 5.Initially, a working library is fashioned and the code is compiled using the commands depending
upon whether the code is VHDL or Verilog.
Verilog Compiled Simulator (VCS) from Synopsys is a high-performance, high-capacity Verilog simulator that
incorporates advanced high-level abstraction, verification into an open platform.The basic work flow for VCS
consists of two basic steps:
a) Compiling source files into executable binary files
b) Running the executable binary file
This two step approach simulates the design faster and uses less memory than other interpretive simulators.The
basic design flow is given in Fig 3. 1.
Fig 3.1: shows the design flow
3. 1.2 SYNTHESIS TOOL
Design Compiler is the core of the Synopsys synthesis software products.It comprises tools that synthesize
HDL designs into optimized technology-dependent, gate-level designs.It supports a wide range of hierarchical
design styles and can optimize both combinational and sequential designs for speed, area, and power.
The basic Design Compiler (Design Vision) synthesis process is given in Fig. 4. 2.The Design Compiler is a
powerful tool that other products can be run inside its environment using specific commands.Some of the
products that can be accessed are HDL compiler, automated chip synthesis, FPGA compiler, Behavioral
compiler and Power Compiler.HDL compiler reads and writes Verilog or VHDL design files.The Verilog or VHDL
compiler reads the HDL files and performs translation and architectural optimization of the designs.The
appropriate HDL compiler is automatically called by Design Compiler when it reads an HDL design file.
Fig 3.2: shows basic design compiler synthesis process
3.2 POWER TOOLS
This thesis involves the usage of Synopsys power tools.The power products are tools that comprise a complete
methodology for low-power design.Synopsys power tools offer power analysis and optimization throughout the
design cycle, from RTL to the gate level.Analyzing power early in the design cycle can significantly affect the
quality of the design.Improvements made to the design while it is at RTL level can get even better results
eventually.Not only these power tools do accurate measurements but also can help in calculating power quicker.
Power consumption is calculated at three levels of abstraction.The tools used at these levels are:
a) RTL Level - RTL Power Estimator
b) Gate Level Power Compiler (based on switching activity),
c) Transistor Level Nano Sim
3. 2.1 POWER COMPILER
Power Compiler is an add-on product to Design Compiler.The Power Compiler tool optimizes the design for
power.Working in conjunction with the Design Compiler tool, Power Compiler provides simultaneous
optimization for timing, power and area.In addition to the standard inputs to synthesis (RTL or gate-level net-list,

technology library, design constraints, and parasitic),Power Compiler uses two other inputs: Switching activity
of design elements and power constraints.It contains all the analysis capabilities of Design Power.
Power Compiler uses the same power analysis engine as Design Power.This allows Power Compiler to the use
the same switching activity for optimization that Design Power uses for analysis.It accepts either user-defined
switching activity, switching activity from simulation, or a combination of both.It provides RTL clock gating and
optimizes the circuit based on circuit activity, capacitance, and transition times.Power Compiler cannot only be
used as a standalone product but also can be used in coordination with Design Compiler, Module Compiler,
Physical Compiler and Floor plan Manager.
3. 2. 2.POWER COMPILER METHODOLOGY
Power Compiler is used at RTL and Gate level to calculate power and do power optimization depending on the
need.At each level of abstraction, simulation, analysis and optimization can be performed to refine the design
before moving to the next lower level.Simulation and the resultant switching activity gives the analysis and
optimization the necessary information to refine the design before going to next lower level of abstraction.The
higher the level of design abstraction, the greater the power savings can be achieved.The following Fig. 4.2
describes the power flow at each of the abstraction level.Fig 3.3 shows power flow from RTL to Gate level.Cell
internal power and net toggling directly affect dynamic power of a design.To report or optimize power, Power
Compiler requires toggle information for the design.This toggle information is called Switching Activity.
Fig 3.3: shows power flow at each of the abstraction level
Power Compiler models switching activity in terms of static probability and toggle rate.Static probability is the
probability that a signal is at a certain logic state and is expressed as a number between 0 and 1.It is calculated
during simulation of the design by comparing the time of a signal at a certain logic state to the total time of the
simulation.Toggle rate is the number of logic-0-to-logic-1 and logic-1-to-logic-0 transitions of a design object per
unit of time.
The following Fig 4.5 shows the methodology of power calculation using the combination of Power Compiler and
Design Compiler.The flow of data between the different steps and tools used are also shown.Before starting to
calculate power using Power Compiler the desired gate-level net-list of the design should be first generated.The
power methodology starts with the RTL design and finishes with a power-optimized gate-level net-list.Ultimately,
Power Compiler is used to calculate power using the gate-level net-list produced by the Design Compiler or
power-optimized gate net-list produced by Power Compiler itself Power Compiler models switching activity in
terms of static probability and toggle rate.Static probability is the probability that a signal is at a certain logic
state and is expressed as a number between 0 and 1.
Power Compiler models switching activity in terms of static probability and toggle rate.Static probability is the
probability that a signal is at a certain logic state and is expressed as a number between 0 and 1.It is calculated
during simulation of the design by comparing the time of a signal at a certain logic state to the total time of the
simulation.Toggle rate is the number of logic-0-to-logic-1 and logic-1-to-logic-0 transitions of a design object per
unit of time.
The following Fig 3 .5 shows the methodology of power calculation using the combination of Power Compiler
and Design Compiler.The flow of data between the different steps and tools used are also shown.Before starting
to calculate power using Power Compiler the desired gate-level net-list of the design should be first
generated.The power methodology starts with the RTL design and finishes with a power-optimized gate-level
net-list.Ultimately, Power Compiler is used to calculate power using the gate-level net-list produced by the
Design Compiler or power-optimized gate net-list produced by Power Compiler itself.As seen in the figure most
of the processes that take place are using Design Compiler, but the simulation process that is shown is outside
Design Compiler tool and is done as part of power calculation.
Fig 3.4: shows power flow from RTL to Gate level
The following Fig 3 .5 shows the methodology of power calculation using the combination of Power Compiler
and Design Compiler.The flow of data between the different steps and tools used are also shown.Before starting
to calculate power using Power Compiler the desired gate-level net-list of the design should be first
generated.The power methodology starts with the RTL design and finishes with a power-optimized gate-level
net-list.Ultimately, Power Compiler is used to calculate power using the gate-level net-list produced by the
Design Compiler or power-optimized gate net-list produced by Power Compiler itself.As seen in the figure most
of the processes that take place are using Design Compiler, but the simulation process that is shown is outside
Design Compiler tool and is done as part of power calculation.
The main purpose of simulation is to generate information about the switching activity of the design and create a
file called Back-annotation.This file can contain switching activity from RTL simulation or gate-level
simulation.Initially, the RTL design is given to the HDL compiler to create a technology-independent format
called as GTECH design.This is as a result of analyzing and elaborating the design by HDL compiler.This
formatted design is given as an input to Design Compiler.Before it is compiled by the Design Compiler, "rtl2saif"
command is used to create forward-annotation file which is later used for simulation.The formatted design
GTECH is later given as input to Design Compiler which produces an output which is given to Power Compiler.
Fig 3.5: shows power methodology in power compiler
The Forward-annotation SAIF file is given as an input to do RTL simulation which gives a back-annotation SAIF
file which is used by Power Compiler.This forward annotated file contains directives that determine which
design elements to be traced during simulation.Gate level simulation can also use a library forward-annotation
file.This forward-annotation file used for gate level simulation has different information compared to RTL
forward-annotation file.This file contains information from the technology library about cells with state and path
dependent power models."Lib2saif" command is used to get this forward-annotation file.
During power analysis, Power Compiler uses the annotated switching activity to evaluate the power
consumption of the design.During power optimization, Power Compiler uses the annotated switching activity to
make decisions about the design.
3.3 STARTING ISE SOFTWARE
3. 3. 1 WINDOWS
To start ISE, double-click the desktop icon
Or go to, Start ? All Programs ? Xilinx ISE Design Suite 14.1 ? ISE Design tool ? Project Navigator

Or select Start > run, and run the following commands


1. C:\xilinx\14. 1\ISE_DS\settings32. bat
2. C:\Xilinx\14. 1\ISE_DS\ISE\bin\nt\ise. exe
3. 3.2 LINUX
To start ISE, Open your terminal and run the following commands
1.cd /local/ Xilinx/13. 2/ISE_DS/
2.Source settings32. csh
3. ise
3. 3.3 CREATE A NEW PROJECT
To create a new ISE project Select File > New Project.The page Create New Project appears
1.In the field Project Name, type tutorial_1 .You can choose another name that does not contain any white
spaces.
2.In the field Project Location, browse to a location (directory path) for the new project
If you use Window, browse to a directory under your Z drive.If you use Linux, browse to a directory under your
home directory.
Note that: A tutorial_1 subdirectory is created automatically
3.In the field Top-level source type, select > Schematic
4.Click > Next to move to the page Project Settings
3. 3.4 PROJECT SETTINGS PAGE
1.In the field Evaluation Development Board, select > Virtex 6 ML605 Evaluation Platform.
2.In the field Simulator, Select > ISim(VHDL/Verilog).
3.In the field Preferred Language, Select > VHDL.
4.Click > Next to move to the page Project Summary.
5.Click > Finish in the page Project Summary.
Fig 3.6: shows the project location and type
.
Fig 3.7: shows the specific device and project properties
3. 3.5 CREATE A NEW DESIGN
To study how to create a new design, we will design in this section a 2-Input X-OR Gate.The X-OR Function is
defined as: Y = A1 xor B1 = A1B1 + A1B1.
3. 3. 5.1 CREATE A SCHEMATIC SOURCE
1.In ISE Design Suite that appears on the left side of ISE, click on the Design Tab to go to the Design Panel
2.In the Design Panel, right-click on the icon tutorial_ 1 and select > New Source to move to the page Select
Source Type.
3.The page Select Source Type
i) In the field File Name, type my_xor
You can choose another name that does not contain any white spaces
ii) From the Column at the left-side, select Schematic as a Source Type
iii) Tick the option > Add To Project.
iv) Click > Next to move to the page Project Summary
Fig 3.8: shows how to create new source
4.In the page Project Summary, click > Finish.
A Schematic source file "my_xor. sch" is added to the project
3. 3. 5.2 EDIT THE SCHEMATIC FILE
1.In ISE Design Suite, go to the Design Panel and open the source file my_xor.sch by double clicking it
2.In ISE Design Suite, go to the Symbols Panel
3.From the alphabetically ordered symbols appear in the Symbols panel select the required symbols for our
design, and add them to the schematic file
The required Symbols are (Two 2-Input And gates, Two 1-Input Inverters, and One 2-Input Or gates).

Fig 3.9: shows selecting the source type


4.To connect the gates in Schematic file, Select > Add > Wire and use the wires to draw the connections You
might need to Zoom-In the Schematic file to be able to connect the gates.
5.To connect the Input / Output Ports to our design, select Add > I/O Marker and connect two ports to the input
and one to the output.
Both Add > Wire and Add I/O Marker can be found in the panel of the icons appears at the left of the schematic.
6.Rename the Port by double clicking it, selecting Nets and typing the required name, (A1, B1, or Y1).
7.To check the correctness of the Schematic, Select > Tools > Check Schematic
This check Figures out the mistakes such as floating pins or unconnected wires.However, it cannot Figure out a
faulty design.
8.Save the final schematic file "my_xor. sch" which contains the final design.
3.4 SIMULATE DESIGN
In this section, we will simulate our design to verify that it behaves as we expect.We will use the Integrated
Simulator (ISim).
3. 4. 1 ISIM
1.Open Design Panel.In Design Panel View, select > Simulation
2.In Design Panel > Hierarchy, select > my_xor_tst. vhd
3.In Design Panel > Processes > ISim Simulator, Double Click >Simulate Behavioral Model to open the Integrated
Simulator (ISim).
3. 4. 1.2 ISIM WINDOW
i) Zoom-Out to view the whole simulation time, the Default simulation time is 1000 ns,
ii) The Simulator shows the three ports A1, B1 and Y1
iii) Compare the value of Y1 with A1 and B1.Y1 should always equal to A1 X-OR B1
Fig 3.10: shows how to simulate
Fig 3.11: The waveforms result
CHAPTER 4 IMPLEMENTATION
In the past technique [1] the measure of time is wasted by discovering the impossible combination of FF
furthermore numerous single bit FF is utilized.This may expand the complicated nature.So as to decrease the
power MBFF idea is utilized.It portrays that need to recognize a legal placement region for every FF.In first stage,
the reasonable placement regions of a FF connected with diverse pins are discovered focused around the timing
stipulations characterized on the pins.At that point, the legal placement region of the FF can be obtained by
overlapped area of these regions.
Nonetheless, these regions are fit as a diamond shape; it is not simple to recognize the overlapped
region.Accordingly, the overlapped zone can be recognized all the more effectively in the event that it can
change the coordinate arrangement of cells to get rectangular regions.In the second stage, it might want to
manufacture a combination table, which characterizes all combinations of FF keeping in mind the end goal to get
another multi-bit Ffs given by the library.
The flip-flops can be united with the assistance of the table.After the legal placement regions of flip-flops are
discovered and the combination table is fabricated, we can utilize them to merge flip-flops.To accelerate our
project, we will isolate a chip into a few canisters and consolidation flip-flops in a neighborhood bin.
However, the flip-flops in diverse bins might be merge able.In this way, we need to consolidate a few bins into a
bigger bin and repeat this venture until no flip-flop can be fused any longer.In this area, we would detail each
one phase of our technique.In the first subsection, we demonstrate a basic equation to change the original
coordination framework into another one so that a legal placement region for each one flip-flop can be
distinguished all the more effectively.The second subsection shows the flow of building the combination
table.At long last, the substitutions of flip-flops will be depicted in the last subsection.
4.1 TRANSFORMATION OF PLACEMENT SPACE
The equations used to transform coordinate system are shown in (1) and (2).Suppose the location of a point in
the original coordinate system is denoted by (x, y).After coordinate transformation, the new coordinate is
denoted by (x?, y?).In the original transformed equations, each value needs to be divided by the square root of
2, which would induce a longer computation time.Since we only need to know the relative locations of flip-flops,
such computation are ignored in our method.Thus, we use x" and y", to denote the coordinates of transformed
locations.
COMBINATION TABLE
A few flip-flops can be replaced by multi-bit flip-flop.In this proposed methodology, the combination table is
assemble, which is utilized to get achievable flip-flops before substitution.This makes to use for recognizing the
specific flip-flop which will be empowered in active region and cannot be covered.Utilizing this combination
table, the flip-flop can be bit by bit replaced and this makes lessens the multifaceted nature of the
configuration.Since one and only combination of flip-flop need to be considered in each one time, the clock
signal can be successfully decreased.
4.3 BLOCK DIAGRAM AND ITS MODULES
This deals with the block diagram of the proposed method and its modules.

4. 3.1 BLOCK DIAGRAM


The block diagram of the Application of Multi-bit flip-flop using QCL Adder as shown in figure 4.Two inputs are
given to QCL adder.QCL adder are developed by Majority Logic XOR, AND, OR gate.The output of QCL adder is
fed to highest bit "1? finding Algorithm. This Algorithm finds the number of bits and the combination table is
built in order to merge the Flip-flops and it is stored in the Variable register banks.
4. 3. 2 MODULES
This focuses on three different types of modules which are explained below.
4. 3. 2.1 DESIGN AND ANALYSIS OF MULTI-BIT FLIP-FLOPS
This module is utilized to decrease the power utilization by substituting some flip flop with less Multi-Bit flip
flops.We are utilizing the Multi-Bit flip flop rather than more single bit flip flop to expand the clock
synchronization.This will diminish the unnecessary force wastage through the utilization of numerous clock
sinks.
Fig 4.1 Block diagram
4. 3. 2.2 DESIGN OF MEMORY DEVICE USING MULTI-BIT FLIP FLOP
This is the application module to be developed.The memory designed by mainly using the multi-bit flip flops.In
this, power consumption of memory devices is reduced compare to the single bit memory.
4. 3. 2.3 DESIGN AND ANALYSIS OF THE INTEGRATION MODULE
We are integrating all the sub modules and output signals are simulated.The 1-bit, 2-bit, 4-bit and 8-bit Ffs are
created as partitioned assignment as demonstrated in Fig. 3.The two inputs zone and b, is spoken to as input1
and b is spoken to as input2.These two inputs are included and put away in the FF updating.After that it checks
the bits that are accessible in the area.The chosen Ffs are used when it is empowered and yield is shown.This
makes decreases the power and delay in the design.The low power affects in the expense, size, weight,
execution and unwavering quality.
The multiplier application can likewise be carried out in this proposed work.As opposed to including the bits,
reproducing is possible and it is put away in the specific enabled flip-flop.For case, accept that a library just
helps two sorts of flip-flops whose bit widths are 1 and 4 methods the specific flip-flop will be chosen and it will
be empowered in the area and will be in sleep mode (in-active region).
The D-FF is utilized as a part of this proposed work.It gives synchronous information exchange and utilized for
capacity reason.In any case, a dissimilar latch element, a FF just duplicates the information from the data pin to
the yield once for every clock period and does not permit various multiple logic values to be passed in a clock
cycle.Information is exchanged at either the rising or the falling clock edge, contingent upon the flip-flop
setup.Unlike latch, a FF is not level-sensitive, yet rather edge-activated.As it were, information gets put away into
a FF just at the dynamic edge of the clock.The 16 bit FF can likewise be produced as indicated in Fig.4; it
diminishes the power and memory gadgets contrasted with single bit flip lemon.By and large, the snake libraries
comprises AND, XOR as well as dominant part doors.The register banks are utilized to store the bit when it is
enabled.
The D Flip-flop is the edge-triggered variation of the transparent latch.On the rising (typically, albeit negative
edge triggering is possible) edge of the clock, the yield is given the estimation of the D data at that minute.The
yield can be just change at the clock edge, and if the data changes at different times, the yield will be
unaffected.D flip-failures are by a wide margin the most well-known sort of flip-flops and a few gadgets are made
altogether from D flip-flops.They are regularly utilized for shift- registers and input synchronization.
4. 4 OBJECTIVES
1.Reduce the power consumption.
2.To reduce to the area.
3.To reduce the delay and power of a clock network.
4.To control clock skew because of common clock signal.
The above objectives can be achieved by merging several flip-flops and synchronizing with clock signals.
4.5 QUANDARY STATEMENT
The following quandary statement has been identified:
1.Several Flip-flops needs a separate clock signal, hence Power consumption, is high.
2.Since several flip-flops needs a separate clock signal area consumed is also high.
CHAPTER 5 RESULTS
5.1 SIMULATION AND SYNTHESIS OUTPUT
These results contain the simulation and synthesis results for different flip flops and the adder which was
designed as application module.
For a single bit flip-flop when input of clock leading edge is at 0 and the trailing edge is at 1, d flip-flop input is
given as 1, clear input is given as 1and the preset output is given as 1.The output will be the 1; the simulated
waveform was as shown in the Fig. 5. 1.
Fig 5.1: Simulation diagram of single bit flip-flop
For this single bit flip-flop performed synthesis in order to generate the synthesis reports.The RTL schematic for
the single bit flip flop has shown in the below figure.
Fig 5.2: RTL schematic for single bit flip-flop.

For a multibit(2 bit flip-flop) flip-flop when input of clock leading edge is 0 and trailing edge is 1,d1 input is 1 and
d2 input is 0,clear input is 1 and preset input is 0.The output of q1 will be 1 and the output of q2 will be 0 as
shown in the Fig. 5. 3.
The output of D flip-flop will be same as the input only when the clear input is in the higher position depending
on period given to the clock before the simulation input the clock signal varies as shown in the Fig. 5. 1.
The output varies with the change in the input the Fig.shown are some examples.Not only two bit multi-bit flipflop we can also use 4 and 8 bit multi-bit flip-flop.The Fig.below shows the simulation output of 2 multi-bit flipflop.
Fig 5.3: Simulation diagram of multi bit flip-flop
For this multi bit flip-flop performed synthesis in order to generate the synthesis reports.The RTL schematic for
the multi bit flip flop has shown in the below figure.
The following figure shows the synthesis results of double bit flip-flop.
Fig 5.4: shows synthesis output of multi bit flip-flop.
For adder when clock leading edge input is 0 and the trailing edge input is 1, reset input is 1, input for a is
0000000 and the input for b is 01111111.The output is 01111111 as shown in the Fig. 5. 4.
The input is 00000000 for a and the input is 01111111 is for b the two inputs are added by the adder which we are
used in the module.The sum is stored at cout as 01111111.Then the one bit finding algorithm counts the number
of ones in the cout output and shows in the en output.Here for this given inputs the en out is 7 because the
number of ones in this output is 7.Then it enables the corresponding registers to store the ones by choosing the
combination table.
Fig. 5.5: Simulation output of adder
For example if the input is 0000011 for a, if the input is 0000011 for b the output will be 00000110 and the clock
signal is given to the flip flop that is required to show the output.
That the number of ones in that output was 2, this number of ones can be shown by the en input in the code.By
seeing that en value the combination table will activates and corresponding registers will be enabled.That is the
remaining flip flops are in deactivation mode.By this we can reduce the power that is required for the operation
in the system on chip.
Again the values in the registers were resettled and again given as a input to 00000000 and b input as
00011111.Then the output was 00011111, similarly the en output was 5 because the no of ones in the output is
5.Then it checks the combination for 5 and then enables the 1 bit and 4 bit registers in order to store five bit
data.By this we can reduce the power that is required for the operation in the system on chip.
When the synthesis has done for 1,2,3,4 bit flip-flops the synthesis report has analyzed and given the
comparison table below.
Flip-Flop Type
Delay(ns)
Clock Power(W)
1-bit
4. 040
0. 017
2-bit
4. 040
0. 018
4-bit
4. 040
0. 018
8-bit
4. 040
0. 018
Table 5.1: Comparison Table
CHAPTER 6 CONCLUSIONS
This project has proposed a methodology for flip-flop substitution for power reduction in digital integrated
circuit design.The system of flip-flop substitutions is relying upon the combination table, which records the
connections among the flip-flop types.By the rules of substitutions from the combination table, the
incomprehensible combinations of flip-failures won't be viewed as that reductions execution time.Other than
power reduction, the destination of minimizing the aggregate wire length likewise considered to the expense
capacity.The Verilog source code had produced for the application module as indicated in above areas and
simulated utilizing the Isim test system.The single bit and multibit flip-flops source code additionally planned
and reproduced and combined utilizing Xilinx ISE Design suite.This methodology can be appropriate for any
circuit comprising of various flip-flops like counters registers.
BIBLIOGRAPHY

[1] Ya-Ting Shyu, Jai-Ming Lin, Chun-Po Huang, Cheng-Wu Lin, Ying- Zu Lin, and Soon- Jyh Chang, 2013,
Effective and efficient approach for power reduction by using Multi-bit Flip-flops in IEEE transactions on VLSI,
vol.21, no. 4.
[2] H.Kawagachi and T.Sakurai, 1997, A reduced clock-swing flip-flop (RCSFF) for 63% clock power reduction ,
in VLSI Circuits Dig. Tech.Papers Symp. , pp. 9798.
[3] Y.Cheon, P. -H.Ho, A. B.Kahng, S.Reda, and Q.Wang, 2005, Power-aware placement , in Proc.Design Autom.
Conf. , pp. 795800.
[4] Y. -T.Chang, C. -C.Hsu, P. -H.Lin, Y. -W.Tsai and S. -F.Chen, 2010, Post-placement power optimization with
multi-bit flip- flops , in Proc.IEEE/ACM Comput.-Aided Design Int. Conf., SanJose, CA, pp. 218223.
[5] P.Gronowski, W. J.Bowhill, R. P.Preston, M. K.Gowan, and R. L.Allmon, "High- performance microprocessor
design," IEEE J.Solid-State Circuits, vol.33, no.5, pp.676686, May 1998.
[6] L.Chen, A.Hung, H. -M.Chen, E. Y. -W.Tsai, S. -H.Chen, M. -H.Ku, and C. -C.Chen, "Using multi-bit flip-flop for
clock power saving by Design Compiler," in Proc.Synopsys User Group (SNUG), 2010.
[7] J. -T.Yan and Z. -W.Chen, "Construction of constrained multi-bit flip-flops for clock power reduction," in
Proc.ICGCS, pp.675678, 2010.
[8] S. -H.Wang, Y. -Y.Liang, T. -Y.Kuo, and W. -K.Mak, "Power-driven flip-flop merging and relocation," in
Proc.ISPD, pp.107114, 2011.
[9] J.M.Rabaey, A.Chandrakasan, and B.Nikolic, 2003, Digital Integrated Circuits: A Design Perspective, 2nd
ed.Upper Saddle River, NJ: Prentice-Hall
[10] Y.Kretchmer, 2001, "Using multi-bit register inference to save area and power," EE Times Asia.

Sources:
1. CHAPTER 1 INTRO...
i. http://www.virginia.edu/bohr/mse209/chapter1.htm&sa=U&ei=Q9BsVPaDFYT7ig
K314CICw&ved=0CBQQFjAA&usg=AFQjCNFCfASYnenquJT_7ceggAsmK8Krk
A
ii. http://ri.search.yahoo.com/_ylt=A0LEV0dE0GxUgK8Ah5RXNyoA;_ylu=X3oDMT
ByMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416446148/RO=10/RU=http%3a%2f%2fwww.youtube.com%2fwatc
h%3fv%3dYHXadaW_lso/RK=0/RS=_A3zTdxCsRUTOPAf.KPv2zHDq3kiii. http://books.google.com/books?id=vcsKy0Eicf8C&q="CHAPTER+1+INTRODUC
TION"
2. 1 shows the blo...
i. http://www.ijircce.com/upload/2014/ncrtece/IJIRCCE-NCRTECE012.pdf&sa=U&ei=gdBsVNTZAqX0iAK3IH4Cg&ved=0CBQQFjAA&usg=AFQjCNFwzUMdHFO11AyuPis-1FuSFPDhGA
3. If we replace t...
i. http://ijircce.com/upload/2014/icgict14/290_1191.pdf&sa=U&ei=cNBsVKnXMubvi
gLYhYCYBw&ved=0CBQQFjAA&usg=AFQjCNHngJTNbBF25uz0_Da9iH1yoYhoA
4. CHAPTER 2 EXIST...
i. http://www.sfc.wide.ad.jp/thesis/2009/bachelor/mel-bachelorthesis.pdf&sa=U&ei=DdFsVPKEI6WGigLI0IHQAQ&ved=0CBQQFjAA&usg=AFQ
jCNFccyoFpdNo3Ix9rPZIOTfIQF-7wA
5. 1 DESIGN FLOW W...
i. http://ethesis.nitrkl.ac.in/2838/1/project_report_bikash.pdf&sa=U&ei=TtFsVJz6D
Yf0igKz64GwDg&ved=0CBQQFjAA&usg=AFQjCNFmxJ6bJ_YBy9kkdynOlyEOp

15thg
6. The first integ...
i. http://en.wikipedia.org/wiki/Very-largescale_integration&sa=U&ei=s9FsVIHpG4HcgwSthILYDQ&ved=0CBQQFjAA&us
g=AFQjCNHAKqQuhmuOzdsl0izJPOENDsE-HA
ii. http://ri.search.yahoo.com/_ylt=A0LEVym00WxU95wAwchXNyoA;_ylu=X3oDMT
ByMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416446517/RO=10/RU=http%3a%2f%2fwww.princeton.edu%2f~ach
aney%2ftmve%2fwiki100k%2fdocs%2fVery-largescale_integration.html/RK=0/RS=vqWB7csQ98JHnmYUsuUUMjXBUE0iii. http://books.google.com/books?id=GChkPAAACAAJ&q="The+first+integrated+ci
rcuits+held+only+a+few+devices%2c+perhaps+as+many+as+ten+diodes%2c+tr
ansistors%2c+resistors+and+capacitors%2c+making+it+possible+to+fabricate+o
ne+or+more+logic+gates+on+a+single+device"
7. Now known respe...
i. http://www.scribd.com/doc/133971097/floating-pointmultiplier&sa=U&ei=qNFsVP7eDoOiNpHxgpgE&ved=0CBQQFjAA&usg=AFQjC
NEtwqB8tliPoatzhK2RtdYtJsTgVA
8. 1: VLSI design ...
i. http://ri.search.yahoo.com/_ylt=A0LEV07Q0WxUbQYAly1XNyoA;_ylu=X3oDMT
ByMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416446545/RO=10/RU=http%3a%2f%2fwww.ece.uic.edu%2f~dutt%
2fcourses%2fece565%2flectnotes.html/RK=0/RS=aYM760CJTgF6tz1xSbxL5M1G6UIii. http://books.google.com/books?id=ADdk8xXqkgC&q="1%3a+VLSI+design+flow"
9. 3 BASIC CONCEPT...
i. http://www.scribd.com/doc/235453455/29/BasicConcepts&sa=U&ei=79FsVLfWOYeUNrj9g6gI&ved=0CBQQFjAA&usg=AFQjCN
F590-_a37kfu0nx1J97Immkb34JQ
10. The power dissi...
i. http://www.sestindia.org/wp-content/uploads/2013/02/Volume-2Number-1PP-0713.pdf&sa=U&ei=99FsVNu5MompNpyxhIAP&ved=0CBQQFjAA&usg=AFQjCNE
hxyJJ7V8R2zqVdIjnisDLVt36sA
11. 1 STATIC POWER
i. https://www.scribd.com/doc/247066978/134/STATIC-POWERDISSIPATION&sa=U&ei=dFsVPOOJsKWNq3ogvAJ&ved=0CBQQFjAA&usg=AFQjCNGaapS3dQWjO_Fy
Ndd1fVacGm5yfA
ii. http://ri.search.yahoo.com/_ylt=A0LEV0P30WxUrBkA5wFXNyoA;_ylu=X3oDMT

ByMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416446584/RO=10/RU=http%3a%2f%2fwww.ece.umaine.edu%2fec
e%2ffiles%2f2012%2f05%2freport.pdf/RK=0/RS=GM7KZxVfROmaYYnbyWeNR
ExRDM8iii. http://books.google.com/books?id=mknM_nqqiAEC&q="1+STATIC+POWER"
12. 2 DYNAMIC POWER
i. http://cseweb.ucsd.edu/~gdhiman/Gaurav_files/CSE237A/TopicResearch/DPMPolicies.htm&sa=U&ei=GNJsVLG6NoSbNsb5ggN&ved=0CBQQFjAA&usg=AFQjCNGzc7uvME2atgxWfkIv_8yXqkDk7A
ii. http://ri.search.yahoo.com/_ylt=A0LEVwwZ0mxUjVcAdFBXNyoA;_ylu=X3oDMT
ByMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416446618/RO=10/RU=http%3a%2f%2fwww.hdplc.org%2fmodules%2fstandards%2fcenelec.html/RK=0/RS=.P9OboulGjfO3YPr
XrjOAB2cpfMiii. http://books.google.com/books?id=hb228DV5lEoC&q="2+DYNAMIC+POWER"
13. a) Switching po...
i. http://www.meanwellusa.com/faq.html&sa=U&ei=OtJsVNSkOISkNoKcggM&ved=0CBQQFjAA&usg=AFQjCNFwyXjIdGyEvgil5sIP9NpzYlX6-w
ii. http://ri.search.yahoo.com/_ylt=AwrBT8E90mxUeX0AS5BXNyoA;_ylu=X3oDMT
ByMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416446654/RO=10/RU=http%3a%2f%2fwww.youtube.com%2fwatc
h%3fv%3dR7aVAXo1fZo/RK=0/RS=1Gti6ed5YO2ilpg6IRKtUqHpauMiii. http://books.google.com/books?id=NXMJNAVXkzoC&q="a)+Switching+power"
14. b) Internal pow...
i. https://www.energystar.gov/ia/partners/prod_development/revisions/downloads/c
omputer/Power_Supply_Efficiency_Test_Protocol_R5.pdf%3F0b551475&sa=U&ei=MNJsVNHoEYynNualg9AK&ved=0CBQQFjAA&usg=AFQjCNFhi
UE8iumfNea-d487quhmBEYCFQ
ii. http://ri.search.yahoo.com/_ylt=AwrBT.Mx0mxU5vYANNJXNyoA;_ylu=X3oDMTB
yMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416446641/RO=10/RU=http%3a%2f%2fwww.thomann.de%2fgb%2f
active_nearfield_monitors.html/RK=0/RS=Nms_2mzgcpNyQNmNFBzBWKwFEe
Aiii. http://books.google.com/books?id=vEKbhuFVhJEC&q="b)+Internal+power"
15. 2. 3. 2.
i. http://www.mathsisfun.com/algebra/factoring.html&sa=U&ei=OdJsVOP8H4uqgw
SkwoH4Ag&ved=0CBQQFjAA&usg=AFQjCNESGGXsVfaK2WyjwC8EdAnNcDR
Rug
ii. http://ri.search.yahoo.com/_ylt=A0LEV1070mxUByQAZhhXNyoA;_ylu=X3oDMT
ByMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw--

/RV=2/RE=1416446652/RO=10/RU=http%3a%2f%2fandroidadvices.com%2fupd
ate-samsung-galaxy-s-i9000-to-gingerbread-android-2-32%2f/RK=0/RS=VGChoqDUA4ZrfAwizm6HZ8gRaZkiii. http://books.google.com/books?id=V5HxAwAAQBAJ&q="2+3+2"
16. 1 SWITCHING POW...
i. http://www.linear.com/docs/27893&sa=U&ei=QNJsVMiwJ8ifNsSAgfAM&ved=0C
B8QFjAA&usg=AFQjCNEqT3Bhk_GfY0fdaZR5FejOqaIBgQ
ii. http://ri.search.yahoo.com/_ylt=AwrBT_xB0mxUiykAv5ZXNyoA;_ylu=X3oDMTBy
MG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416446657/RO=10/RU=http%3a%2f%2fwww.carlogavazzisales.co
m%2fspd30_60.htm/RK=0/RS=id_SycSREYI5a9CRabUHebfoqc4iii. http://books.google.com/books?id=XBl3AHz8yREC&q="1+SWITCHING+POWE
R"
17. Switching power...
i. http://aboutme.samexent.com/classes/spring09/ee5327/Synlab7_S09.pdf&sa=U
&ei=XNJsVMGiO4HdggTiIPACg&ved=0CBQQFjAA&usg=AFQjCNHBKNwamv0te7TZ-VT2j-ltCL5G4A
18. 2. 3. 2.
i. http://www.mathsisfun.com/algebra/factoring.html&sa=U&ei=VtJsVNqHM4SmNo
7EgpAP&ved=0CBQQFjAA&usg=AFQjCNE8yAad4QWNMktpdROSzdJw7YPKr
Q
ii. http://ri.search.yahoo.com/_ylt=AwrBT8lS0mxUbKQAtZFXNyoA;_ylu=X3oDMTB
yMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416446676/RO=10/RU=http%3a%2f%2fandroidadvices.com%2fupd
ate-samsung-galaxy-s-i9000-to-gingerbread-android-2-32%2f/RK=0/RS=bVRXLEWSOKdqbPpvVnDABii6AZAiii. http://books.google.com/books?id=V5HxAwAAQBAJ&q="2+3+2"
19. 2 INTERNAL POWE...
i. /images?q=%222+INTERNAL+POWER%22&hl=en&sa=X&oi=image_result_gro
up&ei=WdJsVLTfC4mqgwTwiIDQDw&ved=0CCcQsAQ
ii. http://ri.search.yahoo.com/_ylt=A0LEVz9Z0mxUOBAAHmpXNyoA;_ylu=X3oDMT
ByMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416446682/RO=10/RU=http%3a%2f%2fwww.seapets.co.uk%2fprod
ucts%2faquarium-supplies%2ffish-tank-equipment%2faquariumfilters%2finternal-power-filters%2finterpet-pf-1-internal-powerfilter.html/RK=0/RS=AsISbbrXB_89JTaoywnMuXYJFZciii. http://books.google.com/books?id=oUPPea6_rZYC&q="2+INTERNAL+POWER"
20. 3 SHORT-CIRCUIT...
i. http://www.acsellab.com/Projects/lowpower/references/Nose_Sakurai_00.pdf&sa=U&ei=ctJsVPe

GOImpNpyxhIAP&ved=0CBQQFjAA&usg=AFQjCNFVrKTUEb8ASGdKOwfvxA42WcjsA
ii. http://books.google.com/books?id=hnpsfjsk6u4C&q="3+SHORTCIRCUIT+POWER"
21. 4 LEAKAGE POWER
i. http://books.google.com/books?id=DukuBXDQcS4C&q="4+LEAKAGE+POWER"
22. The leakage pow...
i. http://oa.upm.es/966/1/YASEER_ARAFAT_DURRANI.pdf&sa=U&ei=h9JsVPSnA
YHwggSMqISYCA&ved=0CBQQFjAA&usg=AFQjCNGrxFTfieKNLGMXfgpKOOix
i8dmcg
23. The leakage pow...
i. http://www.slideshare.net/AnilYadav55/power-estimation-by-anil-kryadav&sa=U&ei=jNJsVOjAMIaaNvP_gNAH&ved=0CBQQFjAA&usg=AFQjCNG
WT8jEVzzzioTjV_FHR25Cp-kmGA
24. a) Reverse-bias...
i. http://www.slideshare.net/AnilYadav55/power-estimation-by-anil-kryadav&sa=U&ei=lNJsVIWZD4angwSIyYKoAg&ved=0CBQQFjAA&usg=AFQjCN
GjV5P4h-G8PVa9aMzVIStcOWIxHw
25. b) Sub threshol...
i. http://www.slideshare.net/AnilYadav55/power-estimation-by-anil-kryadav&sa=U&ei=i9JsVPGbNoGkNpWQhKgO&ved=0CBYQFjAA&usg=AFQjCN
GnERoF9PjW5UFkR0dRkJpSNhacZQ
ii. http://ri.search.yahoo.com/_ylt=A0LEV1mI0mxUOwoArKtXNyoA;_ylu=X3oDMTB
yMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416446729/RO=10/RU=http%3a%2f%2fwww.ijettjournal.org%2fvolu
me-4%2fissue-5%2fIJETTV4I5P87.pdf/RK=0/RS=45RhAWFtF_dkb6ITD_KBBgEjSGc26. 4 OVERVIEW OF P...
i. https://www.scribd.com/doc/181232993/VLSI-Circuits-Power-Estimationpdf&sa=U&ei=lNJsVKmSE4SggwTE4HAAg&ved=0CBQQFjAA&usg=AFQjCNFsIuhMN5f9Wano51KSx2-Ln_K4xQ
27. 5 HIGH-LEVEL PO...
i. http://www.cs.ucr.edu/~dalton/if/capacitance/02C_1.PDF&sa=U&ei=tdJsVLviCIud
No-zg5AE&ved=0CBQQFjAA&usg=AFQjCNF9Pz3kCFXeUlqnZ0J2ajyIbVWvRw
ii. http://books.google.com/books?id=zFaQ7nrWqEoC&q="5+HIGHLEVEL+POWER+ESTIMATION"
28. 3: A usage of h...
i. http://ethesis.nitrkl.ac.in/2838/1/project_report_bikash.pdf&sa=U&ei=4tJsVO6_F4
ahNp-EgvAI&ved=0CBQQFjAA&usg=AFQjCNF4ypT6zA3Jj61rzqXYLnXHCvZKg

29. 4: H igh level ...


i. http://ethesis.nitrkl.ac.in/2838/1/project_report_bikash.pdf&sa=U&ei=EtNsVKX2J
cekNteEg5gF&ved=0CBYQFjAA&usg=AFQjCNFX64lvW6EmAGpDLRl6MdvQtF
xLzA
30. This kind of po...
i. https://www.scribd.com/doc/181232993/VLSI-Circuits-Power-Estimationpdf&sa=U&ei=LtNsVODUMMqXNriGgvAG&ved=0CBQQFjAA&usg=AFQjCNGP
CzHwFAFNSVzGg12Ea6utX4JzFA
31. CHAPTER 3 TOOLS...
i. http://www.dural.de/fileadmin/user_upload/images/produkte/systeme/p-track/GBP-Track-Installation-ManualDURAL.pdf&sa=U&ei=EtNsVPj9O8ulNpj6g5gB&ved=0CBQQFjAA&usg=AFQjC
NEj29VN4daxhR44GjX9iLVGm0Y9TA
32. 1 NON-POWER TOO...
i. http://www.scribd.com/doc/150216941/Estimation-of-Power-in-VLSI-CircuitUsing-VariousSimulation&sa=U&ei=M9NsVIeVCYijNqjbguAI&ved=0CBQQFjAA&usg=AFQjCN
H7AcQwDgjooGYTgvsRVDGiDzDvCg
33. The subsequent ...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=XNNs
VKnjCIWpogSinYGICQ&ved=0CBQQFjAA&usg=AFQjCNEaLk4rTAmyAnghJwg
VG2vRKJOfhg
34. 1 SIMULATION TO...
i. https://www.scribd.com/doc/245465778/25/Simulationtool&sa=U&ei=StNsVNW_I8mkNsibgdgB&ved=0CBwQFjAA&usg=AFQjCNGIcT
BsI3tn1TkmrVG1pjE15I01zw
ii. http://ri.search.yahoo.com/_ylt=AwrBT7dL02xUcpUATCdXNyoA;_ylu=X3oDMTB
yMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416446923/RO=10/RU=http%3a%2f%2fwww.mcs.anl.gov%2fevents
%2fworkshops%2fiasds11%2fpapers%2fPID1994239.pdf/RK=0/RS=1KbA6PMB
zDuwHyE3wQ_oPvfm3owiii. http://books.google.com/books?id=o94eHihXoIYC&q="1+SIMULATION+TOOL"
35. Simulation is d...
i. https://www.scribd.com/doc/181232993/VLSI-Circuits-Power-Estimationpdf&sa=U&ei=WdNsVJajH9LnoAS_tYCwAg&ved=0CBQQFjAA&usg=AFQjCNH
WQIk-iY4xhJJyA5-7T85k2Db0Fg
36. The basic work ...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=adNsV
POMLsX8oAT8uYL4DA&ved=0CBQQFjAA&usg=AFQjCNFGgT6MQMke66MARXWglr0Wg-D3g

37. a) Compiling so...


i. http://ethesis.nitrkl.ac.in/2804/1/209ec2126.pdf&sa=U&ei=b9NsVNWUGM_loAT
AxoLwDg&ved=0CBQQFjAA&usg=AFQjCNHWpu1AlgJH3mdS50ZOyWHyA45dA
38. b) Running the ...
i. http://ethesis.nitrkl.ac.in/2804/1/209ec2126.pdf&sa=U&ei=ctNsVKTGJdWyoQSto
ICACQ&ved=0CBQQFjAA&usg=AFQjCNGzEva0TFJ_eGmkFymMeRYVrJLi7A
39. This two step a...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=eNNs
VPynCoHnoAT2u4L4CQ&ved=0CBQQFjAA&usg=AFQjCNGBeebq0UimuQNHn
qQb-Fu3-vUQCA
40. 1: shows the de...
i. http://www.altera.com/literature/hb/externalmemory/emi_intro_about_flow.pdf&sa=U&ei=ddNsVMKvN9XXoATZ7oGgAw&ve
d=0CBQQFjAA&usg=AFQjCNHuSLRAnCSgY7svcPkO1EgUf4MjMA
ii. http://ri.search.yahoo.com/_ylt=A0LEV1p202xU_ZUA6spXNyoA;_ylu=X3oDMTB
yMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416446967/RO=10/RU=http%3a%2f%2fwww.ieee.li%2fpdf%2fessa
y%2fpractical_magnetic_design.pdf/RK=0/RS=QN8dQrb9V06WpeiirrDReHx_N0
Aiii. http://books.google.com/books?id=XrQ77rg0HuEC&q="1%3a+shows+the+desig
n+flow"
41. 2 SYNTHESIS TOO...
i. http://static.tue.nl/uploads/media/2014_SE420759_Blom_M_stage.pdf&sa=U&ei
=ctNsVLe9MMHxoASqgYHQBg&ved=0CBQQFjAA&usg=AFQjCNEgRmXZvjvvA
D_b0uOw5kU_RAn_IA
ii. http://ri.search.yahoo.com/_ylt=A0LEVyx102xUmvMAMbRXNyoA;_ylu=X3oDMT
ByMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416446966/RO=10/RU=http%3a%2f%2fwww.sunburstdesign.com%2fpapers%2fCummingsSNUG2009SJ_SVA_Bind.pdf/RK=0/RS=ns
0LqYabE1MIHT3ZHcU8fK0Uot8iii. http://books.google.com/books?id=CQI9QvlF-d4C&q="2+SYNTHESIS+TOOL"
42. Design Compiler...
i. http://wenku.baidu.com/view/617a5286bceb19e8b8f6baa8.html%3Fre%3Dview&
sa=U&ei=edNsVID7OozpoATA6YHIDA&ved=0CBQQFjAA&usg=AFQjCNFy6x3
mAbgin1aUgAL-xSR1lqpfVA
ii. http://ri.search.yahoo.com/_ylt=AwrBT6N402xUPcgAccVXNyoA;_ylu=X3oDMTB
yMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416446968/RO=10/RU=http%3a%2f%2fwww.vlsiip.com%2fdc_shell
%2fdcug_1.pdf/RK=0/RS=yW1K1Ag9imngDZsruI4Oqq.oshU-

43. It comprises to...


i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=gdNsV
IXiA9HtoASRiIGwDw&ved=0CBQQFjAA&usg=AFQjCNHMAyr3CTsj039RimhgbypgohfoA
44. The Design Comp...
i. https://www.scribd.com/doc/181232993/VLSI-Circuits-Power-Estimationpdf&sa=U&ei=k9NsVJ_ZG46togSv2YGoDw&ved=0CBQQFjAA&usg=AFQjCNG
G25IGWV8sTYggupzo6_Qhj0-3Ig
45. Some of the pro...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=mdNs
VI_qBMarogSz7YDYAg&ved=0CBQQFjAA&usg=AFQjCNHSTfaTDMylQLDxKGtPlILULoyjg
46. HDL compiler re...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=pNNs
VP2zOY-yoQSJwIHIBw&ved=0CBQQFjAA&usg=AFQjCNG4r4skd3w9_busBnQp4_GapVZ-Q
47. The Verilog or ...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=mtNsV
Lb1NMbToATzIGIBw&ved=0CBQQFjAA&usg=AFQjCNGkSGKDmsAabWDpWRUBD_g6eeR6S
w
48. The appropriate...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=pdNsV
IqIDsXfoASUmoGoBw&ved=0CBQQFjAA&usg=AFQjCNGfLknT6S4_Cef1Iu_Q7oazfNXuA
49. 2 POWER TOOLS
i. https://visualstudiogallery.msdn.microsoft.com/b1ef7eb2-e084-4cb8-9bc706c3bad9148f&sa=U&ei=rdNsVMqYFMT9oQSV14CgAw&ved=0CBQQFjAA&us
g=AFQjCNGpG5dIq9sTMi3161gx1LjleAJqMQ
ii. http://ri.search.yahoo.com/_ylt=AwrBT0et02xULygAefdXNyoA;_ylu=X3oDMTBy
MG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416447022/RO=10/RU=http%3a%2f%2fwww.kmart.com%2fmy-firstcraftsman-workbench-with-2-power-tools%2fp004W003978317002P/RK=0/RS=uKswB04gMH3lBTXP8zpmexyygqEiii. http://books.google.com/books?id=YSSpl0oOrq8C&q="2+POWER+TOOLS"
50. This thesis inv...
i. http://www.sestindia.org/wp-content/uploads/2013/02/Volume-2Number-1PP-0713.pdf&sa=U&ei=ttNsVNLzM8ewogT7_ILQCQ&ved=0CBQQFjAA&usg=AFQjCN
FlwOer2VePNc2sLU_X9g0_KPc26g
51. The power produ...

i.

http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=vNNsV
IjbIdPkoASLwIKoDA&ved=0CBQQFjAA&usg=AFQjCNHIOn1b56DzKfJwvnf9t1hx
ZSAjfQ
52. Synopsys power ...
i. http://www.scribd.com/doc/150216941/Estimation-of-Power-in-VLSI-CircuitUsing-VariousSimulation&sa=U&ei=udNsVKFtluigBLaqgpAG&ved=0CBQQFjAA&usg=AFQjCN
G4RLkRc0BQS-U1ow5l9DplrgCJUg
53. Analyzing power...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=wdNs
VLK7JsOyogSO0ICICg&ved=0CBQQFjAA&usg=AFQjCNHu6LPyfei3lo72DfJGF
Okbpje7Vg
54. Improvements ma...
i. http://www.scribd.com/doc/150216941/Estimation-of-Power-in-VLSI-CircuitUsing-VariousSimulation&sa=U&ei=xNNsVI6TDJCvogT_24J4&ved=0CBQQFjAA&usg=AFQjC
NF4Umf5kP_12vXNrJkdlanQ0c2L7w
55. Not only these ...
i. http://www.sestindia.org/wp-content/uploads/2013/02/Volume-2Number-1PP-0713.pdf&sa=U&ei=ydNsVL3QPIm0oQT0s4LwCQ&ved=0CBQQFjAA&usg=AFQjC
NHxr5HQamizAMHikFJbuH2H7XHh9g
56. Power consumpti...
i. http://www.sestindia.org/wp-content/uploads/2013/02/Volume-2Number-1PP-0713.pdf&sa=U&ei=1NNsVKLAGNDpoAS1gIHYCw&ved=0CBQQFjAA&usg=AFQj
CNENX--NxNCzehFyVxDT03-cejFUjw
57. The tools used ...
i. http://www.sestindia.org/wp-content/uploads/2013/02/Volume-2Number-1PP-0713.pdf&sa=U&ei=3NNsVPPbC5b6oQS344KgCA&ved=0CBQQFjAA&usg=AFQjC
NF6zzfqn3p2c2MB2BMrMmw5KSe2yA
58. a) RTL Level - ...
i. http://www.sestindia.org/wp-content/uploads/2013/02/Volume-2Number-1PP-0713.pdf&sa=U&ei=49NsVPP4FcsogTv_4CICA&ved=0CBQQFjAA&usg=AFQjCNH8KcB0jm8JWQs6MF78pQ3RV
YUhsg
59. b) Gate Level ...
i. http://ethesis.nitrkl.ac.in/2838/1/project_report_bikash.pdf&sa=U&ei=8NNsVKH2
LZLkoATotYL4Aw&ved=0CBQQFjAA&usg=AFQjCNHjO-3qse5KEFSirLuRYcUipAnBA
60. 1 POWER COMPILE...
i. http://pdf.aminer.org/000/285/870/power_compiler_a_gate_level_power_optimiza

tion_and_synthesis_system.pdf&sa=U&ei=6tNsVN6BG873oASgn4CAAw&ved=0
CBQQFjAA&usg=AFQjCNHEqDK3n-ESvcdgUgfFRq1iDp0NWw
ii. http://ri.search.yahoo.com/_ylt=AwrBT6Pr02xUgVsA6rhXNyoA;_ylu=X3oDMTBy
MG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416447083/RO=10/RU=http%3a%2f%2fwww.serc.iisc.ernet.in%2fgr
aduationtheses%2fks_mar07.pdf/RK=0/RS=9FPjZ3wjb7U21DLr6T9WmtHKvVw61. The Power Compi...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=4dNsV
MC3KpGzogT40oCQBA&ved=0CBQQFjAA&usg=AFQjCNEmy8wB5uQM6DPd2
Y9lmu0rGy37rQ
62. Working in conj...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=7NNs
VIKINor1oATJqoGoBw&ved=0CBQQFjAA&usg=AFQjCNGPrhCXcgC_vTywVvF
eTcYaCQWSgw
63. It contains all...
i. http://ethesis.nitrkl.ac.in/2838/1/project_report_bikash.pdf&sa=U&ei=_NNsVOjeO
Nj6oQT_lYGgBg&ved=0CBQQFjAA&usg=AFQjCNHQJIKjB69N0qKZy3JBpeI0YJ
otZA
64. Power Compiler ...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=A9RsV
PaIEom4oQTliYCgDA&ved=0CBQQFjAA&usg=AFQjCNF7PUbGlbEvJH3N0cm1R_ByKIEmQ
65. 3. 2. 2.
i. http://lesswrong.com/lw/jr/how_to_convince_me_that_2_2_3/&sa=U&ei=C9RsVN
imJM7XoATtIDgDg&ved=0CBQQFjAA&usg=AFQjCNEWZjbbciMNHmjwTutMNKs17OeT4A
ii. http://ri.search.yahoo.com/_ylt=AwrBTzwJ1GxURPMA5D5XNyoA;_ylu=X3oDMT
ByMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416447114/RO=10/RU=http%3a%2f%2fen.wikipedia.org%2fwiki%2f
List_of_EC_numbers_%28EC_3%29/RK=0/RS=q04RRdNrNorWSOfJqNe..KEO
YdUiii. http://books.google.com/books?id=zmylBAAAQBAJ&q="3+2+2"
66. POWER COMPILER ...
i. http://pages.hmc.edu/harris/class/e158/manuals/pwcug.pdf&sa=U&ei=E9RsVP3CcK3oQTM9IC4Dg&ved=0CBQQFjAA&usg=AFQjCNG4inPQzu1Yov8ZbfByGDZ
fkwfXjA
67. Power Compiler ...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=HdRs
VM-

dEM3ToAS5t4HQDw&ved=0CBQQFjAA&usg=AFQjCNH24c5bSrvmZ9nsobAHS
f8dTWqtkg
68. At each level o...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=BtRsV
JGpN4XooASShIDYDQ&ved=0CBQQFjAA&usg=AFQjCNGiHfDXqGwOjOgPW8
W2lGMfLa4hBA
69. Simulation and ...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=DNRs
VPLvC46zoQS354HwCg&ved=0CBQQFjAA&usg=AFQjCNFfurNy71QSEGe76SsT9E-mbj1zA
70. The higher the ...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=E9RsV
NHYFNK1ogSosILgCg&ved=0CBQQFjAA&usg=AFQjCNFhpRJF1zVpfhn2tXsW
_HOgBWvXHg
71. The following F...
i. http://books.google.com/books?id=1yVYAAAAYAAJ&pg=PA800&lpg=PA800&dq
=%22The+following+Fig+4%22&source=bl&ots=87dWXn_QRg&sig=U1OQyf35a
qz-TbKMC7Oys0-OPrw&hl=en&sa=X&ei=HNRsVOLZHoXwoATOIHIBQ&ved=0CBQQ6AEwAA
ii. http://ri.search.yahoo.com/_ylt=A0LEVy4e1GxUi9kA3BBXNyoA;_ylu=X3oDMTBy
MG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416447135/RO=10/RU=http%3a%2f%2fmirror.tallysolutions.com%2
fDownloads%2fTallyPDFs%2fFAQs%2fList%2520of%2520tasks%2520to%2520
be%2520performed%2520when%2520you%2520get%2520the%2520error%252
0Memory%2520Access%2520Violation.pdf/RK=0/RS=aS0O.cPpXnIf1whkQgOCj
X5.nYMiii. http://books.google.com/books?id=1yVYAAAAYAAJ&q="The+following+Fig+4"
72. Cell internal p...
i. http://ethesis.nitrkl.ac.in/2804/1/209ec2126.pdf&sa=U&ei=HtRsVN-gA8uogT5r4LgAQ&ved=0CBQQFjAA&usg=AFQjCNENfTdW_On6SqYv9_9HeTIyCU
0XDg
73. To report or op...
i. http://ethesis.nitrkl.ac.in/2804/1/209ec2126.pdf&sa=U&ei=KNRsVNb5O8u4ogTS
ooKIDw&ved=0CBQQFjAA&usg=AFQjCNHiSJdrzZS3E_gzjUeE6-ux0TaAUw
74. This toggle inf...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=LdRsV
LmlH82vogTnhYHgDA&ved=0CBQQFjAA&usg=AFQjCNGGxXSuyzhPwQQ0QN
fowKs48lzFhg
75. Power Compiler ...
i. http://wendang.baidu.com/view/72db575d3b3567ec102d8a96.html&sa=U&ei=N9

RsVNqGYmvoQTPgIHwCw&ved=0CBQQFjAA&usg=AFQjCNEIM9pvkDw7JeJ8P359Ub
aUhlVoLg
76. Static probabil...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=PtRsVI
mlCZGoogS8_IDwBA&ved=0CBQQFjAA&usg=AFQjCNGfwaeHVKbB6jtmLGOK
9ahGjpafYw
77. It is calculate...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=RdRs
VJ3_FoHuoASbvIBQ&ved=0CBQQFjAA&usg=AFQjCNGQs-_835CNwxuk3xp_Dj98dgjOg
78. Toggle rate is ...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=TtRsV
PFbxu6gBLXngeAH&ved=0CBQQFjAA&usg=AFQjCNF1YHjK3f6wnPEX7_Q0Tv
A-g2wD2Q
79. The following F...
i. http://books.google.com/books?id=1yVYAAAAYAAJ&pg=PA800&lpg=PA800&dq
=%22The+following+Fig+4%22&source=bl&ots=87dWXn_QUh&sig=QgYjciJKjJI
zAOMXl8UFJvnhoBc&hl=en&sa=X&ei=O9RsVJ_3LI6oogS584IQ&ved=0CBQQ6
AEwAA
ii. http://ri.search.yahoo.com/_ylt=A0LEV1Y81GxU_20Af_hXNyoA;_ylu=X3oDMTB
yMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416447164/RO=10/RU=http%3a%2f%2fmirror.tallysolutions.com%2
fDownloads%2fTallyPDFs%2fFAQs%2fList%2520of%2520tasks%2520to%2520
be%2520performed%2520when%2520you%2520get%2520the%2520error%252
0Memory%2520Access%2520Violation.pdf/RK=0/RS=5IszirwE7O77ccesBP5iXF
H3zwciii. http://books.google.com/books?id=1yVYAAAAYAAJ&q="The+following+Fig+4"
80. The flow of dat...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=StRsV
OjxPJbooAS2qoKQBg&ved=0CBQQFjAA&usg=AFQjCNFV6lS0QlgHaN713eoT5
mfKpEZFaQ
81. Before starting...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=VdRsV
LO3EcXkoATM2YHwDQ&ved=0CBQQFjAA&usg=AFQjCNFb_gubUMmF9hY9GNGC433PiQw7A
82. The power metho...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=StRsV
OvqNoO3ogTNt4KwDQ&ved=0CBQQFjAA&usg=AFQjCNFXH_CL5PqyMZFOJCTP4OiZZVVtQ

83. Static probabil...


i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=W9Rs
VJWTN8TmoATf44CABQ&ved=0CBQQFjAA&usg=AFQjCNEmfFRQIbkDYrsUKr
IjSaEQnQha_A
84. Power Compiler ...
i. http://wendang.baidu.com/view/72db575d3b3567ec102d8a96.html&sa=U&ei=YN
RsVKUFIK1oQTpsoFY&ved=0CBQQFjAA&usg=AFQjCNFxWouD9_9hOXdTuJh5qsP
mR-tTLQ
85. Static probabil...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=XtRsV
PfgF4PcoASHg4HoAQ&ved=0CBQQFjAA&usg=AFQjCNGCxEYJHmgCMpEs7B7-6B1pyOALQ
86. It is calculate...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=ZtRsV
OieF9jToAS464CgCg&ved=0CBQQFjAA&usg=AFQjCNHMbkotIfSukNJwEIo_tP
7zMej1Aw
87. Toggle rate is ...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=bdRsV
I3yMdDloATGqYKoDQ&ved=0CBQQFjAA&usg=AFQjCNESvIbymMenw6NXbuA
wInt5RrosHA
88. The following F...
i. http://www.chegg.com/homework-help/questions-and-answers/prove-followingfig-3-28-fig-3-28-ce-bisects-bf-fig-3-28-bf-ce-bisect-bc-efq2028619&sa=U&ei=c9RsVKTVL8epogSFvYJw&ved=0CBQQFjAA&usg=AFQjC
NHDdi_GPH-1NuRa_6ad48rbo6uyrg
ii. http://ri.search.yahoo.com/_ylt=A0LEVzB01GxUSnIA2hVXNyoA;_ylu=X3oDMTB
yMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416447221/RO=10/RU=http%3a%2f%2fwww.databasedev.co.uk%2
freport_printing.html/RK=0/RS=Ap4Lv10W_kPCIQHJV90Ir2Nb7ugiii. http://books.google.com/books?id=O6nmAAAAMAAJ&q="The+following+Fig+3"
89. The flow of dat...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=ftRsVL
nSEM3ToAS5t4HQDw&ved=0CBQQFjAA&usg=AFQjCNFS_Mp1VlDbPuU89LX
Ugjs7ODHnxw
90. Before starting...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=g9RsV
ID1PJKtogSI3IHQDA&ved=0CBQQFjAA&usg=AFQjCNFmT5WSIqpn2tPs1rIHZ
OAg9kE6og
91. The power metho...

i.

http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=iNRsV
O_MDcWzoQSIYHgDw&ved=0CBQQFjAA&usg=AFQjCNEuoCfYGBgKC9WgXfBcOD8arO4PIw
92. The following F...
i. http://www.chegg.com/homework-help/questions-and-answers/prove-followingfig-3-28-fig-3-28-ce-bisects-bf-fig-3-28-bf-ce-bisect-bc-efq2028619&sa=U&ei=i9RsVNCDHcrpoASN4LgCA&ved=0CBQQFjAA&usg=AFQjCNFYRvv2FMdy8YKaFY6oMoK7P6YBRg
ii. http://ri.search.yahoo.com/_ylt=A0LEV1.I1GxUPuMAyU1XNyoA;_ylu=X3oDMTB
yMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416447241/RO=10/RU=http%3a%2f%2fwww.databasedev.co.uk%2
freport_printing.html/RK=0/RS=qpD1K5uiptjVR9RevytqIe5MYPMiii. http://books.google.com/books?id=O6nmAAAAMAAJ&q="The+following+Fig+3"
93. The flow of dat...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=mNRs
VMXCC4WzogT2s4LIDw&ved=0CBQQFjAA&usg=AFQjCNE16UssQFVxjSQq4R
KFgGLVLjeaNw
94. Before starting...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=nNRs
VLeQNYL8oQTIqICQDA&ved=0CBQQFjAA&usg=AFQjCNGa14miyNKQhxe8d1
p8m9C_k44inQ
95. The power metho...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=ntRsV
La5A8ewogT7_ILQCQ&ved=0CBQQFjAA&usg=AFQjCNHK7FDET7qKf8lM7Kkh
IhWR5AkSdQ
96. As seen in the ...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=q9RsV
PC4DYiuogSb1YH4Cw&ved=0CBQQFjAA&usg=AFQjCNF7S9TBfR887nIZw2DI
q-0-4kwB1g
97. The main purpos...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=rdRsV
MqwKcbwoATN5YK4Aw&ved=0CBQQFjAA&usg=AFQjCNFZIfLorUMz6GZjL6S7
xDldnR9hQQ
98. The formatted d...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=r9RsV
LWdAcTxoATnzIHIAQ&ved=0CBQQFjAA&usg=AFQjCNE1HQWdn1EVLBGG7d
xDfwBWT4NXJw
99. The Forward-ann...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=vtRsV
PWJDdLroATM4oKYDg&ved=0CBQQFjAA&usg=AFQjCNFPB8Kc4y-

NaaGBgUfWfX4CYd5rCw
100.This forward an...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=xdRsV
FHMr6IE2_mBiAM&ved=0CBQQFjAA&usg=AFQjCNHdfPrPMv9cgm1S0gmrw_jCK36tA
101.Gate level simu...
i. http://ethesis.nitrkl.ac.in/2804/1/209ec2126.pdf&sa=U&ei=ztRsVO3xBsbloASqo4
HwDg&ved=0CBQQFjAA&usg=AFQjCNGp0zWfV7ylEbnjTp_kPedzmd3I6Q
102.This forward-an...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=1NRs
VMCxMZCMoQSv0IDIBw&ved=0CBQQFjAA&usg=AFQjCNF4Pz_VAimF69lmmt
6tqVyBYWYEmw
103.This file conta...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=wNRs
VL6CB83noAS84CYCg&ved=0CBQQFjAA&usg=AFQjCNH1wCG2RMxJOZkaHZKVYoMpuZgyg
104.During power an...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=xdRsV
OH9KYT6oQSVloHgDg&ved=0CBQQFjAA&usg=AFQjCNHCzHnQId5hoZS5k_OZTQmiBbLqw
105.During power op...
i. http://wenku.baidu.com/view/75d840f4ba0d4a7302763a3f.html&sa=U&ei=0NRs
VIuKGIzioATl74GAAw&ved=0CBQQFjAA&usg=AFQjCNHgXBgP6pQjj7hWmgoa
w8FDmdgB0w
106.3. 3. 1 WINDOWS
i. http://en.softonic.com/s/ophcrack-3-3-1/windows7&sa=U&ei=5NRsVIrJMIytogTo7ICQDA&ved=0CBQQFjAA&usg=AFQjCNH_L82
jIW_6xMyff3RL4rB-PY6y0Q
ii. http://books.google.com/books?id=psRdAwAAQBAJ&q="3.+3.+1+WINDOWS"
107.To start ISE, d...
i. http://www.xilinx.com/itp/xilinx10/books/docs/qst/qst.pdf&sa=U&ei=6tRsVOGkBN
bnoASzooDYBA&ved=0CBQQFjAA&usg=AFQjCNEEjbDpDohuYekFkRvdLUNs
DYqqDQ
ii. http://ri.search.yahoo.com/_ylt=AwrBT8zq1GxUSbsAHulXNyoA;_ylu=X3oDMTBy
MG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416447339/RO=10/RU=http%3a%2f%2fwww.xilinx.com%2fitp%2fxil
inx10%2fbooks%2fdocs%2fqst%2fqst.pdf/RK=0/RS=iqQsJ3OvCUPqBH5l6m.Fw
yeIzBg108.Or select Start...

i.

http://www.liacs.nl/~stefanov/courses/DITE/tutorials/ISE_Quick_Start_Guide.pdf&
sa=U&ei=BNVsVO_kOI2togTh4YDAAg&ved=0CBQQFjAA&usg=AFQjCNF3UbM
g512nTlYDiIlReSd0MaZX-A
109.3 CREATE A NEW ...
i. http://www.peachpit.com/articles/article.aspx%3Fp%3D1434902%26seqNum%3
D3&sa=U&ei=JdVsVJreD9LnoAS_tYCwAg&ved=0CBQQFjAA&usg=AFQjCNFPk
dsAwmJVk3-OUtdpls8QjMjquA
ii. http://ri.search.yahoo.com/_ylt=A0LEV1Yl1WxUfFIA3pZXNyoA;_ylu=X3oDMTBy
MG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416447398/RO=10/RU=http%3a%2f%2fmsdn.microsoft.com%2fenus%2flibrary%2fbb384467.aspx/RK=0/RS=Ogsd.oBdSS_5H6R1Br0b.PPli6oiii. http://books.google.com/books?id=TlwtYfjhVa8C&q="3+CREATE+A+NEW+PRO
JECT"
110.To create a new...
i. http://www.liacs.nl/~stefanov/courses/DITE/tutorials/ISE_Quick_Start_Guide.pdf&
sa=U&ei=LdVsVI2LG4yrogSGkIKAAw&ved=0CBQQFjAA&usg=AFQjCNFfIa6Xp
6YZbPpHTf51lO677x4lGg
111.In the field Pr...
i. http://www.liacs.nl/~stefanov/courses/DITE/tutorials/ISE_Quick_Start_Guide.pdf&
sa=U&ei=StVsVOygB4iegwTZkYPwCg&ved=0CBQQFjAA&usg=AFQjCNEZZBF
vAzfxYcGDxGyRlOG8VG5YUg
112.You can choose ...
i. http://www.liacs.nl/~stefanov/courses/DITE/tutorials/ISE_Quick_Start_Guide.pdf&
sa=U&ei=UtVsVNKeFMahNr_CgoAC&ved=0CBQQFjAA&usg=AFQjCNEMe2Dxj
uuZWJfJ2zeD7P8tqmlGxQ
113.If you use Wind...
i. http://www.liacs.nl/~stefanov/courses/DITE/tutorials/ISE_Quick_Start_Guide.pdf&
sa=U&ei=RtVsVIHxO4ebgwTomYTADQ&ved=0CBQQFjAA&usg=AFQjCNFzKj5
mpQKiYrrJV2Fov99yYwfb-g
114.If you use Linu...
i. http://www.liacs.nl/~stefanov/courses/DITE/tutorials/ISE_Quick_Start_Guide.pdf&
sa=U&ei=TtVsVISnKoGqgwTyo4PAAg&ved=0CBQQFjAA&usg=AFQjCNEXzr4D
7jq5FIjymxxXkLBlUvgZaQ
115.Note that: A tu...
i. http://www.liacs.nl/~stefanov/courses/DITE/tutorials/ISE_Quick_Start_Guide.pdf&
sa=U&ei=QtVsVLmlPMqhNs7qgfgN&ved=0CBQQFjAA&usg=AFQjCNHNA4k4v0
0_GOTc-huGOoawAA2iUA
116.In the field To...
i. http://www.liacs.nl/~stefanov/courses/DITE/tutorials/ISE_Quick_Start_Guide.pdf&
sa=U&ei=S9VsVNDTF8ifgwSLo4DoDA&ved=0CBQQFjAA&usg=AFQjCNGinnfL

V0KJQqV6Ks7XaFT2eYoKZQ
117.Click > Next to...
i. http://www.liacs.nl/~stefanov/courses/DITE/tutorials/ISE_Quick_Start_Guide.pdf&
sa=U&ei=W9VsVJz3KYHdggTiIPACg&ved=0CBQQFjAA&usg=AFQjCNGwGwFqhRuXSa2vRZWXWQr0CbsLr
Q
118.4 PROJECT SETTI...
i. http://www.hbm.com.pl/pdf/b0871.pdf&sa=U&ei=ZtVsVPuZMcyogwTjvoTQDQ&v
ed=0CBQQFjAA&usg=AFQjCNE32deQfE7aKyVXhQwK0S5RE4zJCw
119.In the field Si...
i. http://www.liacs.nl/~stefanov/courses/DITE/tutorials/ISE_Quick_Start_Guide.pdf&
sa=U&ei=bdVsVPGSBcGLgwTx4YCYAQ&ved=0CBQQFjAA&usg=AFQjCNEClc
XM0Opzo7A5zhg2sYINyqco_w
120.In the field Pr...
i. http://www.liacs.nl/~stefanov/courses/DITE/tutorials/ISE_Quick_Start_Guide.pdf&
sa=U&ei=bdVsVNS1H8mgNpWVgZgM&ved=0CBQQFjAA&usg=AFQjCNEMHN
huk0eW9pAfgXroR5BLxT81Ng
121.Click > Next to...
i. http://www.liacs.nl/~stefanov/courses/DITE/tutorials/ISE_Quick_Start_Guide.pdf&
sa=U&ei=ddVsVKfhE4qpgwTdqYFo&ved=0CBQQFjAA&usg=AFQjCNEvYpEacq
tFVyPDG1VIfDTzVMBJpQ
122.5 CREATE A NEW ...
i. https://twitter.com/onlyadamsmith/status/418545325414965248&sa=U&ei=mtVs
VMLxFsSiNueqhIgC&ved=0CBQQFjAA&usg=AFQjCNG4EmZupcZBmSsOMaEfx3P4tUvGA
ii. http://books.google.com/books?id=hIRUAAAAMAAJ&q="5+CREATE+A+NEW+D
ESIGN"
123.3. 3. 5.
i. http://en.wikipedia.org/wiki/3%25E2%2580%25933%25E2%2580%25935_defen
se&sa=U&ei=n9VsVPzvPMelgwTzkoD4DA&ved=0CBQQFjAA&usg=AFQjCNFT
QELaDz5zrGEewWLaj4gz037cwg
ii. http://ri.search.yahoo.com/_ylt=AwrBT8Kd1WxUofgAnudXNyoA;_ylu=X3oDMTB
yMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416447518/RO=10/RU=http%3a%2f%2fwww.joomla.org%2fannoun
cements%2frelease-news%2f5567-joomla-3-3-5released.html/RK=0/RS=dzHQVCdYzWBi64RyYDMKCq9Xq5Yiii. http://books.google.com/books?id=zmylBAAAQBAJ&q="3+3+5"
124.The page Select...
i. http://www.liacs.nl/~stefanov/courses/DITE/tutorials/ISE_Quick_Start_Guide.pdf&
sa=U&ei=wdVsVOeTL8WlNuHBgSA&ved=0CBQQFjAA&usg=AFQjCNEX1Wnba

rm_3dKJwINPSeEwJvc5yg
125.i) In the field...
i. http://www.liacs.nl/~stefanov/courses/DITE/tutorials/ISE_Quick_Start_Guide.pdf&
sa=U&ei=xNVsVOikBsWoNrmsgZgC&ved=0CBQQFjAA&usg=AFQjCNGB2miLi
MZOwWpnzOVDKl9-Z2FT_w
126.You can choose ...
i. http://www.liacs.nl/~stefanov/courses/DITE/tutorials/ISE_Quick_Start_Guide.pdf&
sa=U&ei=ytVsVMCGGseiNvDkgbgB&ved=0CBQQFjAA&usg=AFQjCNGnjAM3y
UZD6l19pcB6Y414UMCjxQ
127.iii) Tick the o...
i. http://www.liacs.nl/~stefanov/courses/DITE/tutorials/ISE_Quick_Start_Guide.pdf&
sa=U&ei=0NVsVK_QDcyagwT2hYOACw&ved=0CBQQFjAA&usg=AFQjCNENs
Vg6LOPmDnKe-iTQiGaxgddsNQ
128.iv) Click > Nex...
i. http://www.liacs.nl/~stefanov/courses/DITE/tutorials/ISE_Quick_Start_Guide.pdf&
sa=U&ei=1tVsVMicLcecgwSysoOwCg&ved=0CBQQFjAA&usg=AFQjCNHf88R1
Xox-JAIGUWzH7oimOcm9MQ
129.In the page Pro...
i. http://www.liacs.nl/~stefanov/courses/DITE/tutorials/ISE_Quick_Start_Guide.pdf&
sa=U&ei=39VsVPX0FYKdNs_ngbAJ&ved=0CBQQFjAA&usg=AFQjCNGA39MC
kDJ2adu-mOdrYm450CG0bw
130.3. 3. 5.
i. http://en.wikipedia.org/wiki/3%25E2%2580%25933%25E2%2580%25935_defen
se&sa=U&ei=3tVsVIyhJIOngwTw64LYDw&ved=0CBQQFjAA&usg=AFQjCNFmE
qg4ZtrH8rniWhs5R-KkT2BY-A
ii. http://ri.search.yahoo.com/_ylt=AwrBTzrg1WxUNRsAOuBXNyoA;_ylu=X3oDMT
ByMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416447585/RO=10/RU=http%3a%2f%2fwww.joomla.org%2fannoun
cements%2frelease-news%2f5567-joomla-3-3-5released.html/RK=0/RS=pWmrWcuyye9TSxDhRZdVxnuLjF8iii. http://books.google.com/books?id=zmylBAAAQBAJ&q="3+3+5"
131.sch by double c...
i. http://www.liacs.nl/~stefanov/courses/DITE/tutorials/ISE_Quick_Start_Guide.pdf&
sa=U&ei=7tVsVLm5IIelgwSq9YPABA&ved=0CBYQFjAA&usg=AFQjCNFkQn5sI
3DQ9_corQbafainqaBzAg
132.In ISE Design S...
i. http://www.liacs.nl/~stefanov/courses/DITE/tutorials/ISE_Quick_Start_Guide.pdf&
sa=U&ei=_NVsVOG1AcOjNsqEg8gB&ved=0CBQQFjAA&usg=AFQjCNGIrUTptq
g7NtJx7mVem4Iqw6eBxw
133.However, it can...

i.

http://www.liacs.nl/~stefanov/courses/DITE/tutorials/ISE_Quick_Start_Guide.pdf&
sa=U&ei=K9ZsVNrYFomagwTc9YO4Bw&ved=0CBQQFjAA&usg=AFQjCNHvwA
pKDSXkz0rDQ37wlP_YNCDwTw
134.4 SIMULATE DESI...
i. https://www.avrfreaks.net/forum/simulating-micrcontrollerdesigns&sa=U&ei=RtZsVKjTHIaeNpz0gsgK&ved=0CBQQFjAA&usg=AFQjCNF0
x1NS-UUfd-lsZMRUoJuKWEuwlA
ii. http://books.google.com/books?id=D9UAAAAYAAJ&q="4+SIMULATE+DESIGN"
135.We will use the...
i. http://www.liacs.nl/~stefanov/courses/DITE/tutorials/ISE_Quick_Start_Guide.pdf&
sa=U&ei=UtZsVP7XFoWVNtPYggM&ved=0CBQQFjAA&usg=AFQjCNEaAcbUVEBxDZ1vHldWNkTLn5t-mQ
136.3. 4. 1 ISIM
i. http://books.google.com/books?id=yz8oAAAAYAAJ&pg=PA80&lpg=PA80&dq=%
223.+4.+1+ISIM%22&source=bl&ots=Ug27HMjamQ&sig=I5cs9FEw8uHHpGIOu
YI0iC5yqNQ&hl=en&sa=X&ei=WNZsVI-3A8qhNs7qgfgN&ved=0CBQQ6AEwAA
137.Open Design Pan...
i. https://www.facebook.com/menadesignresearch/posts/280188198764613&sa=U
&ei=YNZsVMDZDMSVNqzXAQ&ved=0CBQQFjAA&usg=AFQjCNFXrs0_EEaAN
ukzHKIQRpBOdDfNWA
ii. http://books.google.com/books?id=TXuEFSmypwsC&q="Open+Design+Panel"
138.In Design Panel...
i. http://www.liacs.nl/~stefanov/courses/DITE/tutorials/ISE_Quick_Start_Guide.pdf&
sa=U&ei=VdZsVKW6AsOcNtL9g4gC&ved=0CBQQFjAA&usg=AFQjCNFCRV4s
Zy7Lgviw9acz4WCHFw3ZVQ
139.In Design Panel...
i. http://www.liacs.nl/~stefanov/courses/DITE/tutorials/ISE_Quick_Start_Guide.pdf&
sa=U&ei=ZdZsVO35OsGqgwTkoDgAQ&ved=0CBQQFjAA&usg=AFQjCNHpy5UUNST1AJno1V8Amioc4txgnQ
140.3. 4. 1.
i. http://en.wikipedia.org/wiki/1_%25E2%2588%2592_2_%252B_3_%25E2%2588
%2592_4_%252B_%25E2%258B%25AF&sa=U&ei=gdZsVPSiHoGkgwTJ9YHID
g&ved=0CBQQFjAA&usg=AFQjCNFjF12qjNBMnVYkBhYKTCJSk3LswA
ii. http://ri.search.yahoo.com/_ylt=AwrBTzd_1mxUMbMATxBXNyoA;_ylu=X3oDMT
ByMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416447744/RO=10/RU=http%3a%2f%2fwordpress.org%2fdownload
%2f/RK=0/RS=PPQ7UNLROQv8V691R8o.qOKDh3Iiii. http://books.google.com/books?id=Tu3gPD90eVQC&q="3+4+1"
141.2 ISIM WINDOW

i.

http://www.ni.com/pdf/manuals/sbug.pdf&sa=U&ei=itZsVNqxK4ulgwSf44PQCQ&
ved=0CB8QFjAA&usg=AFQjCNE--grl8lRjmADme7lr6LKnDkszbw
142.ii) The Simulat...
i. http://www.liacs.nl/~stefanov/courses/DITE/tutorials/ISE_Quick_Start_Guide.pdf&
sa=U&ei=j9ZsVNWLFuP1igLk64DIDg&ved=0CBQQFjAA&usg=AFQjCNHxr6gHv
X9Vf9iHhtP4e3JYwlfQng
143.iii) Compare th...
i. http://www.liacs.nl/~stefanov/courses/DITE/tutorials/ISE_Quick_Start_Guide.pdf&
sa=U&ei=mNZsVJKNCIz1iQLv7ICoCw&ved=0CBQQFjAA&usg=AFQjCNE1vNG
K7gyeq23v8075VzZwmGWlIA
144.10: shows how t...
i. http://books.google.com/books?id=RJPaBAAAQBAJ&pg=PA201&lpg=PA201&dq
=%2210:+shows+how+to+simulate%22&source=bl&ots=k3t4XmQLcX&sig=W5u
4zorCd1VGGtyOOwZTm9OJgqE&hl=en&sa=X&ei=mtZsVOvHHbGrjAKWroHoC
Q&ved=0CBQQ6AEwAA
ii. http://books.google.com/books?id=RJPaBAAAQBAJ&q="10%3a+shows+how+to
+simulate"
145.CHAPTER 4 IMPLE...
i. http://www.cityofmeadville.org/Meadville%2520Comp%2520Plan/CHAPTER%25
204.pdf&sa=U&ei=rtZsVPvIBZCaigKmkYC4Dw&ved=0CBQQFjAA&usg=AFQjC
NH6Zttk2s6c5MM7EFbfFqz9-FYlbQ
ii. http://ri.search.yahoo.com/_ylt=AwrBT.Gu1mxUsTwAC.FXNyoA;_ylu=X3oDMTB
yMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416447791/RO=10/RU=http%3a%2f%2fwww.hq.nasa.gov%2foffice
%2fcodez%2fplans%2fHandbook00%2fchap4.html/RK=0/RS=chRLbCAO.2KQw
xev7wuAszqnVl8iii. http://books.google.com/books?id=c_fHAgAAQBAJ&q="CHAPTER+4+IMPLEME
NTATION"
146.This deals with...
i. http://ri.search.yahoo.com/_ylt=A0LEVxtx12xUho0AbDtXNyoA;_ylu=X3oDMTBy
MG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416447986/RO=10/RU=http%3a%2f%2fijetae.com%2ffiles%2fVolu
me4Issue1%2fIJETAE_0114_19.pdf/RK=0/RS=z6rAwdTYSEnCUK.8YOJegFsH
eCY147.1 BLOCK DIAGRAM
i. /images?q=%221+BLOCK+DIAGRAM%22&hl=en&sa=X&oi=image_result_group
&ei=ZtdsVO7dOs-yoQSxkoKgDQ&ved=0CBQQsAQ
ii. http://ri.search.yahoo.com/_ylt=AwrBT85n12xU7WwAOYpXNyoA;_ylu=X3oDMT
ByMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416447976/RO=10/RU=http%3a%2f%2fwww.atmel.com%2fImages

%2fdoc0776.pdf/RK=0/RS=mOaAONuP04OQDcTzLE4IJZBc.JEiii. http://books.google.com/books?id=d7ft6F8ZUdcC&q="1+BLOCK+DIAGRAM"
148.Two inputs are ...
i. http://ri.search.yahoo.com/_ylt=A0LEVyx412xUqdQAPktXNyoA;_ylu=X3oDMTBy
MG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416447993/RO=10/RU=http%3a%2f%2fijetae.com%2ffiles%2fVolu
me4Issue1%2fIJETAE_0114_19.pdf/RK=0/RS=1ZjufOhCA3Oxcl2qt5_bL7hS1V8
149.QCL adder are d...
i. http://ri.search.yahoo.com/_ylt=A0LEV1qC12xUN8IA9EpXNyoA;_ylu=X3oDMTB
yMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448003/RO=10/RU=http%3a%2f%2fijetae.com%2ffiles%2fVolu
me4Issue1%2fIJETAE_0114_19.pdf/RK=0/RS=FmOqveamVOEWAJcJCfSF5fz.
LkY150.4. 3. 2 MODULES
i. http://nufw.sourcearchive.com/documentation/2.4.32/modules.html&sa=U&ei=b9dsVImvGtjpoASyo4CgBA&ved=0CBQQFjAA&usg=
AFQjCNEPQqD-PIGpvvo9raFui8_K0MC9Xg
ii. http://ri.search.yahoo.com/_ylt=AwrBT8Rt12xULRIAGm9XNyoA;_ylu=X3oDMTB
yMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416447982/RO=10/RU=http%3a%2f%2ffr.wikipedia.org%2fwiki%2f
Drupal/RK=0/RS=1bN_OXYGZnhH09YG36xKQiO1Ptsiii. http://books.google.com/books?id=bL7xAAAAMAAJ&q="4.+3.+2+MODULES"
151.This focuses on...
i. http://ri.search.yahoo.com/_ylt=AwrBT8d112xUnLsA_eVXNyoA;_ylu=X3oDMTBy
MG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416447990/RO=10/RU=http%3a%2f%2fijetae.com%2ffiles%2fVolu
me4Issue1%2fIJETAE_0114_19.pdf/RK=0/RS=Ep1M59Lk8lL_vUFZyB9hAsioIv8
152.4. 3. 2.
i. http://www.imdb.com/title/tt1032846/&sa=U&ei=f9dsVMCPNMfooATHr4HIBg&ve
d=0CBQQFjAA&usg=AFQjCNFCfbthu8ka9P2wIOc6fPcDGuSwJQ
ii. http://ri.search.yahoo.com/_ylt=AwrBT8x912xUdmAA.vxXNyoA;_ylu=X3oDMTBy
MG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416447998/RO=10/RU=http%3a%2f%2fwww.youtube.com%2fwatc
h%3fv%3dlRlzM4a1ll0/RK=0/RS=JG.g5HbykVv80hhnKJQNqaFDWx0iii. http://books.google.com/books?id=zmylBAAAQBAJ&q="4+3+2"
153.1 Block diagram
i. /images?q=%221+Block+diagram%22&hl=en&sa=X&oi=image_result_group&ei=
mNdsVIODHYKSoQTkq4DgCQ&ved=0CBQQsAQ

ii. http://ri.search.yahoo.com/_ylt=A0LEVw.Y12xUDlYAZLJXNyoA;_ylu=X3oDMTBy
MG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448025/RO=10/RU=http%3a%2f%2fwww.atmel.com%2fImages
%2fdoc0776.pdf/RK=0/RS=RVRQ75qSvGURcVJvQPtVqU6vrxwiii. http://books.google.com/books?id=d7ft6F8ZUdcC&q="1+Block+diagram"
154.4. 3. 2.
i. http://www.imdb.com/title/tt1032846/&sa=U&ei=otdsVOHSE4izoQSPm4KgBQ&v
ed=0CBQQFjAA&usg=AFQjCNEeZD7V-C6BQqxcaY9gFJlrqBfAgQ
ii. http://ri.search.yahoo.com/_ylt=A0LEVzSi12xUSPgAzlVXNyoA;_ylu=X3oDMTBy
MG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448035/RO=10/RU=http%3a%2f%2fwww.youtube.com%2fwatc
h%3fv%3dlRlzM4a1ll0/RK=0/RS=f_pSShbV8F9Qvnuh3qDdWczTzskiii. http://books.google.com/books?id=zmylBAAAQBAJ&q="4+3+2"
155.This is the app...
i. http://www.ijetae.com/files/Volume4Issue1/IJETAE_0114_19.pdf&sa=U&ei=oNds
VLugGpbooAS2qoKQBg&ved=0CBQQFjAA&usg=AFQjCNG09mG37ZNIA2vwW
h-RNHLQBcGX_g
ii. http://ri.search.yahoo.com/_ylt=AwrBT9yh12xUe_wADEtXNyoA;_ylu=X3oDMTBy
MG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448033/RO=10/RU=http%3a%2f%2fijetae.com%2ffiles%2fVolu
me4Issue1%2fIJETAE_0114_19.pdf/RK=0/RS=J_bu71YEXuDP06mS9avlkrvMY
n4156.The memory desi...
i. http://ri.search.yahoo.com/_ylt=AwrBT6Km12xUOPcAmbZXNyoA;_ylu=X3oDMT
ByMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448039/RO=10/RU=http%3a%2f%2fijetae.com%2ffiles%2fVolu
me4Issue1%2fIJETAE_0114_19.pdf/RK=0/RS=KslbsAuGXb45k_17swj_5kRA1_
s157.4. 3. 2.
i. http://www.imdb.com/title/tt1032846/&sa=U&ei=utdsVIG2A8WzoQSIYHgDw&ved=0CBQQFjAA&usg=AFQjCNGmumDpFNqZduhuqhjtHJxZStnxjA
ii. http://ri.search.yahoo.com/_ylt=A0LEV1q612xUxGwAlttXNyoA;_ylu=X3oDMTBy
MG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448059/RO=10/RU=http%3a%2f%2fwww.youtube.com%2fwatc
h%3fv%3dlRlzM4a1ll0/RK=0/RS=D2rTETusvd7pUJdq1gZcY6UQIGkiii. http://books.google.com/books?id=zmylBAAAQBAJ&q="4+3+2"
158.We are integrat...
i. http://ri.search.yahoo.com/_ylt=A0LEVzHF12xUry0ARqVXNyoA;_ylu=X3oDMTB
yMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448069/RO=10/RU=http%3a%2f%2fijetae.com%2ffiles%2fVolu

me4Issue1%2fIJETAE_0114_19.pdf/RK=0/RS=qfMp2CnxRAbCQAkBY4SLog6U
Mc8159.4. 4 OBJECTIVES
i. http://www.compton.edu/facultystaff/jmmartinez/docs/Math-150/Section%252044.pdf&sa=U&ei=OthsVIHeFIKzggTy8ILQAw&ved=0CBQQFjAA&usg=AFQjCNHy
aVc0tgkK5MpKj7kFMZ4leqjnbg
ii. http://ri.search.yahoo.com/_ylt=AwrBT8c82GxUZdUAjQ1XNyoA;_ylu=X3oDMTB
yMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448189/RO=10/RU=http%3a%2f%2fwww.ign.com%2fwikis%2fs
uper-paper-mario%2fChapter_4Prologue/RK=0/RS=iKT3YlvPxLUki4v8ekW1CsQvFb4iii. http://books.google.com/books?id=mOtmY76k3QoC&q="4.+4+OBJECTIVES"
160.Reduce the powe...
i. http://ieeexplore.ieee.org/xpls/abs_all.jsp%3Farnumber%3D4382154&sa=U&ei=
RdhsVJbZFMifgwSLo4DoDA&ved=0CBQQFjAA&usg=AFQjCNHXkaDE1rhldZyHh6NYMjzsbNHng
ii. http://ri.search.yahoo.com/_ylt=A0LEVyBD2GxUligAAfFXNyoA;_ylu=X3oDMTBy
MG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448195/RO=10/RU=http%3a%2f%2fwww.makeuseof.com%2fta
g%2f5-ways-to-reduce-the-power-consumption-of-yourcomputer%2f/RK=0/RS=_KOu.z_fTs_ywfVeVVPB.ocw4Tsiii. http://books.google.com/books?id=t7RDjagG1FAC&q="Reduce+the+power+con
sumption"
161.To reduce to th...
i. http://www.ijetae.com/files/Volume4Issue1/IJETAE_0114_19.pdf&sa=U&ei=Uths
VLnG8WagwSL64OICg&ved=0CBQQFjAA&usg=AFQjCNFUq403UcCU8IGSxetjlSG
6WjBxeA
162.To reduce the d...
i. http://ri.search.yahoo.com/_ylt=AwrBTztG2GxUGE0ApylXNyoA;_ylu=X3oDMTBy
MG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448199/RO=10/RU=http%3a%2f%2fijetae.com%2ffiles%2fVolu
me4Issue1%2fIJETAE_0114_19.pdf/RK=0/RS=tORqh82cxEAyS4UsixcmI_K2km
A163.To control cloc...
i. http://www.academia.edu/6995730/Clock_Power_Reduction_Using_Merged_Flip
_Flops_Technique&sa=U&ei=UdhsVMXlGsWbgwTCqYGQDw&ved=0CBQQFjA
A&usg=AFQjCNGrloIeowqhG0t4RyH6hY7MXllnQQ
ii. http://ri.search.yahoo.com/_ylt=A0LEVw5O2GxULBUA1UBXNyoA;_ylu=X3oDMT
ByMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw--

/RV=2/RE=1416448207/RO=10/RU=http%3a%2f%2fijetae.com%2ffiles%2fVolu
me4Issue1%2fIJETAE_0114_19.pdf/RK=0/RS=3Rz42_qeLs_oROzY60w2d3b.Jk
k164.The above objec...
i. http://ri.search.yahoo.com/_ylt=AwrBT9pS2GxU0I0AlWZXNyoA;_ylu=X3oDMTB
yMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448211/RO=10/RU=http%3a%2f%2fijetae.com%2ffiles%2fVolu
me4Issue1%2fIJETAE_0114_19.pdf/RK=0/RS=EifMhLJgkYCzPwxZ7FQzHbT5d
9M165.Several Flip-fl...
i. http://www.academia.edu/6995730/Clock_Power_Reduction_Using_Merged_Flip
_Flops_Technique&sa=U&ei=W9hsVMoC4KWNrWHgNgI&ved=0CBQQFjAA&usg=AFQjCNHwAQrkasuZnUiGaGBBn1e
kYTW-xw
ii. http://ri.search.yahoo.com/_ylt=A0LEV1tb2GxUie0ArQBXNyoA;_ylu=X3oDMTBy
MG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448220/RO=10/RU=http%3a%2f%2fijetae.com%2ffiles%2fVolu
me4Issue1%2fIJETAE_0114_19.pdf/RK=0/RS=TD5FOYxBSgeppWCHMBMs0o
VsUww166.Since several f...
i. http://www.academia.edu/6995730/Clock_Power_Reduction_Using_Merged_Flip
_Flops_Technique&sa=U&ei=ZNhsVKWfMIWrgwSM2oHQAw&ved=0CBQQFjAA
&usg=AFQjCNFT-aYNNIL3lIG3A_yE3-A3k71fhw
ii. http://ri.search.yahoo.com/_ylt=AwrBT.Vl2GxUcDwAYglXNyoA;_ylu=X3oDMTBy
MG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448230/RO=10/RU=http%3a%2f%2fijetae.com%2ffiles%2fVolu
me4Issue1%2fIJETAE_0114_19.pdf/RK=0/RS=V8eHti8C3A2eX1invjr2Q6zFAvA
167.CHAPTER 5 RESUL...
i. http://scholar.lib.vt.edu/theses/available/etd-7398152720/unrestricted/Chapter5.pdf&sa=U&ei=YdhsVI6nNMaagwTwsIP4Cg&ved=
0CBQQFjAA&usg=AFQjCNHwfUZwemAqlu4CnYktLgNTFdpzxw
ii. http://ri.search.yahoo.com/_ylt=A0LEV0pi2GxUq20AsW1XNyoA;_ylu=X3oDMTB
yMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448226/RO=10/RU=http%3a%2f%2fwww.fhwa.dot.gov%2fpublic
ations%2fresearch%2finfrastructure%2fstructures%2f98088%2fresults.cfm/RK=0
/RS=Nyh6y9ujpH8ruDWcZ5vQHM5zYPAiii. http://books.google.com/books?id=uzDiCkNjLZ8C&q="CHAPTER+5+RESULTS"
168.shown are some ...
i. http://ri.search.yahoo.com/_ylt=AwrBT8id2GxUj5EAkbNXNyoA;_ylu=X3oDMTBy

MG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448285/RO=10/RU=http%3a%2f%2fwww.wavesradios.com%2fr
adios.html/RK=0/RS=fwYA9xfYDlYxqtEz2tQ2oyrmtIsii. http://books.google.com/books?id=j1rumkgnNWEC&q="shown+are+some+exam
ples"
169.1: Comparison T...
i. /images?q=%221:+Comparison+Table%22&hl=en&sa=X&oi=image_result_grou
p&ei=bdlsVOLvH4rmoASIzYLgBg&ved=0CBQQsAQ
ii. http://ri.search.yahoo.com/_ylt=AwrBT4dr2WxUrR4AF31XNyoA;_ylu=X3oDMTB
yMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448492/RO=10/RU=http%3a%2f%2fwww.popular.com%2fen%2
fbusiness/RK=0/RS=.XAixa.EBjI5DOr.7jhFMdcio44iii. http://books.google.com/books?id=98VotiQppbwC&q="1%3a+Comparison+Table
"
170.CHAPTER 6 CONCL...
i. http://www.fao.org/docrep/005/y3796e/y3796e0a.htm&sa=U&ei=eNlsVIOMNIfho
ASN64KoCw&ved=0CBQQFjAA&usg=AFQjCNG_ygvT0lqleUMQVJ_FUFcosmN
UmA
ii. http://ri.search.yahoo.com/_ylt=AwrBT8J52WxUw5sAGHpXNyoA;_ylu=X3oDMT
ByMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448505/RO=10/RU=http%3a%2f%2fscholar.lib.vt.edu%2ftheses
%2favailable%2fetd-5289717181%2funrestricted%2fVA_Chap6.pdf/RK=0/RS=RThruHkAzUZL7.7ImM7iD.r
pVqgiii. http://books.google.com/books?id=gNt9uC1MYl0C&q="CHAPTER+6+CONCLUS
IONS"
171.21, no. 4.
i. https://www.aeaweb.org/issue.php%3Fdoi%3D10.1257/jep.21.4&sa=U&ei=rdlsV
KK5KcOoogS6uICYDQ&ved=0CBQQFjAA&usg=AFQjCNHOSFGAX1WVksMHT
xocXHK5Cj-FWw
ii. http://ri.search.yahoo.com/_ylt=A0LEV1yq2WxUsrwAsL9XNyoA;_ylu=X3oDMTB
yMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448555/RO=10/RU=http%3a%2f%2fdepts.washington.edu%2fis
ei%2fiyc%2f21.4_woods.pdf/RK=0/RS=02H7bV5b.6DU4Udh_qNW9RpFv2oiii. http://books.google.com/books?id=ltKFdQbtdUIC&q="21%2c+no+4"
172.Kawagachi and T...
i. http://www.linkedin.com/in/kenjikawaguchi&sa=U&ei=stlsVP7dKcu0oQS4hoKYC
A&ved=0CBYQFjAA&usg=AFQjCNGmTuCrlKvu9aPqIUs8WVB5MadZvA
ii. http://ri.search.yahoo.com/_ylt=AwrBT86z2WxUw70ALxxXNyoA;_ylu=X3oDMTB
yMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw--

/RV=2/RE=1416448563/RO=10/RU=http%3a%2f%2fwww.chemistry.titech.ac.jp
%2f~kawaguchi%2fpublications.html/RK=0/RS=47I7ypoBr6kzgH5DM9Umzpog7
qw173.Sakurai, 1997, ...
i. http://www.idosi.org/mejsr/mejsr20(12)14/163.pdf&sa=U&ei=p9lsVNaiGsPVoATr
5oL4BA&ved=0CBQQFjAA&usg=AFQjCNFGW2svVsbg6Z2gByIke_0UJRDzKg
174.Papers Symp. , ...
i. http://ijcer.org/index.php/ojs/article/download/493/231&sa=U&ei=r9lsVLeWF4yro
gSGkIKAAw&ved=0CBQQFjAA&usg=AFQjCNHlEtLd8Hru2qsPURVbKYJ7DvgCQ
175.Cheon, P. -H.
i. http://www.geocities.ws/pho888/PID717193.pdf&sa=U&ei=t9lsVOeYL46zoQS35
4HwCg&ved=0CBQQFjAA&usg=AFQjCNGR-TzahXEoTfnsxSB8wpvmUmW4nA
ii. http://ri.search.yahoo.com/_ylt=AwrBTza42WxUPyoAcrtXNyoA;_ylu=X3oDMTBy
MG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448569/RO=10/RU=http%3a%2f%2fwww.lerner.ccf.org%2fmolg
en%2fstark%2f/RK=0/RS=hxqE5ocMK2feo15ORO60zTxu5oIiii. http://books.google.com/books?id=w1Qy4fbtCkMC&q="Cheon%2c+P+-H"
176.Ho, A. B.
i. http://www.youtube.com/watch%3Fv%3DofKHBj18tg0&sa=U&ei=sdlsVKOjFMyy
oQT394HABw&ved=0CBUQtwIwAA&usg=AFQjCNEtkHHJ1MdqwArSoNozYESa
Q6kTTA
ii. http://ri.search.yahoo.com/_ylt=AwrBT8Sx2WxUJx0A3HRXNyoA;_ylu=X3oDMT
ByMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448562/RO=10/RU=http%3a%2f%2fwww2.fiu.edu%2f~herriott%
2fch12-part2-organometallics.pdf/RK=0/RS=bEoJM34YbcPvIZjMNwD46kFm4zciii. http://books.google.com/books?id=oNEWktgSB4kC&q="Ho%2c+A+B"
177.Reda, and Q.
i. http://vlsicad.ucsd.edu/~qiwang/&sa=U&ei=wNlsVIy_HtiyoQSdl4DABA&ved=0C
BQQFjAA&usg=AFQjCNGx28lkrKXbl3Q2QAW40nJaBoi0ZQ
ii. http://ri.search.yahoo.com/_ylt=A0LEVyi42WxUHNwAyjtXNyoA;_ylu=X3oDMTBy
MG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448569/RO=10/RU=http%3a%2f%2fijetae.com%2ffiles%2fVolu
me4Issue1%2fIJETAE_0114_19.pdf/RK=0/RS=3pwUil9fQHT.mJN_AeQUIDjgKx
oiii. http://books.google.com/books?id=7OV9lEn9LiQC&q="Reda%2c+and+Q"
178.Wang, 2005, Pow...
i. http://www.idosi.org/mejsr/mejsr20(12)14/163.pdf&sa=U&ei=xdlsVLyoL9TnoASi9
ID4Aw&ved=0CBQQFjAA&usg=AFQjCNH8OMnuQvVYyAyHKC6ni1a6DIVMLQ
179.Design Autom. C...

i.

http://ijcer.org/index.php/ojs/article/download/493/231&sa=U&ei=zdlsVPJPwqyiB
OKygNAC&ved=0CBQQFjAA&usg=AFQjCNFr-8cvLx1kSH5uStuoIUtiAe-pwA
180.[4] Y. -T.
i. http://m.wolframalpha.com/input/%3Fi%3Dt%255E2%2By%25E2%2580%2598(t)
%2B%252B%2B2t%2By(t)%2B%253D%2Bt%255E4%2By(t)%255E2%2B%252
B%2B4%26lk%3D3&sa=U&ei=1dlsVKyRH4yrogSGkIKAAw&ved=0CBQQFjAA&
usg=AFQjCNEd0ZDYxLGs8heRblUrtlaCn4xmjA
ii. http://ri.search.yahoo.com/_ylt=AwrBTzfT2WxUY7IACLtXNyoA;_ylu=X3oDMTBy
MG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448596/RO=10/RU=http%3a%2f%2fwww.youtube.com%2fwatc
h%3fv%3d4yT_xYfKHiM/RK=0/RS=2ZpUp0QVJ2cFT9nVu3I1moE.Zkgiii. http://books.google.com/books?id=fFtOAAAAMAAJ&q="%5b4%5d+Y+-T"
181.Chang, C. -C.
i. https://sites.google.com/site/thechiehchanglab/home&sa=U&ei=39lsVLOmCMrogTC6YL4AQ&ved=0CBQQFjAA&usg=AFQjCNGY7qpU2WBUUzyVMgdFkuXT
yqWRMA
ii. http://ri.search.yahoo.com/_ylt=A0LEV0Pg2WxUGFkAlR5XNyoA;_ylu=X3oDMT
ByMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448609/RO=10/RU=http%3a%2f%2fihome.ust.hk%2f~cechang
%2f/RK=0/RS=Y_AN87.LY1jncrgHl0KeCkv_fMMiii. http://books.google.com/books?id=iaEUqeNYzPQC&q="Chang%2c+C+-C"
182.Hsu, P. -H.
i. https://www.facebook.com/public/Hsu-Ph&sa=U&ei=59lsVPLMLY6yoQTY54GoAg&ved=0CBQQFjAA&usg=AFQjCNHJ0
E8IY-gNGwo9StbF9-Uc8PHg1Q
ii. http://ri.search.yahoo.com/_ylt=A0LEVyzo2WxUTVAA1HNXNyoA;_ylu=X3oDMT
ByMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448617/RO=10/RU=http%3a%2f%2fhomepage.ntu.edu.tw%2f~c
kuan%2fpdf%2fvitae.pdf/RK=0/RS=_.96c7Xat.K_nOKsNpZ1fjPZoyMiii. http://books.google.com/books?id=fWJmAQAAQBAJ&q="Hsu%2c+P+-H"
183.Lin, Y. -W.
i. http://ri.search.yahoo.com/_ylt=AwrBT0fZ2WxUHJoA6M9XNyoA;_ylu=X3oDMTB
yMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448601/RO=10/RU=http%3a%2f%2fwww.hdfs.ntnu.edu.tw%2fp
eople%2fbio.php%3fPID%3d10/RK=0/RS=kGgA7rDaunHAHhVryI7ZZCGzaCkii. http://books.google.com/books?id=6cF6sxuLoIMC&q="Lin%2c+Y+-W"
184.Tsai and S. -F.
i. http://ri.search.yahoo.com/_ylt=A0LEVyvg2WxUVj8AjL9XNyoA;_ylu=X3oDMTBy
MG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448609/RO=10/RU=http%3a%2f%2fwww.agron.ntu.edu.tw%2fp

eople%2fbio.php%3fPID%3d28/RK=0/RS=yfZZ5Ffxqc0x9TTb0zE6Qu9VBik185.-Aided Design I...


i. http://www.academia.edu/5927273/Design_of_Mergable_FlipFlop_for_Low_Power_VLSI_Circuits&sa=U&ei=3tlsVLOoE8u4ogTSooKIDw&ved
=0CBQQFjAA&usg=AFQjCNFBLomUcI3pPI-cvbZ7IbPhr65qRA
186., SanJose, CA, ...
i. http://ijcer.org/index.php/ojs/article/view/493&sa=U&ei=5dlsVJiaMpbtoATA4IG4D
w&ved=0CBYQFjAA&usg=AFQjCNFqHGr5gzq8VSUA0EdXKqaUBppPDA
187.Gronowski, W. J...
i. http://onlinelibrary.wiley.com/doi/10.1002/0471660302.refs/pdf&sa=U&ei=8dlsVM
3pBa_1igL_loHYDA&ved=0CBQQFjAA&usg=AFQjCNHhVA05n35Kqicu6Vli1Os
PpS6E0g
ii. http://ri.search.yahoo.com/_ylt=A0LEVznt2WxUMYMAPUxXNyoA;_ylu=X3oDMT
ByMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448622/RO=10/RU=http%3a%2f%2fijetae.com%2ffiles%2fVolu
me4Issue1%2fIJETAE_0114_19.pdf/RK=0/RS=hzzeARhMB1WQErNF4X6T.jg2h
Voiii. http://books.google.com/books?id=JRufAgAAQBAJ&q="Gronowski%2c+W+J"
188.Bowhill, R. P.
i. http://onlinelibrary.wiley.com/doi/10.1002/0471660302.refs/pdf&sa=U&ei=59lsVK
_oM9XSoATvpoH4BA&ved=0CBQQFjAA&usg=AFQjCNHYIcnMQGI1V0Y9OtVFj
74hwuJvsA
ii. http://ri.search.yahoo.com/_ylt=AwrBTzbo2WxU4E0ASEFXNyoA;_ylu=X3oDMT
ByMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448617/RO=10/RU=http%3a%2f%2fijetae.com%2ffiles%2fVolu
me4Issue1%2fIJETAE_0114_19.pdf/RK=0/RS=iZlYXJFJnQPZd0amJ0cHfOZAJ
CIiii. http://books.google.com/books?id=JRufAgAAQBAJ&q="Bowhill%2c+R+P"
189.Preston, M. K.
i. http://www.marciapreston.com/about.html&sa=U&ei=8NlsVKrnHafliQLq74DwDg
&ved=0CBQQFjAA&usg=AFQjCNHM1v-Y6v3auZTXTx5vrboJz2h-Rw
ii. http://ri.search.yahoo.com/_ylt=A0LEVzzx2WxUlyQAZZpXNyoA;_ylu=X3oDMTB
yMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448625/RO=10/RU=http%3a%2f%2fmarciapreston.com%2f/RK=
0/RS=lUjVF3WgYPLDDpUgVk7M6JIBDEQiii. http://books.google.com/books?id=ZSuHhwLR1voC&q="Preston%2c+M+K"
190.Gowan, and R. L...
i. http://onlinelibrary.wiley.com/doi/10.1002/0471660302.refs/pdf&sa=U&ei=NlsVIyDBcP_igKnvIHYDQ&ved=0CBQQFjAA&usg=AFQjCNGuCSm3Zs1tEVxg2
E8v7mZSv7w8eg

ii. http://ri.search.yahoo.com/_ylt=AwrBT7X42WxUOsYApUVXNyoA;_ylu=X3oDMT
ByMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448633/RO=10/RU=http%3a%2f%2fijetae.com%2ffiles%2fVolu
me4Issue1%2fIJETAE_0114_19.pdf/RK=0/RS=c5VwF6DbOn3GOHUvCq_CvbG
J2esiii. http://books.google.com/books?id=qf1ouLDOxa8C&q="Gowan%2c+and+R+L"
191.Solid-State Cir...
i. http://ieeexplore.ieee.org/xpl/RecentIssue.jsp%3Fpunumber%3D4&sa=U&ei=9tls
VP-sDMr4igKF2oHgDg&ved=0CBQQFjAA&usg=AFQjCNG_wu3MulJXcv0QLudmNbXCgbSsA
ii. http://ri.search.yahoo.com/_ylt=A0LEVwz22WxUGdAAVzdXNyoA;_ylu=X3oDMT
ByMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448631/RO=10/RU=http%3a%2f%2fwww.ece.tamu.edu%2f~spa
lermo%2fecen689%2f20G_duobinary_pam4_nrz_xcvrs_lee_jssc_2008.pdf/RK=0
/RS=TeW4RJHranJkkTvwQ7w1D7VMRVIiii. http://books.google.com/books?id=SmDImt1zHXkC&q="SolidState+Circuits%2c+vol"
192.676686, May 19...
i. http://dl.acm.org/citation.cfm%3Fid%3D1962192&sa=U&ei=AtpsVInCLOv1igKM2
IDoDA&ved=0CBQQFjAA&usg=AFQjCNFUgFmKnSwzUmoLGyC3YlUehae46g
ii. http://ri.search.yahoo.com/_ylt=A0LEV00D2mxUUskAXcpXNyoA;_ylu=X3oDMT
ByMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448644/RO=10/RU=http%3a%2f%2fijetae.com%2ffiles%2fVolu
me4Issue1%2fIJETAE_0114_19.pdf/RK=0/RS=fTjrno4ue9lS22jJybdIa0q4lC4iii. http://books.google.com/books?id=L2GHAwAAQBAJ&q="676%e2%80%93686%
2c+May+1998"
193.Hung, H. -M.
i. http://www.linknovate.com/expert/hung-h-m1895728/&sa=U&ei=DtpsVLehLOr9iAKN1YDgCA&ved=0CBQQFjAA&usg=AFQj
CNEhc-O3HffSdP_JjSia85CmpGekqQ
ii. http://ri.search.yahoo.com/_ylt=A0LEV0UP2mxUTLgAQxpXNyoA;_ylu=X3oDMT
ByMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448656/RO=10/RU=http%3a%2f%2fhoffmann.caltech.edu%2fpu
blications%2f/RK=0/RS=Pk_cfQSWgrP0p7q8ABAk5Uij4Osiii. http://books.google.com/books?id=W0l3AgAAQBAJ&q="Hung%2c+H+-M"
194.Chen, E. Y. -W.
i. http://maps.google.com/maps?um=1&ie=UTF8&fb=1&gl=us&ftid=0x549e393549e827fd:0x71e83d74b343adcd&q=Cheney,+W
A&sa=X&ei=GtpsVOPEOaT1iQKN3IDgDw&ved=0CBcQ8gEoATAA
ii. http://books.google.com/books?id=S3RXAAAAcAAJ&q="Chen%2c+E+Y+-W"

195.Tsai, S. -H.
i. http://researchindex.net/author/Tsai,_S.H./5365d1e926184454e4044e9f&sa=U&ei=E9psVLj6EqXfiQLhpoDoDw&ved=0C
BQQFjAA&usg=AFQjCNEQeZ35IMlaPWNVAOqQW1mcVhJfBQ
ii. http://ri.search.yahoo.com/_ylt=AwrBT7oZ2mxUgFkAUqtXNyoA;_ylu=X3oDMTB
yMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448666/RO=10/RU=http%3a%2f%2fwww.youtube.com%2fwatc
h%3fv%3dOXJkJIjDzoU/RK=0/RS=RKW2S0Yiy1KbIHgas0LEvtaFRwwiii. http://books.google.com/books?id=7lB0oOupPrIC&q="Tsai%2c+S+-H"
196.Chen, M. -H.
i. http://www.ars.usda.gov/pandp/people/people.htm%3Fpersonid%3D21946&sa=
U&ei=IdpsVN7WN4friQKnxYDACA&ved=0CBQQFjAA&usg=AFQjCNF51yEReA
_yKQLuBpBBN3Ouw6EHpg
ii. http://ri.search.yahoo.com/_ylt=A0LEVyod2mxUSooA3CpXNyoA;_ylu=X3oDMTB
yMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448670/RO=10/RU=http%3a%2f%2fwww.ccunix.ccu.edu.tw%2f
~finmhc%2fresearch.php/RK=0/RS=.PTriSP_yTLqoj5ZPDw5egZ8w0Yiii. http://books.google.com/books?id=e9V5AgAAQBAJ&q="Chen%2c+M+-H"
197.Ku, and C. -C.
i. http://mcl.usc.edu/publications/presentations-at-national-and-internationalmeetings/&sa=U&ei=KNpsVLzqLcr7igL49ICgAg&ved=0CBQQFjAA&usg=AFQjC
NGv0AdgZDhIbnaYI3VhZiP7yy8eYA
ii. http://ri.search.yahoo.com/_ylt=AwrBT9Qp2mxUHF0ACQ5XNyoA;_ylu=X3oDMT
ByMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448682/RO=10/RU=http%3a%2f%2fwww.comm.yzu.edu.tw%2ft
eacher%2fcn_CCHuang.htm/RK=0/RS=lO53Pz2nKRfCVGQPgK9.SRo2.BMiii. http://books.google.com/books?id=3Dd0KnravR8C&q="Ku%2c+and+C+-C"
198.Synopsys User G...
i. http://www.ijettjournal.org/archive/ijett-v11p266&sa=U&ei=F9psVID3FqnRiQLPoGQBw&ved=0CBQQFjAA&usg=AFQjCNHz0CzcDiinSgHEyDeIKNFPacMqCw
199.[7] J. -T.
i. https://www.rabbinicalassembly.org/sites/default/files/public/halakhah/teshuvot/2
0012004/18.pdf&sa=U&ei=HdpsVLmuMcjciQKYl4GICA&ved=0CBQQFjAA&usg=
AFQjCNFtPCefp6Ljj-daMMR0xRmbKaYCWA
ii. http://ri.search.yahoo.com/_ylt=A0LEV1wb2mxUTDgAqjxXNyoA;_ylu=X3oDMTB
yMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448668/RO=10/RU=http%3a%2f%2fwww.youtube.com%2fwatc
h%3fv%3d7_J_tP8Bo6g/RK=0/RS=dnZSuSYUPNp0g.C1pktpENUBqo0iii. http://books.google.com/books?id=zzTtu1q0GjEC&q="%5b7%5d+J+-T"
200.Yan and Z. -W.

i.

http://journals.iucr.org/e/issues/2008/08/00/fl2205/fl2205sup0.html&sa=U&ei=Ktp
sVLCIA--IiwLiYDYDw&ved=0CBQQFjAA&usg=AFQjCNElttS7ozTlOT_olnWp5M8tWAkm1g
201.[8] S. -H.
i. http://www.vickers.sh.cn/pdfs/500704en0799s.pdf&sa=U&ei=MtpsVLzFrHYiQL3_oDgDg&ved=0CBQQFjAA&usg=AFQjCNHP1yeNu4WOS6EolGSjxDkoMGOew
ii. http://ri.search.yahoo.com/_ylt=AwrBT6I12mxU4ywAcA5XNyoA;_ylu=X3oDMTB
yMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448694/RO=10/RU=http%3a%2f%2fdealnews.com%2fNikeClearance-Sale-Up-to-66-off-deals-from-5-8-sh%2f1127347.html/RK=0/RS=Y7Km3ONnRshf4AUcWcbt1Mv.g7kiii. http://books.google.com/books?id=XjNRAAAAYAAJ&q="%5b8%5d+S+-H"
202.Wang, Y. -Y.
i. http://www.mme.wsu.edu/people/faculty/faculty.html%3Flin&sa=U&ei=O9psVJpOOmQigKpy4GwAg&ved=0CBQQFjAA&usg=AFQjCNFC_NEH2dcyO752h0Grk
q-0ScaGjA
ii. http://ri.search.yahoo.com/_ylt=A0LEVyQ_2mxUmsQAgd5XNyoA;_ylu=X3oDMT
ByMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448704/RO=10/RU=http%3a%2f%2fpathology.jhu.edu%2fresear
chbrochure%2fdivision.cfm%3fid%3d3/RK=0/RS=vDTht6R8AH6fxpqk75XRZvj63
mkiii. http://books.google.com/books?id=fa-NAgAAQBAJ&q="Wang%2c+Y+-Y"
203.Liang, T. -Y.
i. http://scholarbank.nus.edu.sg/handle/10635/44882/browse%3Fauthority%3DpLM
AW5GiwRU%253D%26type%3Dauthor&sa=U&ei=PdpsVMXvOuqKiwLUmoHoD
w&ved=0CBQQFjAA&usg=AFQjCNHEHm4PhKqBwS8AkImsx0SZn4dzPQ
ii. http://ri.search.yahoo.com/_ylt=A0LEVyRA2mxUT0UAXWxXNyoA;_ylu=X3oDMT
ByMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448705/RO=10/RU=http%3a%2f%2fwww.nie.edu.sg%2fprofile%
2fng-pak-tee/RK=0/RS=mlf2Ymp_ZdKi3YWy1Lp1gkEjkWEiii. http://books.google.com/books?id=T2C3diHrnFgC&q="Liang%2c+T+-Y"
204.Kuo, and W. -K.
i. http://books.google.com/books?id=KbHKCKo8EAC&pg=PA147&lpg=PA147&dq=%22Kuo,+and+W+K%22&source=bl&ots=1haWJD5l_k&sig=RKQ8Pq7CbgokPrIqubgsz9onUc&hl=en&sa=X&ei=R9psVNSVKKj2iQKjyoHgDA&ved=0CBQQ6AEwAA
ii. http://books.google.com/books?id=KbHKCKo8-EAC&q="Kuo%2c+and+W+-K"
205.Chandrakasan, a...
i. http://www.eecs.berkeley.edu/Pubs/Faculty/rabaey.html&sa=U&ei=R9psVNCaH9

DBiQKGxICQDg&ved=0CBQQFjAA&usg=AFQjCNEIvJkDJLb2_3rtUyQSeU7fLZ
6iSg
ii. http://ri.search.yahoo.com/_ylt=AwrBT75I2mxURIIAS3xXNyoA;_ylu=X3oDMTBy
MG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448712/RO=10/RU=http%3a%2f%2fwww.ece.illinois.edu%2fcou
rses%2fdescription.asp%3fid%3d129/RK=0/RS=cq1x7HYRub6Z4f6M4BefVXcZ7
Kciii. http://books.google.com/books?id=QgonHDo36cYC&q="Chandrakasan%2c+and
+B"
206.Nikolic, 2003, ...
i. http://books.google.com/books?id=IdlnVkxjw7YC&pg=SA10-PA74&lpg=SA10PA74&dq=%22Nikolic,+2003,+Digital+Integrated+Circuits:+A+Design+Perspectiv
e,+2nd+ed%22&source=bl&ots=wNLhMsuLxL&sig=oD5TEK6Vwv71m0IP0rG4m
O7g01Y&hl=en&sa=X&ei=UNpsVIOWB4X2igLCq4GYBQ&ved=0CBQQ6AEwAA
ii. http://books.google.com/books?id=IdlnVkxjw7YC&q="Nikolic%2c+2003%2c+Digi
tal+Integrated+Circuits%3a+A+Design+Perspective%2c+2nd+ed"
207.Upper Saddle Ri...
i. http://www.interactiondesign.org/references/publishers/prentice_hall.html&sa=U&ei=WtpsVI2ZCsGbig
KelIHADg&ved=0CBQQFjAA&usg=AFQjCNFU-aTrwlYZ3Y-cLo3XOIY0KrJznQ
ii. http://ri.search.yahoo.com/_ylt=A0LEVx1a2mxU7I8A0GNXNyoA;_ylu=X3oDMTB
yMG04Z2o2BHNlYwNzcgRwb3MDMQRjb2xvA2JmMQR2dGlkAw-/RV=2/RE=1416448731/RO=10/RU=http%3a%2f%2faima.eecs.berkeley.edu%2f
/RK=0/RS=il5Piy.lYXH2CysF.ESe0UB2Zpwiii. http://books.google.com/books?id=REG21RVBS14C&q="Upper+Saddle+River%
2c+NJ%3a+Prentice-Hall"

Vous aimerez peut-être aussi