Vous êtes sur la page 1sur 76

ROEVER ENGINEERING COLLEGE

ELAMBALUR PERAMBALUR 621 212,

Subject Code :

EC2351

Subject Name :

MEASUREMENTS AND INSTRUMENTATION

Department :

ECE

Year/ Semester

: III/ VI

PREPARED By
M.SURESH & S.KARTHIKEYAN
ASSISTANT PROFESSOR/ ECE

EC2351 MEASUREMENTS AND INSTRUMENTATION


UNIT I
BASIC MEASUREMENT CONCEPTS
Measurement systems Static and dynamic characteristics Units and standards of
measurements Error analysis Moving coil, moving iron meters Multimeters True RMS meters
Bridge measurements Maxwell Hay Schering Anderson and Wien Bridge.
UNIT II
BASIC ELECTRONIC MEASUREMENTS
Electronic multimeters Cathode ray oscilloscopes block schematic applications
special oscilloscopes : delayed time base oscilloscopes, analog and digital storage
oscilloscope, sampling oscilloscope Q meters Vector meters RF voltage and
power measurements True RMS meters.
UNIT III
SIGNAL GENERATORS AND ANALYZERS
Function generators RF signal generators Sweep generators Frequency synthesizer
Wave analyzer Harmonic distortion analyzer Spectrum analyzer. digital spectrum analyzer, Vector
Network Analyzer Digital L,C,R measurements, Digital RLC meters
UNIT IV
DIGITAL INSTRUMENTS
Comparison of analog and digital techniques digital voltmeter multimeters
frequency counters measurement of frequency and time interval extension of
frequency range Automation in digital instruments, Automatic polarity indication,
automatic ranging, automatic zeroing, fully automatic digital instruments, Computer
controlled test systems, Virtual instruments.
UNIT V
DATA ACQUISITION SYSTEMS AND FIBER OPTIC MEASUREMENTS
Elements of a digital data acquisition system Interfacing of transducers Multiplexing
Computer controlled instrumentation IEEE 488 bus Fiber optic measurements for power and
system loss Optical time domains reflectometer.
TEXT BOOK
1. Albert D. Helfrick, and William D. Cooper, Modern Electronic Instrumentation and
Measurement Techniques, PHI, 2003.
REFERENCES
1. Joseph J. Carr, Elements of Electronics Instrumentation and Measurement, Pearson
education, 2003.
2. Alan. S. Morris, Principles of Measurements and Instrumentation, 2nd Edition, PHI, 2003.
3. Ernest O. Doebelin, Measurement Systems Application and Design, TMH, 2004.

UNIT I
BASIC MEASUREMENT CONCEPTS
Measurement systems Static and dynamic characteristics Units and standards of
measurements Error analysis Moving coil, moving iron meters Multimeters True RMS meters
Bridge measurements Maxwell Hay Schering Anderson and Wien Bridge.
PART-A
1. What is meant by measurement?
Measurement is an act or the result of comparison between the quantity and a predefined
standard.
2. How are instruments classified?

Mechanical Instruments
Electrical Instruments and
Electronic Instruments.

3. Define static error.


Difference between the measured and true value
4. Define accuracy.
Accuracy may be defined as the ability of a device to respond to a true value of a measured
variable.
5. Define sensitivity.
It is the ratio of a change in output to the change in input
6. What is dead zone?
It is defined as the largest change of input quantity for which there is no output of the
instrument
7. What is precision?
Precision is the degree of exactness for which an instrument is designed or intended to
perform.

8. What is instrument error?


Instrument error refers to the combined accuracy and precision of a measuring instrumentthe
difference between the actual value and the value indicated by the instrument (error).
9. Define Calibration.
It is the process by which comparing the instrument with a standard to correct the accuracy.
10. What are random errors?
Random error unlike systematic error is not unidirectional. Some of the measured values are
greater than true value; some are less than true value. The errors introduced are sometimes positive
and sometimes negative with respect to true value. It is possible to minimize this type of error by
repeating measurements and applying statistical technique to get closer value to the true value.
11. Define systematic error.
A systematic error results due to faulty measurement practices. The error of this category is
characterized by deviation in one direction from the true value. What it means that the error is
introduced, which is either less than or greater than the true value. Systematic error impacts the
accuracy of measurement not the precision of the measurement.
12. What are the Methods of Measurement?
Direct method
Unknown quantity (Measurand) is directly compared against a standard
Common for the measurement of physical quantity like length, mass and time
Indirect method
13. Explain the function of measurement system.
The measurement system consists of a transducing element which converts the quantity to be
measured in an analogous form. the analogous signal is then processed by some intermediate means
and is then fed to the end device which presents the results of the measurement.

14. Define Instrument.


Instrument is defined as a device for determining the value or magnitude of a quantity or
variable.
15. List the types of instruments.

The 3 types of instruments are


Mechanical Instruments
Electrical Instruments and
Electronic Instruments.

16. Classify instruments based on their functions.


1. Indicating instruments
2. Integrating instruments
3. Recording instruments
17. Give the applications of measurement systems.
The instruments and measurement systems are sued for
Monitoring of processes and operations.
Control of processes and operations.
Experimental engineering analysis.
18. Why calibration of instrument is important?
The calibration of all instruments is important since it affords the opportunity to check the
instrument against a known standard and subsequently to errors in accuracy.

PART - B (16 MARK QUESTIONS)


1. Discuss different type of Standards of measurement. Classify and explain the different type of
Standards of measurement for bust/waist/hip measurement.
Measurement is the process or the result of determining the magnitude of a quantity, such as
length or mass, relative to a unit of measurement, such as a meter or a kilogram. The word
measurement stems, via the Middle French term mesure, from Latin mnsra, and the verb metiri.
Metrology is the science of measurement.
With the exception of a few seemingly fundamental quantum constants, units of measurement
are essentially arbitrary; in other words, people make them up and then agree to use them. Nothing
inherent in nature dictates that an inch has to be a certain length, or that a mile is a better measure of
distance than a kilometre.
Over the course of human history, however, first for convenience and then for necessity,
standards of measurement evolved so that communities would have certain common benchmarks.
Laws regulating measurement were originally developed to prevent fraud in commerce.
Today, units of measurement are generally defined on a scientific basis, overseen by
governmental or supra-governmental agencies, and established in international treaties, pre-eminent of
which is the General Conference on Weights and Measures (CGPM), established in 1875 by the
Treaty of the metre and which oversees the International System of Units (SI) and which has custody
of the International Prototype Kilogram. The metre, for example, was redefined in 1983 by the CGPM
as the distance traveled by light in free space in 1299,792,458 of a second while in 1960 the
international yard was defined by the governments of the United States, United Kingdom, Australia
and South Africa as being exactly 0.9144 metres.
Imperial system
Before SI units were widely adopted around the world, the British systems of English
units and later imperial units were used in Britain, the Commonwealth and the United States. The

system came to be known as U.S. customary units in the United States and is still in use there and in a
few Caribbean countries.
These various systems of measurement have at times been called foot-pound-second
systems after the Imperial units for length, weight and time even though the tons, hundredweights,
gallons, and nautical miles, for example, are different for the U.S. units. Many Imperial units remain in
use in Britain despite the fact that it has officially switched to the SI system. Road signs are still in
miles, yards, miles per hour, and so on, people tend to measure their own height in feet and inches and
milk is sold in pints, to give just a few examples.
Imperial units are used in many other places, for example, in many Commonwealth
countries that are considered metricated, land area is measured in acres and floor space in square feet,
particularly for commercial transactions (rather than government statistics). Similarly, gasoline is sold
by the gallon in many countries that are considered metricated.
Metric system

Four measuring devices having metric calibrations Main articles: Metric system and
History of the metric system. The metric system is a decimal systems of measurement based on its
units for length, the metre and for mass, the kilogram. It exists in several variations, with different
choices of base units, though these do not affect its day-to-day use. Since the 1960s, the International
System of Units (SI) is the internationally recognized metric system. Metric units of mass, length, and
electricity are widely used around the world for both everyday and scientific purposes.
The metric system features a single base unit for many physical quantities. Other
quantities are derived from the standard SI units. Multiples and fractions of the units are expressed as

powers of ten of each unit. Unit conversions are always simple because they are in the ratio of ten, one
hundred, one thousand, etc., so that convenient magnitudes for measurements are achieved by simply
moving the decimal place: 1.234 metres is 1234 millimetres or 0.001234 kilometres.

The use of fractions, such as 2/5 of a metre, is not prohibited, but uncommon. All
lengths and distances, for example, are measured in metres, or thousandths of a metre (millimetres), or
thousands of metres (kilometres). There is no profusion of different units with different conversion
factors as in the Imperial system which uses, for example, inches, feet, yards, fathoms, rods.

2. Discuss basic characteristics of measuring devices.


In the physical sciences, quality assurance, and engineering, measurement is the activity of
obtaining and comparing physical quantities of real-world objects and events. Established standard
objects and events are used as units, and the process of measurement gives a number relating the item
under study and the referenced unit of measurement. Measuring instruments, and formal test methods
which define the instrument's use, are the means by which these relations of numbers are obtained. All
measuring instruments are subject to varying degrees of instrument error and measurement
uncertainty. Scientists, engineers and other humans use a vast range of instruments to perform their
measurements. These instruments may range from simple objects such as rulers and stopwatches to
electron microscopes and particle accelerators. Virtual instrumentation is widely used in the
development of modern measuring instruments.
Time
Time-points in the past can be measured with respect to the present of an observer. Timepoints in the future can be fixed. But there seems to exist no device that can set time to a
predetermined value (time machine), like it is possible with other physical quantities (for example:
distance or volume). The time-point called present seems to move in one direction only, the future.
Entropy production and cause-and-effect observations of events correlate to this observation.
Energy

7.

In a plant that furnishes pumped-storage hydroelectricity, mechanical work and electrical work
is done by machines like electric pumps and electrical generators. The pumped water stores
mechanical work. The amount of energy put into the system equals the amount of energy which comes
out of the system, less that amount of energy used to overcome friction. Such examples suggested the
derivation of some unifying concepts: Instead of discerning (transferred) forms of work or stored
work, there has been introduced one single physical quantity called energy.
Energy is assumed to have substance-like qualities; energy can be apportioned and transferred.
Energy cannot be created from nothing, or to be annihilated to nothing, thus energy becomes a
conserved quantity, when properly balanced.
Describing the transfer of energy two dictions, two ways of wording are used: (energy carriers
exchanging energy) Physical interactions occur by carriers (linear momentum, electric charge,
entropy) exchanging energy. For example, a generator transfers energy from angular momentum to
electric charge. (energy forms transforming energy) Energy forms are transformed; for example
mechanical energy into electrical energy by a generator.
Power (flux of energy)
A physical system that exchanges energy may be described by the amount of energy
exchanged per time-interval, also called power or flux of energy.
3. Define and explain with examples the different types of possible errors in measurement.
Systematic errors are biases in measurement which lead to the situation where the mean of
many separate measurements differs significantly from the actual value of the measured attribute. All
measurements are prone to systematic errors, often of several different types. Sources of systematic
error may be imperfect calibration of measurement instruments (zero error), changes in the
environment which interfere with the measurement process and sometimes imperfect methods of
observation can be either zero error or percentage error.
For example, consider an experimenter taking a reading of the time period of a pendulum
swinging past a fiducial mark: If his stop-watch or timer starts with 1 second on the clock then all of

his results will be off by 1 second (zero error). If the experimenter repeats this experiment twenty
times (starting at 1 second each time), then there will be a percentage error in the calculated average of
his results; the final result will be slightly larger than the true period. Distance measured by radar will
be systematically overestimated if the slight slowing down of the waves in air is not accounted for.
Incorrect zeroing of an instrument leading to a zero error is an example of systematic error in
instrumentation.
Systematic errors may also be present in the result of an estimate based on a mathematical
model or physical law. For instance, the estimated oscillation frequency of a pendulum will be
systematically in error if slight movement of the support is not accounted. Systematic errors can be
either constant, or be related (e.g. proportional or a percentage) to the actual value of the measured
quantity, or even to the value of a different quantity (the reading of a ruler can be affected by
environment temperature).
When they are constant, they are simply due to incorrect zeroing of the instrument. When they
are not constant, they can change sign. For instance, if a thermometer is affected by a proportional
systematic error equal to 2% of the actual temperature, and the actual temperature is 200, 0, or
100, the measured temperature will be 204 (systematic error = +4), 0 (null systematic error) or
102 (systematic error = 2), respectively. Thus, the temperature will be overestimated when it will
be above zero, and underestimated when it will be below zero.
Constant systematic errors are very difficult to deal with, because their effects are only
observable if they can be removed. Such errors cannot be removed by repeating measurements or
averaging large numbers of results. A common method to remove systematic error is through
calibration of the measurement instrument.
Drift
Systematic errors which change during an experiment (drift) are easier to detect. Measurements
show trends with time rather than varying randomly about a mean. Drift is evident if a measurement of
a constant quantity is repeated several times and the measurements drift one way during the
experiment, for example if each measurement is higher than the previous measurement which could
perhaps occur if an instrument becomes warmer during the experiment. If the measured quantity is

variable, it is possible to detect a drift by checking the zero reading during the experiment as well as at
the start of the experiment (indeed, the zero reading is a measurement of a constant quantity). If the
zero reading is consistently above or below zero, a systematic error is present.
If this cannot be eliminated, for instance by resetting the instrument immediately before the
experiment, it needs to be allowed for by subtracting its (possibly time-varying) value from the
readings, and by taking it into account in assessing the accuracy of the measurement. If no pattern in a
series of repeated measurements is evident, the presence of fixed systematic errors can only be found
if the measurements are checked, either by measuring a known quantity or by comparing the readings
with readings made using a different apparatus, known to be more accurate. For example, suppose the
timing of a pendulum using an accurate stopwatch several times gives readings randomly distributed
about the mean.
A systematic error is present if the stopwatch is checked against the 'speaking clock' of the
telephone system and found to be running slow or fast. Clearly, the pendulum timings need to be
corrected according to how fast or slow the stopwatch was found to be running. Measuring
instruments such as ammeters and voltmeters need to be checked periodically against known
standards.
Systematic errors can also be detected by measuring already known quantities. For example, a
spectrometer fitted with a diffraction grating may be checked by using it to measure the wavelength of
the D-lines of the sodium electromagnetic spectrum which are at 600nm and 589.6 nm. The
measurements may be used to determine the number of lines per millimetre of the diffraction grating,
which can then be used to measure the wavelength of any other spectral line.
Systematic versus random error
Measurement errors can be divided into two components: random error and systematic error.
Random error is always present in a measurement. It is caused by inherently unpredictable fluctuations
in the readings of a measurement apparatus or in the experimenter's interpretation of the instrumental
reading. Random errors show up as different results for ostensibly the same repeated measurement.
Systematic error cannot be discovered this way because it always pushes the results in the same
direction. If the cause of a systematic error can be identified, then it can usually be eliminated.

4. With neat diagram explain the construction, working, torque equation and advantages ,
disadvantages of PMMC instrument.
PERMANENT MAGNET MOVING COIL INSTRUMENT (PMMC)

When a current carrying coil is placed in the magnetic field produced by permanent
magnet, the coil experience a force and moves.
This basic principle is called DArsonval Principle

Iron core-Spherical if coil circular

Iron core-Cylindrical if coil-Rectangular

Torque Equation Td=NBAI


Td-Deflection torque in N-M
B-Flux Density, wb/m^2

N-Number of turns of coil


A-Coil area, m^2
I-Current

G=NBA=constant

Td= GI
The Controlling torque,
Tc=K
For Steady state
Td=TC
=(G/K)I
Advantages, Disadvantages and Errors in PMMC
Advantages: Sensitivity is high & Consumes Low power
Disadvantages: Cost is high
Errors: Source of errors are Friction, Temperature, Ageing of various parts

5. Explain any one bridge circuit for measurement of inductance.


Wheatstone bridge

A Wheatstone bridge is an electrical circuit used to measure an unknown electrical resistance


by balancing two legs of a bridge circuit, one leg of which includes the unknown component. Its
operation is similar to the original potentiometer. It was invented by Samuel Hunter Christie in 1833
and improved and popularized by Sir Charles Wheatstone in 1843.
Operation
In the figure, Rx is the unknown resistance to be measured; R1, R2 and R3 are resistors of known
resistance and the resistance of R2 is adjustable. If the ratio of the two resistances in the known leg (R2
/ R1) is equal to the ratio of the two in the unknown leg (Rx / R3), then the voltage between the two
midpoints (B and D) will be zero and no current will flow through the galvanometer Vg. If the bridge
is unbalanced, the direction of the current indicates whether R2 is too high or too low. R2 is varied until
there is no current through the galvanometer, which then reads zero. Detecting zero current with a
galvanometer can be done to extremely high accuracy. Therefore, if R1, R2 and R3 are known to high
precision, then Rx can be measured to high precision. Very small changes in Rx disrupt the balance and
are readily detected.
At the point of balance, the ratio of R2 / R1 = Rx / R3. Therefore,
Alternatively, if R1, R2, and R3 are known, but R2 is not adjustable, the voltage difference
across or current flow through the meter can be used to calculate the value of Rx, using Kirchhoff's
circuit laws (also known as Kirchhoff's rules). This setup is frequently used in strain gauge and
resistance thermometer measurements, as it is usually faster to read a voltage level off a meter than to
adjust a resistance to zero the voltage.
Derivation
First, Kirchhoff's first rule is used to find the currents in junctions B and D:

Then, Kirchhoff's second rule is used for finding the voltage in the loops ABD and BCD:

The bridge is balanced and Ig = 0, so the second set of equations can be rewritten as:

Then, the equations are divided and rearranged, giving:

From the first rule, I3 = Ix and I1 = I2. The desired value of Rx is now known to be given as:

If all four resistor values and the supply voltage (VS) are known, and the resistance of the
galvanometer is high enough that Ig is negligible, the voltage across the bridge (VG) can be found by
working out the voltage from each potential divider and subtracting one from the other. The equation
for this is:

This can be simplified to:

where VG is the voltage of node B relative to node D.


The Wheatstone bridge illustrates the concept of a difference measurement, which can be
extremely accurate. Variations on the Wheatstone bridge can be used to measure capacitance,
inductance, impedance and other quantities, such as the amount of combustible gases in a sample, with
an explosimeter. The Kelvin Bridge was specially adapted from the Wheatstone bridge for measuring
very low resistances. In many cases, the significance of measuring the unknown resistance is related to
measuring the impact of some physical phenomenon - such as force, temperature, pressure, etc. which thereby allows the use of Wheatstone bridge in measuring those elements indirectly.

UNIT II
BASIC ELECTRONIC MEASUREMENTS
Electronic multimeters Cathode ray oscilloscopes Block schematic Applications Special
oscilloscopes Q meters Vector meters RF voltage and power measurements
1. What are the main parts in CRO?

Electron gun assembly,


Deflection plate assembly,
Fluorescent screen,
Glass envelope,
Base for making connections

2. What is function generator?


Function generator is a class of oscillator-based signal sources that provided choice of different output
waveforms with adjustable frequency over a wide range.
3. Define deflection sensitivity.
The displacement of the electron beam at the target or screen of a cathode-ray tube per unit of
change in the deflection field; usually expressed in inches per volt applied between deflection
electrodes or inches per ampere in a deflection coil.
4. What is known as fluorescence?
When certain compounds are illuminated with high energy light, they then emit light of a
different, lower frequency. This effect is known as fluorescence.
5. Explain split beam method.
A beam splitter is an optical device that splits a beam of light in two. It is the crucial part of
most interferometers.
6. What are the two types of delay line used?
Electric delay lines, Acoustic delay lines
7. What is dual trace CRO?
Oscilloscopes with two vertical inputs, referred to as dual-trace oscilloscopes, are extremely
useful and commonplace. Using a single-beam CRT, they multiplex the inputs, usually switching
between them fast enough to display two traces apparently at once.

8. What is Q factor?
Q-factor is a direct measurement of the quality of the resonator, or in other words, the length of
time for which the energy can be stored.
9. What is a sampling oscilloscope?
Sampling oscilloscopes operate on the same principle as analog sampling oscilloscopes and
like their analog partners, are of great use when analyzing high frequency signals. That is, signals
whose frequencies are higher than the oscilloscope's sampling rate.
10. What is the purpose of time base circuit in a CRO?
The TIMEBASE control determines the rate at which the dot sweeps across the screen.
Choose a setting so the trace shows at least one cycle of the signal across the screen.
11. What are the applications of Q meter?
Measurement of Q, Measurement of inductance, capacitance and effective resistance and
bandwidth.
12. What is vector impedance meter?
An instrument that not only determines the ratio between voltage and current, to give the
magnitude of impedance, but also determines the phase difference between these quantities, to give the
phase angle of impedance.
13. What is the purpose of delay line in a CRO?
A transmission line (as dissipationless as possible), or an electric network approximation of it,
which, if terminated in its characteristic impedance, will reproduce at its output a waveform applied to
its input terminals with little distortion, but at a time delayed by an amount dependent upon the
electrical length of the line. Also known as artificial delay line.
14. List out some applications of CRO.
Electricians use them to view signal voltages, usually as a two-dimensional graph of one or
more electrical potential differences (vertical axis) plotted as a function of time or of some other
voltage (horizontal axis). Oscilloscopes are used in the sciences, medicine, engineering,
telecommunications, and industry. Special-purpose oscilloscopes may be used for such purposes as
analyzing an automotive ignition system, or to display the waveform of the heartbeat.
15. What are the different types of CRO?
Dual Trace CRO, Dual Beam CRO, Storage CROs and Sampling CROs

16. What is Digital sampling oscilloscope?


Digital sampling oscilloscopes operate on the same principle as analog sampling oscilloscopes
and like their analog partners, are of great use when analyzing high frequency signals. That is, signals
whose frequencies are higher than the oscilloscope's sampling rate.
PART B
1.

Explain the main parts in CRO.

The Cathode Ray Oscilloscope Introduction The following should give the student some
familiarization with the function and uses of the cathode ray oscilloscope (C.R.O.). Consider a simple
sine wave electrical signal from some source. If we can arrange things so that this sinusoidal voltage is
applied to two horizontal conducting plates then in the region between these plates, the electric field
will be alternating with period T seconds.
It will increase in strength to a maximum, decrease to zero, turn over, and increase in the opposite
direction to an equal maximum, then decrease to zero again, in each period of time T. Now, if there is
a beam of charged particles (electrons) streaming between these horizontal plates, the oscillating
electric field there will bend the beam first up, then down, then back to the undeflected position in
each time period T.
Further, if the beam strikes a plate of material which fluoresces, one would see a spot of light on this
plate (screen) which moves vertically up and down with period T. Now consider a set of vertical
plates, also straddling the electron beam. An electric field applied to these plates will deflect the beam
horizontally by an amount proportional to the voltage applied across the plates. If, connected to these
plates we have a circuit which generates a linear ramp voltage, periodically, with the same period T,
then the spot on the screen would be forced to start at the left side and move linearly in time across the
screen, reaching its maximum travel to the right at time T.
The spot would disappear then, and instantaneously reappear back where it started at the left hand
side. This sweep would repeat every time T. You may wonder how one can easily produce a
sawtooth wave at exactly the frequency of the input signal (or if you dont, you should!). The answer
is simple; you use the input signal to trigger the ramp (ie. to start it at its lowest voltage) every time the
input voltage reaches a particular value going in a particular direction (ie. increasing or decreasing). In
this way, if the input is periodic, then the sawtooth will have the same period, by definition.

The first set of plates, driven with the sinusoidally varying voltages will produce on the screen a spot
travelling up and down in simple harmonic motion. If fast enough, it will appear as a solid vertical
line. (Figure 2a) The second set of plates, driven with the ramp signal, if fast enough, produces a
horizontal line on the screen, as in Figure 2b. A combination of both sets of plates, one with a
sinusoidal driving voltage 2 of period T, the other with a ramp period of period T, will produce on the
screen a picture, (if the two circuits are synchronised so that they start as drawn on the voltage vs. time
graphs). If the ramp period is now doubled, so that the spot sweeps across the screen in a time 2/T, on
the sweep left to right the sinusoidal voltage will complete two full cycles and the picture. Hopefully,
this introduction will have presented an idea of how the C.R.O. functions in displaying a.c. (ie. timevarying) signals on the screen.

The main part of the C.R.O. is a highly evacuated glass tube housing parts which generates a beam of
electrons, accelerates them, shapes them into a

narrow beam, and provides external connections to

the sets of plates described above for changing the direction of the beam. The main elements of the
C.R.O. tube are shown in Figure. K, an indirectly heated cathode which provides a source of electrons
for the beam by boiling them out of the cathode. 2. P, the anode (or plate) which is circular with a
small central hole. The potential of P creates an electric field which accelerates the electrons, some of
which emerge from the hole as a fine beam. This beam lies along the central axis of the tube.
G, the grid. Controlling the potential of the grid controls the number of electrons for the beam, and
hence the intensity of the spot on the screen where the beam hits. F, the focusing cylinder. This aids in
concentrating the electron beam into a thin straight line much as a lens operates in optics. X, Y,
deflection plate pairs. The X plates are used for deflecting the beam left to right (the x direction) by
means of the ramp voltage. The Y plates are used for deflection of the beam in the vertical direction.
Voltages on the X and Y sets of plates determine where the beam will strike the screen and cause a
spot of light.
Front Panel
S, the screen. This is coated on the inside with a material which fluoresces with green light
(usually) where the electrons are striking. As well as this tube, there are several electronic circuits
required to operate the tube, all within the C.R.O. along with the tube:
1. A power supply, operated from the 110 volt 60 cycle per second electrical mains. This supply
provides all the voltages required for the different circuits within the C.R.O. for operation of the tube.

2. A sawtooth, or ramp signal generator which makes the spot move left to right on the screen.
External controls for this circuit allow variation of the sweep width, and the frequency of the sweep
signal. Because of the persistence of our vision, this sweep is often fast enough that what we see on the
screen is a continuous horizontal line.
3. Amplifiers for the internally generated ramp signal, and for the unknown signal which we hook
up to the C.R.O. for the purpose of displaying it.
4. Shift devices which allow us to control the mean position of the beam; up or down, or left to right.
5. The synchroniser circuit. This circuit allows us to synchronise the unknown signal with the ramp
signal such that the resulting display

2. Explain with block diagram of Sweep frequency generator.


Sweep-Frequency Generator
The working of a sweep-frequency generator is explained in the article below. The working
and block diagram of an electronically tuned sweep frequency generator and its different parameters
are also explained.
SIGNAL GENERATORS
A sweep frequency generator is a type of signal generator that is used to generate a sinusoidal
output. Such an output will have its frequency automatically varied or swept between two selected
frequencies. One complete cycle of the frequency variation is called a sweep. depending on the design
of a particular instrument, either linear or logarithmic variations can be introduced to the frequency
rate. However, over the entire frequency range of the sweep, the amplitude of the signal output is
designed to remain constant.
Sweep-frequency generators are primarily used for measuring the responses of amplifiers, filters, and
electrical components over various frequency bands. The frequency range of a sweep-frequency
generator usually extends over three bands, 0.001 Hz 100 kHz (low frequency to audio), 100 kHz
1,500 MHz (RF range), and 1-200 GHz (microwave range). It is really a hectic task to know the
performance of measurement of bandwidth over a wide frequency range with a manually tuned
oscillator. By using a sweep-frequency generator, a sinusoidal signal that is automatically swept

between two chosen frequencies can be applied to the circuit under test and its response against
frequency can be displayed on an oscilloscope or X-Y recorder.
Thus the measurement time and effort is considerably reduced. Sweep generators may also be
employed for checking and repairing of amplifiers used in TV and radar receivers.
The block diagram of an electronically tuned sweep frequency generator is shown in the figure
below.

The most important component of a sweep-frequency generator is the master oscillator. It is mostly an
RF type and has many 0perating ranges which are selected by a range switch. Either mechanical or
electronic variations can be brought to the frequency of the output signal of the signal generator. In
the case of mechanically varied models, a motor driven capacitor is used to tune the of the output
signal of the master oscillator.
In the case of electronically tuned models, two frequencies are used. One will be a constant
frequency that is produced by the master oscillator. The other will be a varying frequency signal,

which is produced by another oscillator, called the voltage controlled oscillator (VCO). The VCO
contains an element whose capacitance depends upon the voltage applied across it. This element is
used to vary the frequency of the sinusoidal output of the VCO. A special electronic device called a
mixer is then used to combine the output of the VCO and the output of the master oscillator.
When both the signals are combined, the resulting output will be sinusoidal, and its frequency
will depend on the difference of frequencies of the output signals of the master oscillator and VCO.
For example, if the master oscillator frequency is fixed at 10.00 MHz and the variable frequency is
varied between 10.01 MHz to 35 MHz, the mixer will give sinusoidal output whose frequency is swept
from 10 KHz to 25 MHz.
Adjustments can be brought to the sweep rates in a sweep frequency generator and it normally can be
varied from 100 to 0.01 seconds per sweep. The X-axis of an oscilloscope or X-Y recorder can be
easily driven synchronously with a voltage that varies linearly or logarithmically. In the electronically
tuned sweep generators, the same voltage which drives the VCO serves as this voltage.When the
frequency varies linearly or logarithmically, the values of the end frequencies can be used to find the
frequency of various points along the frequency-response curve. Markers can be employed for more
accuracy.
3. Explain with block diagram of dual beam oscilloscope.
Oscilloscope electronic device used to produce visual displays corresponding to electrical
signals. Displays of such non electrical phenomena as the variations of a sound's intensity can be made
if the phenomena are converted into electrical signals. The display is formed by a moving dot on the
screen of a cathode-ray tube. For most applications horizontal deflecting circuits move the dot in a
repetitive cycle from left to right, and then, very quickly, back to its starting position to begin the next
sweep. If during this process the vertical deflecting circuits move the dot up and down in response to
the variations of the signal to be observed, a wavelike picture of the signal appears on the screen. An
oscilloscope is one of the most valuable tools of an engineer or electronics technician.
A test instrument that is used to measure and analyze electronic signals (waves and pulses)
displayed on its screen. The x-axis represents time, and the y-axis represents an instantaneous view of

the voltage of the input signal. To allow viewing signals across a wide frequency range, the rate and
speed at which the sweep of the x-axis occurs is configurable.
The sensitivity of the inputs can also be configured to accept signals from microvolts peak-topeak to many thousands of volts peak -to- peak. Both analog and digital oscilloscopes are available. In
an analog scope, the x-axis is controlled by an internal time base, and the y-axis is directly controlled
by the input signal. In a digital model, the input voltage is sampled at a preset frequency. The x-axis
represents the samples along a timeline, and the y-axis shows the voltage levels of each sample. See
spectrum analyzer.
An electronic measuring instrument which produces a display showing the relationship of two
or more variables. In most cases it is an orthogonal (x,y) plot with the horizontal axis being a linear
function of time. The vertical axis is normally a linear function of voltage at the signal input terminal
of the instrument. Because transducers of many types are available to convert almost any physical
phenomenon into a corresponding voltage, the oscilloscope is a very versatile tool that is useful for
many forms of physical investigation. The oscillograph is an instrument that performs a similar
function but provides a permanent record. The light-beam oscillograph used a beam of light reflected
from a mirror galvanometer which was focused onto a moving light-sensitive paper.
These instruments are obsolete. The mechanical version, in which the galvanometer drives a
pen which writes on a moving paper chart, is still in use, particularly for process control. See
Galvanometer Oscilloscopes are one of the most widely used electronic instruments because they
provide easily understood displays of electrical waveforms and are capable of making measurements
over an extremely wide range of voltage and time. Although a very large number of analog
oscilloscopes are in use, digitizing oscilloscopes (also known as digital oscilloscopes or digital storage
oscilloscopes) are preferred, and analog instruments are likely to be superseded.
An analog oscilloscope, in its simplest form, uses a linear vertical amplifier and a time base to
display a replica of the input signal waveform on the screen of a cathode-ray tube (CRT). The screen is
typically divided into 8 vertical divisions and 10 horizontal divisions. Analog oscilloscopes may be
classified into nonstorage oscilloscopes, storage oscilloscopes, and sampling oscilloscopes. Analog
nonstorage oscilloscopes are the oldest and most widely used type. Except for the cathode-ray tube,
the circuit descriptions also apply to analog storage oscilloscopes. A typical oscilloscope might have a

bandwidth of 150 MHz, two main vertical channels plus two auxiliary channels, two time bases (one
usable for delay), and a cathode-ray-tube display area; and it might include on-screen readout of some
control settings and measurement results.
A typical oscilloscope is composed of five basic elements: (1) the cathode-ray tube and
associated controls; (2) the vertical or signal amplifier system with input terminal and controls; (3) the
time base, which includes sweep generator, triggering circuit, horizontal or x-amplifier, and
unblanking circuit; (4) auxiliary facilities such as a calibrator and on-screen readout; and (5) power
supplies. Digital techniques are applied to both timing and voltage measurement in digitizing
oscilloscopes. A digital clock determines sampling instants at which analog-to-digital converters
obtain digital values for the input signals. The resulting data can be stored indefinitely or transferred to
other equipment for analysis or plotting. See Voltage measurement, Waveform determination
In its simplest form a digitizing oscilloscope comprises six basic elements: (1) analog vertical
input amplifier; (2) high-speed analog-to-digital converter and digital waveform memory; (3) time
base, including triggering and clock drive for the analog-to-digital converter and waveform memory;
(4) waveform reconstruction and display circuits; (5) display, generally, but not restricted to, a
cathode-ray tube; (6) power supplies and ancillary functions. In addition, most digitizing oscilloscopes
provide facilities for further manipulation of waveforms prior to display, for direct measurements of
waveform parameters, and for connection to external devices such as computers and hard-copy units.
Higher measurement accuracy is available from digitizing oscilloscopes.
The first decision to be made in choosing an oscilloscope is whether this or any of the other
properties exclusive to the digitizing type are essential. If not, the option of an analog design remains.
The selected instrument must be appropriate for the signal under examination. It must have enough
sensitivity to give an adequate deflection from the applied signal, sufficient bandwidth, adequately
short rise time, and time-base facilities capable of providing a steady display of the waveform. An
analog oscilloscope needs to be able to produce a visible trace at the sweep speed and repetition rate
likely. A digitizing oscilloscope must have an adequate maximum digitizing rate and a sufficiently
long waveform memory.

3. Explain with block diagram of dual trace oscilloscope.


Dual and multiple-trace oscilloscopes
Oscilloscopes with two vertical inputs, referred to as dual-trace oscilloscopes, are extremely
useful and commonplace. Using a single-beam CRT, they multiplex the inputs, usually switching
between them fast enough to display two traces apparently at once. Less common are oscilloscopes
with more traces; four inputs are common among these, but a few (Kikusui, for one) offered a display
of the sweep trigger signal if desired. Some multi-trace oscilloscopes use the external trigger input as
an optional vertical input, and some have third and fourth channels with only minimal controls. In all
cases, the inputs, when independently displayed, are time-multiplexed, but dual-trace oscilloscopes
often can add their inputs to display a real-time analog sum.
Switching channels can be asynchronous, that is, free-running, with trace blanking while
switching, or after each horizontal sweep is complete. Asynchronous switching is usually designated
"Chopped", while sweep-synchronized is designated "Alt[ernate]". A given channel is alternately
connected and disconnected, leading to the term "chopped". Multi-trace oscilloscopes also switch
channels either in chopped or alternate modes.
In general, chopped mode is better for slower sweeps. It is possible for the internal chopping
rate to be a multiple of the sweep repetition rate, creating blanks in the traces, but in practice this is
rarely a problem; the gaps in one trace are overwritten by traces of the following sweep. A few
oscilloscopes had a modulated chopping rate to avoid this occasional problem. Alternate mode,
however, is better for faster sweeps.
True dual-beam CRT oscilloscopes did exist, but were not common. One type (Cossor, U.K.)
had a beam-splitter plate in its CRT, and single-ended deflection following the splitter. (More details
are near the end of this article; see "CRT Invention". Others had two complete electron guns, requiring
tight control of axial (rotational) mechanical alignment in manufacturing the CRT. Beam-splitter types
had horizontal deflection common to both vertical channels, but dual-gun oscilloscopes could have
separate time bases, or use one time base for both channels. Multiple-gun CRTs (up to ten guns) were
made in past decades. With ten guns, the envelope (bulb) was cylindrical throughout its length.

The vertical amplifier


In an analog oscilloscope, the vertical amplifier acquires the signal[s] to be displayed. In better
oscilloscopes, it delays them by a fraction of a microsecond, and provides a signal large enough to
deflect the CRT's beam. That deflection is at least somewhat beyond the edges of the graticule, and
more typically some distance off-screen. The amplifier has to have low distortion to display its input
accurately (it must be linear), and it has to recover quickly from overloads. As well, its time-domain
response has to represent transients accuratelyminimal overshoot, rounding, and tilt of a flat pulse
top.
A vertical input goes to a frequency-compensated step attenuator to reduce large signals to
prevent overload. The attenuator feeds a low-level stage (or a few), which in turn feed gain stages (and
a delay-line driver if there is a delay). Following are more gain stages, up to the final output stage
which develops a large signal swing (tens of volts, sometimes over 100 volts) for CRT electrostatic
deflection.In dual and multiple-trace oscilloscopes, an internal electronic switch selects the relatively
low-level output of one channel's amplifiers and sends it to the following stages of the vertical
amplifier, which is only a single channel, so to speak, from that point on.
In free-running ("chopped") mode, the oscillator (which may be simply a different operating
mode of the switch driver) blanks the beam before switching, and unblanks it only after the switching
transients have settled.Part way through the amplifier is a feed to the sweep trigger circuits, for
internal triggering from the signal. This feed would be from an individual channel's amplifier in a dual
or multi-trace oscilloscope, the channel depending upon the setting of the trigger source selector.
This feed precedes the delay (if there is one), which allows the sweep circuit to unblank the
CRT and start the forward sweep, so the CRT can show the triggering event. High-quality analog
delays add a modest cost to a oscilloscope, and are omitted in oscilloscopes that are cost-sensitive.The
delay, itself, comes from a special cable with a pair of conductors wound around a flexible
magnetically-soft core. The coiling provides distributed inductance, while a conductive layer close to
the wires provides distributed capacitance. The combination is a wideband transmission line with
considerable delay per unit length. Both ends of the delay cable require matched impedances to avoid
reflections.

X-Y mode
Most modern oscilloscopes have several inputs for voltages, and thus can be used to plot one
varying voltage versus another. This is especially useful for graphing I-V curves (current versus
voltage characteristics) for components such as diodes, as well as Lissajous patterns. Lissajous figures
are an example of how an oscilloscope can be used to track phase differences between multiple input
signals. This is very frequently used in broadcast engineering to plot the left and right stereophonic
channels, to ensure that the stereo generator is calibrated properly. Historically, stable Lissajous
figures were used to show that two sine waves had a relatively simple frequency relationship, a
numerically-small ratio. They also indicated phase difference between two sine waves of the same
frequency.Complete loss of signal in an X-Y display means that the CRT's beam strikes a small spot,
which risks burning the phosphor. Older phosphors burned more easily. Some dedicated X-Y displays
reduce beam current greatly, or blank the display entirely, if there are no inputs present.
Bandwidth
Bandwidth is a measure of the range of frequencies that can be displayed; it refers primarily to
the vertical amplifier, although the horizontal deflection amplifier has to be fast enough to handle the
fastest sweeps. The bandwidth of the oscilloscope is limited by the vertical amplifiers and the CRT (in
analog instruments) or by the sampling rate of the analog to digital converter in digital instruments.
The bandwidth is defined as the frequency at which the sensitivity is 0.707 of the sensitivity at lower
frequency (a drop of 3 dB). The rise time of the fastest pulse that can be resolved by the scope is
related to its bandwidth approximately:
Bandwidth in Hz x rise time in seconds = 0.35 [8]
5. Explain the working principle of a vector voltmeter with the help of a neat block diagram.
A voltmeter is an instrument used for measuring electrical potential difference between two
points in an electric circuit. Analog voltmeters move a pointer across a scale in proportion to the
voltage of the circuit; digital voltmeters give a numerical display of voltage by use of an analog to
digital converter. Voltmeters are made in a wide range of styles. Instruments permanently mounted in
a panel are used to monitor generators or other fixed apparatus. Portable instruments, usually equipped

to also measure current and resistance in the form of a multimeter, are standard test instruments used
in electrical and electronics work.
Any measurement that can be converted to a voltage can be displayed on a meter that is
suitably calibrated; for example, pressure, temperature, flow or level in a chemical process plant.
General purpose analog voltmeters may have an accuracy of a few percent of full scale, and are used
with voltages from a fraction of a volt to several thousand volts. Digital meters can be made with high
accuracy, typically better than 1%.
Specially calibrated test instruments have higher accuracies, with laboratory instruments
capable of measuring to accuracies of a few parts per million. Meters using amplifiers can measure
tiny voltages of microvolts or less. Part of the problem of making an accurate voltmeter is that of
calibration to check its accuracy. In laboratories, the Weston Cell is used as a standard voltage for
precision work. Precision voltage references are available based on electronic circuits.
Analog voltmeter

A moving coil galvanometer of the d'Arsonval type.

The red wire carries the current to be measured.

The restoring spring is shown in green.

N and S are the north and south poles of the magnet.


A moving coil galvanometer can be used as a voltmeter by inserting a resistor in series with the

instrument. It employs a small coil of fine wire suspended in a strong magnetic field. When an electric
current is applied, the galvanometer's indicator rotates and compresses a small spring. The angular

rotation is proportional to the current through the coil. For use as a voltmeter, a series resistance is
added so that the angular rotation becomes proportional to the applied voltage. One of the design
objectives of the instrument is to disturb the circuit as little as possible and so the instrument should
draw a minimum of current to operate.
This is achieved by using a sensitive ammeter or microammeter in series with a high
resistance. The sensitivity of such a meter can be expressed as "ohms per volt", the number of ohms
resistance in the meter circuit divided by the full scale measured value. For example a meter with a
sensitivity of 1000 ohms per volt would draw 1 milliampere at full scale voltage; if the full scale was
200 volts, the resistance at the instrument's terminals would be 200,000 ohms and at full scale the
meter would draw 1 milliampere from the circuit under test. For multi-range instruments, the input
resistance varies as the instrument is switched to different ranges.
Moving-coil instruments with a permanent-magnet field respond only to direct current.
Measurement of AC voltage requires a rectifier in the circuit so that the coil deflects in only one
direction. Moving-coil instruments are also made with the zero position in the middle of the scale
instead of at one end; these are useful if the voltage reverses its polarity. Voltmeters operating on the
electrostatic principle use the mutual repulsion between two charged plates to deflect a pointer
attached to a spring. Meters of this type draw negligible current but are sensitive to voltages over
about 100 volts and work with either alternating or direct current.
Digital voltmeters

Digital voltmeters (DVMs) are usually designed around a special type of analog-to-digital
converter called an integrating converter. Voltmeter accuracy is affected by many factors, including
temperature and supply voltage variations. To ensure that a digital voltmeter's reading is within the
manufacturer's specified tolerances, they should be periodically calibrated against a voltage standard
such as the Weston cell. Digital voltmeters necessarily have input amplifiers, and, like vacuum tube
voltmeters, generally have a constant input resistance of 10 megohms regardless of set measurement
range.
6. With a neat block diagram explain the function of a general purpose oscilloscope.
An oscilloscope (also known as a scope, CRO, DSO or, an O-scope) is a type of electronic
test instrument that allows observation of constantly varying signal voltages, usually as a twodimensional graph of one or more electrical potential differences using the vertical or 'Y' axis, plotted
as a function of time, (horizontal or 'x' axis). Although an oscilloscope displays voltage on its vertical
axis, any other quantity that can be converted to a voltage can be displayed as well. In most instances,
oscilloscopes show events that repeat with either no change, or change slowly. Oscilloscopes are
commonly used to observe the exact wave shape of an electrical signal. In addition to the amplitude of
the signal, an oscilloscope can show distortion, the time between two events (such as pulse width,
period, or rise time) and relative timing of two related signals.
Oscilloscopes are used in the sciences, medicine, engineering, and telecommunications
industry. General-purpose instruments are used for maintenance of electronic equipment and
laboratory work. Special-purpose oscilloscopes may be used for such purposes as analyzing an
automotive ignition system, or to display the waveform of the heartbeat as an electrocardiogram.
Originally all oscilloscopes used cathode ray tubes as their display element and linear amplifiers for
signal processing, (commonly referred to as CROs) however, modern oscilloscopes have LCD or LED
screens, fast analog-to-digital converters and digital signal processors. Although not as commonplace,
some oscilloscopes used storage CRTs to display single events for a limited time. Oscilloscope
peripheral modules for general purpose laptop or desktop personal computers use the computer's
display, allowing them to be used as test instruments.

Features and uses

Display and general external appearance


The basic oscilloscope, as shown in the illustration, is typically divided into four sections: the
display, vertical controls, horizontal controls and trigger controls. The display is usually a CRT or
LCD panel which is laid out with both horizontal and vertical reference lines referred to as the
graticule. In addition to the screen, most display sections are equipped with three basic controls, a
focus knob, an intensity knob and a beam finder button.
The vertical section controls the amplitude of the displayed signal. This section carries a Voltsper-Division (Volts/Div) selector knob, an AC/DC/Ground selector switch and the vertical (primary)
input for the instrument. Additionally, this section is typically equipped with the vertical beam
position knob. The horizontal section controls the time base or sweep of the instrument. The primary
control is the Seconds-per-Division (Sec/Div) selector switch. Also included is a horizontal input for
plotting dual X-Y axis signals.
The horizontal beam position knob is generally located in this section. The trigger section
controls the start event of the sweep. The trigger can be set to automatically restart after each sweep or
it can be configured to respond to an internal or external event. The principal controls of this section
will be the source and coupling selector switches. An external trigger input (EXT Input) and level
adjustment will also be included.
In addition to the basic instrument, most oscilloscopes are supplied with a probe as shown. The
probe will connect to any input on the instrument and typically has a resistor of ten times the
oscilloscope's input impedance. This results in a .1 (-10X) attenuation factor, but helps to isolate the

capacitive load presented by the probe cable from the signal being measured. Some probes have a
switch allowing the operator to bypass the resistor when appropriate.[1]
Size and portability
Most modern oscilloscopes are lightweight, portable instruments that are compact enough to be
easily carried by a single person. In addition to the portable units, the market offers a number of
miniature battery-powered instruments for field service applications. Laboratory grade oscilloscopes,
especially older units which use vacuum tubes, are generally bench-top devices or may be mounted
into dedicated carts. Special-purpose oscilloscopes may be rack-mounted or permanently mounted into
a custom instrument housing.
Inputs
The signal to be measured is fed to one of the input connectors, which is usually a coaxial
connector such as a BNC or UHF type. Binding posts or banana plugs may be used for lower
frequencies. If the signal source has its own coaxial connector, then a simple coaxial cable is used;
otherwise, a specialised cable called a "scope probe", supplied with the oscilloscope, is used. In
general, for routine use, an open wire test lead for connecting to the point being observed is not
satisfactory, and a probe is generally necessary. General-purpose oscilloscopes usually present an
input impedance of 1 megohm in parallel with a small but known capacitance such as 20 picofarads.[2]
This allows the use of standard oscilloscope probes.[3] Scopes for use with very high frequencies may
have 50-ohm inputs, which must be either connected directly to a 50-ohm signal source or used with
Z0 or active probes. Less-frequently-used inputs include one (or two) for triggering the sweep,
horizontal deflection for X-Y mode displays, and trace brightening/darkening, sometimes called "Zaxis" inputs.
Probes
Open wire test leads (flying leads) are likely to pick up interference, so they are not suitable for
low level signals. Furthermore, the leads have a high inductance, so they are not suitable for high
frequencies. Using a shielded cable (i.e., coaxial cable) is better for low level signals. Coaxial cable
also has lower inductance, but it has higher capacitance: a typical 50 ohm cable has about 90 pF per

meter. Consequently, a one meter direct (1X) coaxial probe will load a circuit with a capacitance of
about 110 pF and a resistance of 1 megohm.
To minimize loading, attenuator probes (e.g., 10X probes) are used. A typical probe uses a
9 megohm series resistor shunted by a low-value capacitor to make an RC compensated divider with
the cable capacitance and scope input. The RC time constants are adjusted to match. For example, the
9 megohm series resistor is shunted by a 12.2 pF capacitor for a time constant of 110 microseconds.
The cable capacitance of 90 pF in parallel with the scope input of 20 pF and 1 megohm (total
capacitance 110 pF) also gives a time constant of 110 microseconds. In practice, there will be an
adjustment so the operator can precisely match the low frequency time constant (called compensating
the probe). Matching the time constants makes the attenuation independent of frequency. At low
frequencies (where the resistance of R is much less than the reactance of C), the circuit looks like a
resistive divider; at high frequencies (resistance much greater than reactance), the circuit looks like a
capacitive divider.
Types of trigger:

External trigger, a pulse from an external source connected to a dedicated input on the scope.

Edge trigger, an edge-detector that generates a pulse when the input signal crosses a specified

threshold voltage in a specified direction. These are the most-common types of triggers; the level
control sets the threshold voltage, and the slope control selects the direction (negative or positivegoing). (The first sentence of the description also applies to the inputs to some digital logic circuits;
those inputs have fixed threshold and polarity response.)

Video trigger, a circuit that extracts synchronizing pulses from video formats such as PAL and

NTSC and triggers the timebase on every line, a specified line, every field, or every frame. This circuit
is typically found in a waveform monitor device, although some better oscilloscopes include this
function.

Delayed trigger, which waits a specified time after an edge trigger before starting the sweep. As

described under delayed sweeps, a trigger delay circuit (typically the main sweep) extends this delay
to a known and adjustable interval. In this way, the operator can examine a particular pulse in a long
train of pulses.

UNIT III
SIGNAL GENERATORS AND ANALYZERS
Function generators RF signal generators Sweep generators Frequency synthesizer
Wave analyzer Harmonic distortion analyzer Spectrum analyzer, digital spectrum analyzer,
Vector Network Analyzer Digital L,C,R measurements, Digital RLC meters
PART-A
1. What is an oscillator?
An oscilloscope (also known as a scope, CRO, DSO or, an O-scope) is a type of electronic test
instrument that allows observation of constantly varying signal voltages, usually as a two-dimensional
graph of one or more electrical potential differences using the vertical or 'Y' axis, plotted as a function
of time, (horizontal or 'x' axis). Although an oscilloscope displays voltage on its vertical axis, any
other quantity that can be converted to a voltage can be displayed as well. In most instances,
oscilloscopes show events that repeat with either no change, or change slowly.
2. What is known as duty cycle?
Duty cycle of a system is the time that it spends in an active state as a fraction of the total time
under consideration.
3. Define Rise time, fall time.
Rise time refers to the time required for a signal to change from a specified low value to a
specified high value. Typically, in analog electronics, these values are 10% and 90% of the step
height: in control theory applications, according to Levine (1996, p. 158), rise time is defined as "the
time required for the response to rise from x% to y% of its final value", with 0%-100% rise time
common for overdamped second order systems, 5%-95% for critically damped and 10%-90% for
underdamped.[1] The output signal of a system is characterized also by fall time: both parameters
depend on rise and fall times of input signal and on the characteristics of the system.
4. Define voltage droop.
Voltage droop is the intentional loss in output voltage from a device as it drives a load.
Employing droop in a voltage regulation circuit increases the headroom for load transients.

5. Define rounding.
Rounding a numerical value means replacing it by another value that is approximately equal
but has a shorter, simpler, or more explicit
6. Define pulse width.
Pulse-width is a commonly used technique for controlling power to inertial electrical devices,
made practical by modern electronic power switches.
7. What is a sweep frequency generator?
A sweep frequency generator is a type of signal generator that is used to generate a sinusoidal
output. Such an output will have its frequency automatically varied or swept between two selected
frequencies. One complete cycle of the frequency variation is called a sweep.
8. What is resolution in spectrum analyzer?
A spectrum analyzer or spectral analyzer or signal analyzer is a device used to examine the spectral
composition of some electrical, acoustic, or optical waveforms. It also measures the power spectrum.
PART B
1.

Explain how power is measured in an optical fiber.


Attenuation is the loss of optical power as a result of absorption, scattering, bending, and other

loss mechanisms as the light travels through the fiber. The total attenuation is a function of the
wavelength of the light. The total attenuation A between two arbitrary points X and Y on the fiber
is A(dB) = 10 log10 (Px/Py). Px is the power output at point X. Py is the power output at point Y.
Point X is assumed to be closer to the optical source than point Y. The attenuation coefficient or
attenuation rate is given by (dB/km) = A/L. Here L is the distance between points X and Y.
The cutback method is often used for measuring the total attenuation of an optical fiber. The
cutback method involves comparing the optical power transmitted through a long piece of fiber to the
power transmitted through a very short piece of the fiber. The cutback method requires that a test fiber
of known length L be cut back to a length of approximate 2 m. It requires access to both ends of the

fiber. The cutback method begins by measuring the output power Py of the test fiber of known length
L. Without disturbing the input conditions, the test fiber is cut back to a length of approximate 2 m.
The output power Px of the short test fiber is then measured and the fiber attenuation A and the
attenuation coefficient are calculated.
Different launch conditions can lead to different results. For multimode fiber, the distribution
of power among the modes of the fiber must be controlled. This is accomplished by controlling the
launch spot size, i.e. the area of the fiber face illuminated by the light beam, and the angular
distribution of the light beam. When the launch spot size is smaller than the area of the fiber face and
the numerical aperture NA of the input radiation is smaller than the NA of the fiber, the fiber is said to
be underfilled. Most of the optical power is concentrated in the center of the fiber and mainly loworder modes are excited.
When the launch spot size is larger than the area of the fiber face and the numerical aperture
NA of the input radiation is larger than the NA of the fiber, the fiber is said to be overfilled. Light that
falls outside the fiber core and light incident at angles greater than the angle of acceptance of the fiber
core is lost. Overfilling the fiber excites both low-order and high-order modes. Launch conditions
affect the results of multimode fiber attenuation measurements. If too much power is launched into
high-order modes, the high-order-mode power loss will dominate the attenuation results. Generally,
fiber attenuation measurements are performed using underfilled launch conditions. The cutoff
wavelength of a single mode fiber is the wavelength above which the fiber propagates only the
fundamental mode. We need V = kf a NA = 2a NA /
0 < 2.405. The cutoff wavelength of a
single mode fiber is a function of the fiber's radius of curvature. Measuring the cutoff wavelength
involves comparing the transmitted power from a test fiber with that of a reference fiber as a function
of wavelengths.
The test fiber is loosely supported in a single-turn with a constant radius of 140 mm. The
transmitted signal power Ps() is recorded while scanning the wavelength in increments of 10 nm or
less over the expected cutoff wavelength. The launch and detection conditions are not changed while
scanning over the range of wavelengths. For the reference power measurement the launch and
detection conditions are not changed, but the fiber is bent to a radius of 30 mm or less to suppress the
second-order mode at all the scanned wavelengths.

The modal bandwidth of a multimode optical fiber can be measured by measuring the power transfer
function H(f) of the fiber at the band frequency (f). Signals of varying frequencies (f) are launched
into the test fiber and the power exiting the fiber at the launched fundamental frequency is measured.
This optical output power is denoted as Pout(f). The test fiber is then cut back or replaced with a short
length of fiber of the same type.
Signals of the same frequency are launched into the cut-back fiber and the power exiting the
cut-back fiber at the launched fundamental frequency is measured. The optical power exiting the
cutback or replacement fiber is denoted as Pin(f). The magnitude of the optical fiber frequency
response is defined as H(f)=10log10(Pout(f)/Pin(f)), or, if the launch conditions for the two experiments
are not exactly the same, H(f) = 10 log10(Pout(f)Pin(0)/Pin(f)Pout(0)).
The fiber bandwidth is defined as the frequency at which the magnitude of the fiber frequency
response has decreased to one-half its zero-frequency value and H(f) = -3. This frequency is called the
-3 decibel (dB) optical power frequency (f3dB) and referred to as the fiber bandwidth. Bandwidth is
normally given in units of megahertz-kilometers (MHz-km). Converting to a unit length assists in the
analysis and comparison of optical fiber performance.
Chromatic dispersion occurs because the index of refraction is a function of wavelength and
different wavelengths of light travel through the fiber at different speeds. The chromatic dispersion of
multimode graded-index and single mode fibers is obtained by measuring the time it takes pulses of
light with different wavelengths to travel through a long piece of fiber. These measurements are made
using multi-wavelengths sources such as wavelength-selectable lasers or multiple sources of different
wavelengths.
To make fiber geometry measurements, the input end of the fiber is overfilled and mode
filtered. The output end of the fiber is viewed with a video camera. The image from the video camera
is sent to a computer for digital analysis. The computer analyzes the image to identify the edges of the
core and cladding. The cladding diameter is defined as the average diameter of the cladding. The core
diameter is defined as the average diameter of the core. Cladding non circularity, or ellipticity, is the
difference between the smallest radius of the cladding and the largest radius of the cladding divided
by the average cladding radius.

Core non circularity is the difference between the smallest core radius and the largest core
radius divided by the average core radius. Core non circularity is measured on multimode fibers only.
The core-cladding concentricity error for multimode fibers is the distance between the core and
cladding centers divided by the core diameter. The core-cladding concentricity error for single mode
fibers is defined as the distance between the core and cladding centers.
2. Explain with block diagram of Optical time domain reflectometer.
Basic principles of the method
The backscattering method was invented by M. Barnoskim and M. Jensen in 1976 [1], in time
when technology of the optical fiber manufacturing was at early stages. The precise and reliable
measurement of local losses on the fiber was very important for further improvement of quality of
fibers. In the paper cited above the authors describe a new method for the loss distribution along the
fiber. The basic idea of the proposed method consisted in launching a rather short and high power
optical impulse into the tested fiber and a consequent detection of back scattered optical power as a
response of the fiber to the test impulse. The detected signal provides the detail picture about the local
loss distribution or reflections along the fiber caused by any of the attenuation mechanisms or some
other nonhomogeneities on the fiber. An important feature of the method is non-destructivity and the
fact that the access to only input end of the fiber is needed.
The measurement of the time delay of the detected signal from the fiber end or from any
perturbation on the fiber allows to derive the information about the perturbation localization provided
that the index of refraction in the fiber core or group velocity of light propagation is known. In any
point on the fiber the magnitude of the backscattered optical power is proportional to the local
transmitted optical power. Due to the nonzero losses this power is gradually attenuated along the fiber
and consequently also the backscattered power is also attenuated. The measurement of the
backscattered power as a function of time or position on the fiber gives the information about the local
distribution of the attenuation coefficient along the fiber. In this way one can evaluate the space
distribution and magnitude of various non-homogeneities along the fiber like optical connectors,
splicings, micro- and macro-bend losses and others measurand-perturbances. The comparison of the
losses closely before and after point of interest makes possible to evaluate insertion losses of the
various optical components on the fiber link.

Theoretical description of the conventional OTDR


The elementary experimental experience gives the relation describing the dependence of the
optical power propagating along the optical fiber as a function of the distance x from the input end of
the fiber

where P(x) is the total optical power at the distance x from the point of launching the input optical
impulse, P0 is the value of the input optical power (x = 0), is the total attenuation coefficient in
Np/km and 1(x) is the Heaviside step function. In practice the attenuation coefficient is usually
expressed in dB/km. In this case the relation (3.2.1) can be rewritten into the form

where ' is the total attenuation coefficient given in units dB/km. The mutual relation between and '
is defined by

Total losses in the fiber are caused by different mechanisms and the total attenuation coefficient can
be different at any point on the fiber. As a result it is necessary to rewrite the relation (3.2.1) into more
general form

where the local attenuation coefficient (x) is now a function of the distance x. It can be shown that
the total attenuation coefficient can be roughly split into two components

Where a(x) represents the absorption losses and rs(x) represents the losses by Rayleigh scattering
mechanism. The average value of the total attenuation coefficient

(x) on the fiber section defined by

distance (0, x) can be calculated according to the formula

The elementary optical power dPrs scattered by the Rayleigh mechanism on each elementary
fiber section dx (scattering centre) at the distance x from the input end of the fiber is given by

where due to simplicity coefficient rs(x) was taken as constant along the fiber.
Provided that rsdx << 1, (3.2.8) can be approximated by the relation

In accordance with the relation (3.2.4) the propagating local optical power P(x) changes along
the fiber. A part of the isotropically scattered optical power, described (3.2.9), is refracted at the
boundary core/cladding and is totally lost and the other part is recaptured by the numerical aperture of
the fiber and is directed in the forward and backward direction. The part directed backwards is called
backscattered optical power. Its magnitude is directly proportional to the backscattering coefficient S
what allows one to express the backscattered power from the elementary section dx on the fiber in the
form

The backscattered power is, similarly as forward propagating total optical power, attenuated on
the route to the input end of the fiber. The backward attenuation coefficient (let us denote it by ''(x))
is generally different from the forward attenuation coefficient (x). As a result one can write for the
backscattered power from the elementary section dx in the point x, that can be detected at the input
end of the fiber, the formula

If one takes A1 and A2 as the total average attenuation coefficients at the distance x in forward and
backward direction respectively

and A will represent their arithmetical average A = 0.5(A1 + A2), then the relation can be transformed
into the form

For the backscattering coefficient S one can derive the analytical relation describing its
magnitude for the single-mode and multi-mode fibers with a given refraction index profile. Under
some simplifications a rather simple relation for the backscattering coefficient for a single-mode
optical fiber can be obtained in the form [2]

For the case of a multi-mode fiber with a step-index profile the backscattering coefficient can
be described by

where NA = (n12 - n22)1/2 is the numerical aperture, n1, n2 are the refractive indexes of the core and
cladding respectively, wo is the mode field diameter of the basic mode, a is the fiber core radius, V is
so called normalized frequency V = (2a/)NA. Let us now to analyze the time dependence of the
backscattered power detected at the input end of the fiber as a response to the testing impulse of the
optical power. For this purpose let us consider the optical fiber into which an optical impulse of the
instantaneous power P0 and the width T0 was coupled in the time t = 0. The time dependence of this
impulse is given by the relation
P(t) = P0[1(t) - 1(t - T0)]
where 1(t) is the Heaviside unit step function. One can imagine this impulse as a lit section of the
fiber. The length of the region is given by x = vgT0, where vg is the group velocity of the impulse
propagation in the fiber. The position of the trailing edge of the impulse at time (t - T0) is given by x
and the position of the leading edge is given by (x + x). The described situation is outlined in the Fig.
3.2.1.

Fig. 3.2.1. The position of the optical impulse in the fiber core at time t

In a real optical fiber the time dependence of the backscattered power is influenced except the
attenuation also by all other changes or statistical deviations of the fiber parameters from an ideal fiber
considered in the theory. It means that the detected power also in the case of ideal detection system is
not represented by an ideal theoretical exponential function. In reality it is a complicated function
shaped by the internal properties of the fiber reflecting the space distribution of various perturbation
along the fiber mentioned in previous chapter.
3. Dynamical range and resolution of the conventional OTDR?
As it was briefly indicated above the signal S(t) at the output of the detection system of the
conventional OTDR reflectometer is generally given by the convolution of the input testing signal
Pin(t), impulse response of the tested optical fiber H(t) and the impulse response of the optical receiver
R(t) [6]
(3.3.1)
The convolution product

for the case of an ideal fiber ( = const.) and the rectangular

shape of the testing impulse of duration T0, as it follows from (3.2.20) and (3.2.23) is given by the
relation

(3.3.2)
Provided the width of the testing impulse is T0 the space localization of a point scattering
center cannot be better than x = 0.5T0vg, neither in the case of the use of an ideal optical receiver. To
get the best space resolution of the disturbance localization by the method one should use as narrow
testing impulse as possible. However due to decrease of the impulse energy E = P0T0 such a choice
deteriorate the conditions for the detection as it follows from the relation (3.3.2).
In the frame of the conventional OTDR there are only two possibilities how to increase the
energy of the testing impulse: a) through the increase of P0 of the laser diode or b) through the increase
of the testing impulse duration T0. However the latter possibility indispensably implies the
deterioration of the space resolution of the method. This mutual competitive relation between the
testing impulse power and its duration or between the dynamical range and space resolution represents

the fundamental limit of the conventional OTDR. Due to practical constraints (life time, heating, high
excitation current, electromagnetic interference, ...) the output power of the laser diode can not be
increased above the certain level. More, neglecting the above mentioned practical reasons the optical
power can not be enhanced above the level corresponding to the occurrence of non-linear effects in the
fiber.
Optical power of the testing impulse is also limited by the saturation of the optical receiver
caused by Fresnel reflections from various non-homogeneities like optical connectors, fiber breaks,
low quality splicings and so on. The conditions for good detection are negatively affected also by a
very short excitation impulse that requires a broader bandwidth of the receiver. Of course the
enlargement of the receiver bandwidth implies automatically in the increase of the receiver equivalent
noise power.
The mutual relations between the testing impulse energy, the magnitude of the backscattered
and (Fresnel) reflected optical powers as the functions of the testing impulse width are graphically
outlined in the figure 3.3.1. The dependences were set for single-mode fiber with the attenuation
coefficient = 0.35 dB/km and the wavelength = 1300 nm, the laser diode output power is taken
8 mW (9 dBm). Two testing impulse width are considered - 4 s and 100 ns. Due to the use of the
3 dB optical power splitter at the input end of the fiber the absolute value of the launched optical
power in the fiber is equal to 4 mW (6 dBm).

The particular lines represent in dB scale a) the dependence of the coupled optical power in the
fiber, b) the dependence of the Fresnel reflected power (for the case of reflection at the boundary
glass-air the reflection coefficient is taken equal to 0.04, in dB it is approximately - 14 dB under the
local power level), c) the dependence of backscattered power for T0 = 4 s and 100 ns respectively as
the functions of distance on the fiber measured from the fiber input end. The maximum level of the
backscattered power for 4 s impulse is roughly - 40 dBm and for 100 ns impulse it is nearly 56 dBm. For the reliable testing of a 100 km long section of fiber with the attenuation coefficient
= 0.35 dB/km, what represents the one way loss of 35 dB, one needs an optical receiver with the
minimum dynamical range of 70 dB. It is interesting that for the 4 s excitation impulse the detected
backscattered power changes in the range from 100 nW to 10 fW, for the 100 ns impulse it does from
2.5 nW to 0.25 fW. Moreover if Fresnel reflections are taken into account one can see that the optical
receiver can be saturated by the reflected power at least on the first tenths or hundreds of meters of the
fiber. The saturation of the receiver implies the loss of the information carried by the backscattered
power coming from the certain section of fiber closely located to the point of reflection and called the
dead zone of the reflectometer. The dead zone of the reflectometer is one of the most important quality
indicators of the optical reflectometer. Dynamical range of the OTDR reflectometer is determined by
the signal to noise ratio (SNR). SNR characterizes the ability of the device to detect minimum and
maximum optical powers. High quality reflectometers should be capable to process high power level
signal without remarkable distorting caused by saturation of the receiver and simultaneously to process
as low signals as possible without the deterioration by the noise. The noise of the optical receiver is of
the most significance for the SNR and therefore it should be as small as possible. The total noise
power is proportional to the bandwidth of the receiver that is determined by the testing impulse width.
The shorter impulse implies the better space resolution but also the greater bandwidth of the receiver
and consequently greater noise power and lower dynamical range. Therefore in this conventional
OTDR there is a need of some compromise between these performance parameters of the OTDR
reflectometer.
One of the possibilities how to reduce the influence of the noise is the numerical filtration of
the signal from the noise. It is frequently called the method of signal averaging. The basic idea of this
approach consists in the fact that the average value of the noise component contained in the signal is
zero. As a result after many times repetitions of the measurement process and consequent addition of
the particular measured data and calculating the average the noise is automatically eliminated. It can
be shown that after the N times repeated measurements the SNR obtained by this signal processing is
times greater than the SNR obtained in one measurement. This effect is results from the fact that
in repeated measurements the signal increases proportionally with the number of repetitions N while
the noise only proportionally to the
[5]. Provide the optical receiver is characterized by a given
noise equivalent power PNEP (NEP - Noise Equivalent Power) it is possible to express the resulting
SNR obtained after N times repeated measurements by the formula

(3.3.3)

If Pinit represents the maximum value of the backscattered optical power from the input end
section of the fiber, that is for x = 0, then

(3.3.4)
Taking the logarithm of the (3.3.3) one can obtain the general relation for the SNR, frequently
called in anglo-saxon special literature as "OTDR maker's formula" [7]
(3.3.5)
It describes the SNR as a function of distance x measured from the input end of the fiber and
the basic parameters of the optical source and receiver - Pinit and PNEP respectively. Here Noct = log2N.
High quality analog optical receivers with the bandwidth of several MHz operating at the
wavelength 1300 nm feature the equivalent noise power about - 80 dBm [8]. Using equation (3.3.5) it
is evident, that the output electrical signal that corresponds to the detected backscattered power is
deeply sunk in the noise of the optical receiver and therefore it is indispensable to recover it from the
noise by a suitable method.
4. The main aspects of the signal processing in conventional OTDR
A simplified block diagram of the OTDR-based optical reflectometer is given in the Fig. 3.4.1.
The main blocks of the reflectometer are the generator of the testing impulse and the detection system
of the backscattered light. The remaining blocks provide the suitable timing of signals (clock
generator) and the interpretation of the measured data (display). A 3-dB fiber power splitter makes
possible to couple the optical excitation power impulse into the tested fiber and simultaneously to
deviate the backscattered power to the optical receiver.

Fig. 3.4.1. The simplified block diagram of the conventional OTDR based reflectometer. The optical
path is depicted by the blue color.

The crucial element of the device is the block for the processing of the signal from the optical receiver.
For the signal recovery a technique of signal sampling using the A/D converter simultaneously with
the signal averaging method is used. For the elimination of the dead zone a blind subsidiary fiber put
between the optical source and the input end of the tested fiber is used. In this way the Fresnel
reflection from the input end of the tested fiber and subsequent dead zone occurs in the time
corresponding to the section of subsidiary fiber and no information coming from the tested fiber is lost
due to dead zone.
5. Explain the wave analyzer.
Wave Analyzer The HP-310 is an all transistor design that dates back to 1963. The unit I have
works beautifully. This is a true example of fine design. I checked the unit out when I got it and it was
still well within factory calibration! For 1963, this is a very sophisticated design. It is an upconverting
superhet design (3 MHz IF), with a frequency range of 1000 Hz to 1.5 MHz. The signal level is rated
at 10 uV to 100 Volts input (selected by two range switches). The wave analyzer concept was
developed at HP to test voice telecommunications circuits. It may also be used as a low frequency
scalar network analyzer (it has a built in tracking source). Dr. Barney Oliver (Chief Engineer @ HP)
designed a linear, air variable tuning capacitor for the 310 (and it's predecessor the 302). This allowed
for the mechanical tuning counter seen (since the tuned frequency was a linear function of the
capacitors rotation). HP also produced a motor driven "tuner" that could be attached to the main tuning
knob on the front of the analyzer. Then, by using the recorder output connected to an XY plotter
(which HP also produced), a linear input versus frequency plot could be produced. This was truly a
automatic network analyzer, produced in 1963! Another application cited by HP was the analysis of
low frequency harmonic distortion products. The meter on the front reads out absolute dB or volts of
the tuned signal.
A relative mode may also be selected allowing the receiver to be set to a fundamental signal
and relative measurements made on harmonics. A January, 1963 HP Journal article introduced the
instrument. The design is current by todays standards. The narrow IF bandwidths were produced by
quadrature converting the signal to IQ channels at baseband where narrow frequency active filters
could be built giving bandwidths of 200, 1000 and 3000 Hz. The IF was then translated back to 3 MHz
and added together to remove the quadrature component. This method dates back to the late 50's
(Weaver, Proc. of the IRE, 1958) for SSB generation. The method is still in use today in the DSP
world by Harris Semiconductor. Their digital HSP50016 Digital Downconverter uses exactly the same
principal to get very narrow digital IF bandwidths! The instrument used HP's second generation "Glow
FET" chassis. The inside of the 310 looks empty since it was built with transistors. All of the circuits
are built on plug in cards and in separate shielded compartments.
All told, the transistor count in the instrument is just 60 devices. Using OPAMP's today the
transistor count would be in the thousands! That's progress? The 310 is designed with the usual 60's
selection of Germanium and Silicon transistors. If any of the Germanium devices fail, I'll have to
rebias the circuitry for Silicon replacements. I have found the instrument to be just great at receiving
WWVB with my 4 foot loop. It has very good intermodulation performance for a "wide band" front
end, as it rejects all of the much stronger LORAN signals less than an octave away in frequency. It is
also very tolerant of the fluorescent lighting in my workshop (It's better than my Sony 2010). The 310
has provision for AM, USB and LSB reception by the twist of a knob. A front panel BNC easily drives

headphones for listening. I have received AM broadcast stations in Canada, Texas, and a low power
AM station in Boise Idaho (from my northern California location). The 310 easily tunes around
hetrodynes on crowded AM channels. The only deficiency it has as a receiver is relatively flat audio
quality. I intend to improve that, with the inclusion of a 20 transistor audio amplifier IC (well, that is
progress, I guess). HP's first wave analyzer was the 302 designed in 1959, also a transistorized design.
Then came the 310. The 312 came along next and featured a maximum frequency of 18 MHz.
The 312 also sported a digital frequency display. The 312 looks very much like an upgraded 310
(same chassis). Next came the digital 3581 family. This analyzer dropped back to 50 kHz maximum
frequency, but was much smaller. The last in the line was the 3586 produced in 1980. The 3586 is
fully synthesized and covered 50 Hz to 32 MHz. Digital techniques applied to Spectrum Analyzers
ended the need for Wave Analyzers and now cover the market that was once held by these
instruments.
6. Draw and explain the working of Digital multimeter
Multimeter

A digital multimeter
A multimeter or a multitester, also known as a or VOM(Volt-Ohm meter), is an electronic
measuring instrument that combines several measurement functions in one unit. A typical multimeter
may include features such as the ability to measure voltage, current and resistance. Multimeters may
use analog or digital circuitsanalog multimeters(AMM) and digital multimeters (often abbreviated
DMM or DVOM.) Analog instruments are usually based on a microammeter whose pointer moves
over a scale calibration for all the different measurements that can be made; digital instruments usually
display digits, but may display a bar of a length proportional to the quantity measured.

A multimeter can be a hand-held device useful for basic fault finding and field service work or
a bench instrument which can measure to a very high degree of accuracy. They can be used to
troubleshoot electrical problems in a wide array of industrial and household devices such as electronic
equipment, motor controls, domestic appliances, power supplies, and wiring systems.
Multimeters are available in a wide ranges of features and prices. Cheap multimeters can cost
less than US$10, while the top of the line multimeters can cost more than US$5,000.
The first moving-pointer current-detecting device was the galvanometer in 1820. These were
used to measure resistance and voltage by using a Wheatstone bridge, and comparing the unknown
quantity to a reference voltage or resistance. While useful in the lab, the devices were very slow and
impractical in the field. These galvanometers were bulky and delicate.
The D'Arsonval/Weston meter movement used a fine metal spring to give proportional
measurement rather than just detection, and built-in permanent field magnets made deflection
independent of the 3D orientation of the meter. These features enabled dispensing with Wheatstone
bridges, and made measurement quick and easy. By adding a series or shunt resistor, more than one
range of voltage or current could be measured with one movement.
Multimeters were invented in the early 1920s as radio receivers and other vacuum tube
electronic devices became more common. The invention of the first multimeter is attributed to British
Post Office engineer, Donald Macadie, who became dissatisfied with having to carry many separate
instruments required for the maintenance of the telecommunications circuits.[1] Macadie invented an
instrument which could measure amperes (aka amps), volts and ohms, so the multifunctional meter
was then named Avometer.[2] The meter comprised a moving coil meter, voltage and precision
resistors, and switches and sockets to select the range.
Macadie took his idea to the Automatic Coil Winder and Electrical Equipment Company
(ACWEEC, founded in ~1923).[2] The first AVO was put on sale in 1923, and although it was initially
a DC. Many of its features remained almost unaltered through to the last Model 8.
Pocket watch style meters were in widespread use in the 1920s, at much lower cost than
Avometers. The metal case was normally connected to the negative connection, an arrangement that
caused numerous electric shocks. The technical specifications of these devices were often crude, for
example the one illustrated has a resistance of just 33 ohms per volt, a non-linear scale and no zero
adjustment.
The usual analog multimeter when used for voltage measurements loads the circuit under test
to some extent (a microammeter with full-scale current of 50 A, the highest sensitivity commonly
available, must draw at least 50 microamps from the circuit under test to deflect fully). This may load
a high-impedance circuit so much as to affect the circuit, and to give a low reading.
Vacuum Tube Voltmeters or valve voltmeters (VTVM, VVM) were used for voltage
measurements in electronic circuits where high impedance was necessary. The VTVM had a fixed
input impedance of typically 1 megohm or more, usually through use of a cathode follower input
circuit, and thus did not significantly load the circuit being tested. Before the introduction of digital

electronic high-impedance analog transistor and field effect transistor (FETs) voltmeters were used.
Modern digital meters and some modern analog meters use electronic input circuitry to achieve highinput impedancetheir voltage ranges are functionally equivalent to VTVMs.
Additional scales such as decibels, and functions such as capacitance, transistor gain,
frequency, duty cycle, display hold, and buzzers which sound when the measured resistance is small
have been included on many multimeters. While multimeters may be supplemented by more
specialized equipment in a technician's toolkit, some modern multimeters include even more
additional functions for specialized applications (e.g., temperature with a thermocouple probe,
inductance, connectivity to a computer, speaking measured value, etc.).
Contemporary multimeters can measure many quantities. The common ones are:
Voltage, alternating and direct, in volts.
Current, alternating and direct, in amperes.The frequency range for which AC measurements
are accurate must be specified.
Resistance in ohms.
Additionally, some multimeters measure:
Capacitance in farads.
Conductance in siemens.
Decibels.
Duty cycle as a percentage.
Frequency in hertz.
Inductance in henrys.
Temperature in degrees Celsius or Fahrenheit, with an appropriate temperature test probe,
often a thermocouple.
Digital multimeters may also include circuits for:
Continuity; beeps when a circuit conducts.
Diodes (measuring forward drop of diode junctions, i.e., diodes and transistor junctions) and
transistors (measuring current gain and other parameters).

Battery checking for simple 1.5 volt and 9 volt batteries. This is a current loaded voltage scale.
Battery checking (ignoring internal resistance, which increases as the battery is depleted), is less
accurate when using a DC voltage scale.
Various sensors can be attached to multimeters to take measurements such as:
Light level
Acidity/Alkalinity(pH)
Wind speed
Relative humidity
Resolution and accuracy in a multimeter are not equal. The resolution of a multimeter is the
smallest part of the scale which can be shown. The resolution is scale dependent and in high end
digital multimeters it can be configured, with higher resolution measurements taking longer to
complete. For example, a multimeter that has a 1mV resolution on a 10V scale can show changes in
measurements in 1mV increments. Absolute accuracy is the error of the measurement compared to a
perfect measurement. Relative accuracy is the error of the measurement compared to the device used
to calibrate the multimeter. Most multimeter datasheets provide relative accuracy. To compute the
absolute accuracy from the relative accuracy of a multimeter add the absolute accuracy of the device
used to calibrate the multimeter to the relative accuracy of the multimeter.[3]
The resolution of a multimeter is often specified in "digits" of resolution. For example, the
term 5 digits refers to the number of digits displayed on the display of a multimeter.
By convention, a half digit can display either a zero or a one, while a three-quarters digit can
display a numeral higher than a one but not nine. Commonly, a three-quarters digit refers to a
maximum value of 3 or 5. The fractional digit is always the most significant digit in the displayed
value. A 5 digit multimeter would have five full digits that display values from 0 to 9 and one half
digit that could only display 0 or 1.[4] Such a meter could show positive or negative values from 0 to
199,999. A 3 digit meter can display a quantity from 0 to 3,999 or 5,999, depending on the
manufacturer.
While a digital display can easily be extended in precision, the extra digits are of no value if
not accompanied by care in the design and calibration of the analog portions of the multimeter.
Meaningful high-resolution measurements require a good understanding of the instrument
specifications, good control of the measurement conditions, and traceability of the calibration of the
instrument.
Specifying "display counts" is another way to specify the resolution. Display counts give the
largest number, or the largest number plus one (so the count number looks nicer) the multimeter's
display can show, ignoring a decimal separator. For example, a 5 digit multimeter can also be
specified as a 199999 display count or 200000 display count multimeter. Often the display count is
just called the count in multimeter specifications.

7. Describe the working of function generator with the a block diagram .


A function generator is a piece of electronic test equipment or software used to generate
electrical waveforms. These waveforms can be either repetitive or single-shot, in which case some
kind of triggering source is required (internal or external).
Function Generators are used in development, testing and repair of electronic equipment, e.g.
as a signal source to test amplifiers, or to introduce an error signal into a control loop.
Analog function generators usually generate a triangle waveform as the basis for all of its other
outputs. The triangle is generated by repeatedly charging and discharging a capacitor from a constant
current source. This produces a linearly ascending or descending voltage ramp. As the output voltage
reaches upper and lower limits, the charging and discharging is reversed using a comparator,
producing the linear triangle wave. By varying the current and the size of the capacitor, different
frequencies may be obtained. Sawtooth waves can be produced by charging the capacitor slowly,
using a current, but using a diode over the current source to discharge quickly - the polarity of the
diode changes the polarity of the resulting sawtooth, i.e. slow rise and fast fall, or fast rise and slow
fall.
A 50% duty cycle square wave is easily obtained by noting whether the capacitor is being
charged or discharged, which is reflected in the current switching comparator's output. Other duty
cycles (theoretically from 0% to 100%) can be obtained by using a comparator and the sawtooth or
triangle signal. Most function generators also contain a non-linear diode shaping circuit that can
convert the triangle wave into a reasonably accurate sine wave. It does so by rounding off the hard
corners of the triangle wave in a process similar to clipping in audio systems.
A typical function generator can provide frequencies up to 20 MHz. RF generators for higher
frequencies are not function generators in the strict sense since they typically produce pure or
modulated sine signals only.
Function generators, like most signal generators, may also contain an attenuator, various means
of modulating the output waveform, and often the ability to automatically and repetitively "sweep" the
frequency of the output waveform (by means of a voltage-controlled oscillator) between two operatordetermined limits. This capability makes it very easy to evaluate the frequency response of a given
electronic circuit.
Some function generators can also generate white or pink noise.
More advanced function generators use Direct Digital Synthesis (DDS) to generate waveforms.
Arbitrary waveform generators use DDS to generate any waveform that can be described by a table of
amplitudes.

UNIT IV
DIGITAL INSTRUMENTS
Comparison of analog and digital techniques digital voltmeter multimeters
frequency counters measurement of frequency and time interval extension of
frequency range Automation in digital instruments, Automatic polarity indication,
automatic ranging, automatic zeroing, fully automatic digital instruments, Computer
controlled test systems, Virtual instruments
PART A
1. What is analog instrument?
When the instruments used meter movement to indicate the magnitude of the quantity under
measurement on a continuous scale
2. What is digital instrument?
When the result of the measurement is displayed in discrete intervals or numerals (instead of
by a pointer deflection on a continuous scale), they are called digital instruments.
3. What is DVM?
A digital electronic voltmeter, also called digital voltmeter (DVM), measures and displays d.c.
or a.c. voltages as discrete numerals instead of a pointer deflection on a continuous scale as in analog
electronic instruments.
4. What are types of DVM?
1. Ramp-type DVM.
2. Integrating-type DVM.
3. Continuous-balance or servo-balance DVM.
4. Successive- approximation DVM.
5. What is known as quantization?
Quantization is the process of mapping a large set of input values to a smaller set such as
rounding values to some unit of precision.
6. What is known as quantization error?
Quantization is the process of mapping a large set of input values to a smaller set such as
rounding values to some unit of precision. A device or algorithmic function that performs quantization

is called a quantizer. The error introduced by quantization is referred to as quantization error or roundoff error.
PART-B
1. Explain Single slope ADC .
Integrating analog-to-digital converters (ADCs) provide high resolution and can provide good
line frequency and noise rejection. Having started with the ubiquitous 7106, these converters have
been around for quite some time. The integrating architecture provides a novel yet straightforward
approach to converting a low bandwidth analog signal into its digital representation. These type of
converters often include built-in drivers for LCD or LED displays and are found in many portable
instrument applications, including digital panel meters and digital multimeters.
Single-Slope ADC Architecture
The simplest form of an integrating ADC uses a single-slope architecture (Figures 1a and 1b).
Here, an unknown input voltage is integrated and the value compared against a known reference value.
The time it takes for the integrator to trip the comparator is proportional to the unknown voltage
(TINT/VIN). In this case, the known reference voltage must be stable and accurate to guarantee the
accuracy of the measurement. Figure 1a and 1b. Single-slope architecture.
One drawback to this approach is that the accuracy is also dependent on the tolerances of the
integrator's R and C values. Thus in a production environment, slight differences in each component's
value change the conversion result and make measurement repeatability quite difficult to attain. To
overcome this sensitivity to the component values, the dual-slope integrating architecture is used.
2. Successive approximation ADC
"Successive approximation" redirects here. For behaviorist B.F. Skinner's method of guiding learned
behavior, see Shaping (psychology).
A successive approximation ADC is a type of analog-to-digital converter that converts a
continuous analog waveform into a discrete digital representation via a binary search through all
possible quantization levels before finally converging upon a digital output for each conversion.
Block diagram

Key

DAC = Digital-to-Analog converter


EOC = end of conversion
SAR = successive approximation register
S/H = sample and hold circuit
Vin = input voltage
Vref = reference voltage

Algorithm
The successive approximation Analog to digital converter circuit typically consists of four
chief subcircuits:
1. A sample and hold circuit to acquire the input voltage (Vin).
2. An analog voltage comparator that compares Vin to the output of the internal DAC and outputs the
result of the comparison to the successive approximation register (SAR).
3. A successive approximation register subcircuit designed to supply an approximate digital code of
Vin to the internal DAC.
4. An internal reference DAC that supplies the comparator with an analog voltage equivalent of the
digital code output of the SAR for comparison with Vin.
The successive approximation register is initialized so that the most significant bit (MSB) is
equal to a digital 1. This code is fed into the DAC, which then supplies the analog equivalent of this
digital code (Vref/2) into the comparator circuit for comparison with the sampled input voltage. If this
analog voltage exceeds Vin the comparator causes the SAR to reset this bit; otherwise, the bit is left a
1. Then the next bit is set to 1 and the same test is done, continuing this binary search until every bit in
the SAR has been tested. The resulting code is the digital approximation of the sampled input voltage
and is finally output by the DAC at the end of the conversion (EOC).

Mathematically, let Vin = xVref, so x in [-1, 1] is the normalized input voltage. The objective is
to approximately digitize x to an accuracy of 1/2n. The algorithm proceeds as follows:
1.
2.

Initial approximation x0 = 0.
ith approximation xi = xi-1 - s(xi-1 - x)/2i.

where, s(x) is the signum-function(sgn(x)) (+1 for x 0, -1 for x < 0). It follows using
mathematical induction that |xn - x| 1/2n.
As shown in the above algorithm, a SAR ADC requires:
1. An input voltage source Vin.
2. A reference voltage source Vref to normalize the input.
3. A DAC to convert the ith approximation xi to a voltage.
4. A Comparator to perform the function s(xi - x) by comparing the DAC's voltage with the input
voltage.
5. A Register to store the output of the comparator and apply xi-1 - s(xi-1 - x)/2i.
Charge-redistribution successive approximation ADC

Charge Scaling DAC


One of the most common implementations of the successive approximation ADC, the chargeredistribution successive approximation ADC, uses a charge scaling DAC. The charge scaling DAC
simply consists of an array of individually switched binary-weighted capacitors. The amount of charge
upon each capacitor in the array is used to perform the aforementioned binary search in conjunction
with a comparator internal to the DAC and the successive approximation register.
The DAC conversion is performed in four basic steps.
1. First, the capacitor array is completely discharged to the offset voltage of the comparator, V OS.
This step provides automatic offset cancellation(i.e. The offset voltage represents nothing but dead
charge which can't be juggled by the capacitors).
2. Next, all of the capacitors within the array are switched to the input signal, vIN. The capacitors now
have a charge equal to their respective capacitance times the input voltage minus the offset voltage
upon each of them.

3. In the third step, the capacitors are then switched so that this charge is applied across the
comparator's input, creating a comparator input voltage equal to -vIN.
4. Finally, the actual conversion process proceeds. First, the MSB capacitor is switched to VREF,
which corresponds to the full-scale range of the ADC. Due to the binary-weighting of the array the
MSB capacitor forms a 1:1 divided between it and the rest of the array. Thus, the input voltage to the
comparator is now -vIN plus VREF/2. Subsequently, if vIN is greater than VREF/2 then the comparator
outputs a digital 1 as the MSB, otherwise it outputs a digital 0 as the MSB. Each capacitor is tested in
the same manner until the comparator input voltage converges to the offset voltage, or at least as close
as possible given the resolution of the DAC.

2. Draw the Circuit diagram for n bit binary weighted resistor DAC & obtain an expression for
output voltage.
A DAC converts an abstract finite-precision number (usually a fixed-point binary number) into
a concrete physical quantity (e.g., a voltage or a pressure). In particular, DACs are often used to
convert finite-precision time series data to a continually varying physical signal.
A typical DAC converts the abstract numbers into a concrete sequence of impulses that are
then processed by a reconstruction filter using some form of interpolation to fill in data between the
impulses. Other DAC methods (e.g., methods based on Delta-sigma modulation) produce a pulsedensity modulated signal that can then be filtered in a similar way to produce a smoothly varying
signal.
As per the NyquistShannon sampling theorem, a DAC can reconstruct the original signal
from the sampled data provided that its bandwidth meets certain requirements (e.g., a baseband signal
with bandwidth less than the Nyquist frequency). Digital sampling introduces quantization error that
manifests as low-level noise added to the reconstructed signal.
Practical operation

Piecewise constant output of a conventional practical DAC.

A simplified functional diagram of an 8-bit DAC


Instead of impulses, usually the sequence of numbers update the analogue voltage at uniform
sampling intervals.
These numbers are written to the DAC, typically with a clock signal that causes each number
to be latched in sequence, at which time the DAC output voltage changes rapidly from the previous
value to the value represented by the currently latched number. The effect of this is that the output
voltage is held in time at the current value until the next input number is latched resulting in a
piecewise constant or 'staircase' shaped output. This is equivalent to a zero-order hold operation and
has an effect on the frequency response of the reconstructed signal.
The fact that DACs output a sequence of piecewise constant values (known as zero-order hold
in sample data textbooks) or rectangular pulses causes multiple harmonics above the Nyquist
frequency. Usually, these are removed with a low pass filter acting as a reconstruction filter in
applications that require it.
Applications
Audio
Most modern audio signals are stored in digital form (for example MP3s and CDs) and in order
to be heard through speakers they must be converted into an analog signal. DACs are therefore found
in CD players, digital music players, and PC sound cards.
Specialist standalone DACs can also be found in high-end hi-fi systems. These normally take
the digital output of a compatible CD player or dedicated transport and convert the signal into an
analog line-level output that can then be fed into an amplifier to drive speakers.
Similar digital-to-analog converters can be found in digital speakers such as USB speakers, and
in sound cards.
VOIP (Voice over IP) Phone, Data transmission over the Internet is done digitally so in order
for voice to be transmitted it must be converted to digital using an Analog-to-Digital Converter and be
converted into analog again using a DAC so the voice it can be heard on the other end.
Video
Video signals from a digital source, such as a computer, must be converted to analog form if
they are to be displayed on an analog monitor. As of 2007, analog inputs are more commonly used
than digital, but this may change as flat panel displays with DVI and/or HDMI connections become

more widespread. A video DAC is, however, incorporated in any digital video player with analog
outputs. The DAC is usually integrated with some memory (RAM), which contains conversion tables
for gamma correction, contrast and brightness, to make a device called a RAMDAC.
A device that is distantly related to the DAC is the digitally controlled potentiometer, used to
control an analog signal digitally.
Mechanical
An unusual application of digital-to-analog conversion was the whiffletree electromechanical
digital-to-analog converter linkage in the IBM Selectric typewriter.
DAC types
The most common types of electronic DACs are:

The pulse-width modulator, the simplest DAC type. A stable current or voltage is switched into
a low-pass analog filter with a duration determined by the digital input code. This technique is often
used for electric motor speed control, but has many other applications as well.

Oversampling DACs or interpolating DACs such as the delta-sigma DAC, use a pulse density
conversion technique. The oversampling technique allows for the use of a lower resolution DAC
internally. A simple 1-bit DAC is often chosen because the oversampled result is inherently linear. The
DAC is driven with a pulse-density modulated signal, created with the use of a low-pass filter, step
nonlinearity (the actual 1-bit DAC), and negative feedback loop, in a technique called delta-sigma
modulation. This results in an effective high-pass filter acting on the quantization (signal processing)
noise, thus steering this noise out of the low frequencies of interest into the megahertz frequencies of
little interest, which is called noise shaping. The quantization noise at these high frequencies is
removed or greatly attenuated by use of an analog low-pass filter at the output (sometimes a simple
RC low-pass circuit is sufficient). Most very high resolution DACs (greater than 16 bits) are of this
type due to its high linearity and low cost. Higher oversampling rates can relax the specifications of
the output low-pass filter and enable further suppression of quantization noise. Speeds of greater than
100 thousand samples per second (for example, 192 kHz) and resolutions of 24 bits are attainable with
delta-sigma DACs. A short comparison with pulse-width modulation shows that a 1-bit DAC with a
simple first-order integrator would have to run at 3 THz (which is physically unrealizable) to achieve
24 meaningful bits of resolution, requiring a higher-order low-pass filter in the noise-shaping loop. A
single integrator is a low-pass filter with a frequency response inversely proportional to frequency and
using one such integrator in the noise-shaping loop is a first order delta-sigma modulator. Multiple
higher order topologies (such as MASH) are used to achieve higher degrees of noise-shaping with a
stable topology.

The binary-weighted DAC, which contains one resistor or current source for each bit of the
DAC connected to a summing point. These precise voltages or currents sum to the correct output
value. This is one of the fastest conversion methods but suffers from poor accuracy because of the
high precision required for each individual voltage or current. Such high-precision resistors and
current sources are expensive, so this type of converter is usually limited to 8-bit resolution or less.

The R-2R ladder DAC which is a binary-weighted DAC that uses a repeating cascaded
structure of resistor values R and 2R. This improves the precision due to the relative ease of producing

equal valued-matched resistors (or current sources). However, wide converters perform slowly due to
increasingly large RC-constants for each added R-2R link.

The thermometer-coded DAC, which contains an equal resistor or current-source segment for
each possible value of DAC output. An 8-bit thermometer DAC would have 255 segments, and a 16bit thermometer DAC would have 65,535 segments. This is perhaps the fastest and highest precision
DAC architecture but at the expense of high cost. Conversion speeds of >1 billion samples per second
have been reached with this type of DAC.

Hybrid DACs, which use a combination of the above techniques in a single converter. Most
DAC integrated circuits are of this type due to the difficulty of getting low cost, high speed and high
precision in one device.
o
The segmented DAC, which combines the thermometer-coded principle for the most significant
bits and the binary-weighted principle for the least significant bits. In this way, a compromise is
obtained between precision (by the use of the thermometer-coded principle) and number of resistors or
current sources (by the use of the binary-weighted principle). The full binary-weighted design means
0% segmentation, the full thermometer-coded design means 100% segmentation.
4. DAC performance
DACs are very important to system performance. The most important characteristics of these devices
are:

Resolution: This is the number of possible output levels the DAC is designed to reproduce.
This is usually stated as the number of bits it uses, which is the base two logarithm of the number of
levels. For instance a 1 bit DAC is designed to reproduce 2 (21) levels while an 8 bit DAC is designed
for 256 (28) levels. Resolution is related to the effective number of bits (ENOB) which is a
measurement of the actual resolution attained by the DAC.

Maximum sampling frequency: This is a measurement of the maximum speed at which the
DACs circuitry can operate and still produce the correct output. As stated in the NyquistShannon
sampling theorem, a signal must be sampled at over twice the frequency of the desired signal. For
instance, to reproduce signals in all the audible spectrum, which includes frequencies of up to 20 kHz,
it is necessary to use DACs that operate at over 40 kHz. The CD standard samples audio at 44.1 kHz,
thus DACs of this frequency are often used. A common frequency in cheap computer sound cards is
48 kHz many work at only this frequency, offering the use of other sample rates only through
(often poor) internal resampling.

Monotonicity: This refers to the ability of a DAC's analog output to move only in the direction
that the digital input moves (i.e., if the input increases, the output doesn't dip before asserting the
correct output.) This characteristic is very important for DACs used as a low frequency signal source
or as a digitally programmable trim element.

THD+N: This is a measurement of the distortion and noise introduced to the signal by the
DAC. It is expressed as a percentage of the total power of unwanted harmonic distortion and noise that
accompany the desired signal. This is a very important DAC characteristic for dynamic and small
signal DAC applications.

Dynamic range: This is a measurement of the difference between the largest and smallest
signals the DAC can reproduce expressed in decibels. This is usually related to DAC resolution and
noise floor.

Other measurements, such as phase distortion and jitter, can also be very important for some
applications.
Write short note on Flash type AD A Flash ADC (also known as a Direct conversion ADC) is
a type of analog-to-digital converter that uses a linear voltage ladder with a comparator at each "rung"
of the ladder to compare the input voltage to successive reference voltages. Often these reference
ladders are constructed of many resistors; however modern implementations show that capacitive
voltage division is also possible. The output of these comparators is generally fed into a digital
encoder which converts the inputs into a binary value (the collected outputs from the comparators can
be thought of as a unary value).
Flash converters are extremely fast compared to many other types of ADCs which usually
narrow in on the "correct" answer over a series of stages. Compared to these, a Flash converter is also
quite simple and, apart from the analog comparators, only requires logic for the final conversion to
binary.
A Flash converter requires a huge number of comparators compared to other ADCs, especially
as the precision increases. A Flash converter requires
comparators for an n-bit conversion. The
size and cost of all those comparators makes Flash converters generally impractical for precisions
much greater than 8 bits (255 comparators). In place of these comparators, most other ADCs substitute
more complex logic which can be scaled more easily for increased precision.
Implementation

A 2-bit Flash ADC Example Implementation with Bubble Error Correction and Digital
Encoding

Flash ADCs have been implemented in many technologies, varying from silicon based bipolar
(BJT) and complementary metal oxide FETs (CMOS) technologies to rarely used III-V technologies.
Often this type of ADC is used as a first medium sized analog circuit verification.
The earliest implementations consisted of a reference ladder of well matched resistors
connected to a reference voltage. Each tap at the resistor ladder is used for one comparator, possibly
preceded by an amplification stage, and thus generates a logical '0' or '1' depending if the measured
voltage is above or below the reference voltage of the resistor tap. The reason to add an amplifier is
twofold: it amplifies the voltage difference and therefore suppresses the comparator offset, and the
kick-back noise of the comparator towards the reference ladder is also strongly suppressed. Typically
designs from 4-bit up to 6-bit, and sometimes 7-bit are produced.
Designs with power-saving capacitive reference ladders have been demonstrated. In addition to
clocking the comparator(s), these systems also sample the reference value on the input stage. As the
sampling is done at a very high rate, the leakage of the capacitors is negligible.
Recently, offset calibration has been introduced in the flash ADC designs. Instead of properly
designing the analog circuit (which actually means increasing the components sizes to suppress
variation) the offset is removed during use. A test signal is applied and each the offset of each
comparator is calibrated to below the LSB size of the ADC. Due to the heavy calibration effort the
design are up to now always limited to 4-bits.
Another recent improvement to many flash ADCs is the inclusion of error correction. When the
ADC is used to harsh environments or constructed in very small integrated circuit processes, there is a
heightened risk of a comparator randomly outputting a wrong code. Bubble error correction is a digital
correction mechanism that will prevent a comparator that has tripped high from outputting a high code
if it is surrounded by comparators that have not tripped high.
Folding ADC
The number of comparators can be reduced somewhat by adding a folding circuit in front,
making a so called folding ADC. Instead of using the comparators in a Flash ADC only once, during a
ramp input signal, the folding ADC re-uses the comparators multiple times. If a m-times folding
circuit is used in an n-bit ADC, the actual number of comparator can be reduced from
to (there
is always one needed to detect the range crossover). Typical folding circuits are, e.g., the Gilbert
multiplier, or analog wired-or circuits.
Application
The very high sample rate of this type of ADC enable Gigahertz applications like radar
detection, wide band radio receivers and optical communication links. More often the flash ADC is
embedded in a large IC containing many digital decoding functions. Also a small flash ADC circuit
may be present inside a Delta-sigma modulation loop.

UNIT V
DATA ACQUISITION SYSTEMS AND FIBER OPTIC MEASUREMENTS
Elements of a digital data acquisition system Interfacing of transducers Multiplexing
Computer controlled instrumentation IEEE 488 bus Fiber optic measurements for power and
system loss Optical time domains reflectometer.

1.

What is meant by data acquisition ?

Data acquisition is the process of sampling signals that measure real world physical
conditions and converting the resulting samples into digital numeric values that can be manipulated by
a computer. Data acquisition systems (abbreviated with the acronym DAS or DAQ) typically convert
analog waveforms into digital values for processing.
2.

What is a multiplexer?

A multiplexer or mux is a device that selects one of several analog or digital input signals and
forwards the selected input into a single line.[1] A multiplexer of 2n inputs has n select lines, which are
used to select which input line to send to the output.
3.

What is IEEE 488 bus system?

IEEE-488 is a short-range digital communications bus specification. It was created for use with
automated test equipment in the late 1960s, and is still in use for that purpose. IEEE-488 was created
as HP-IB (Hewlett-Packard Interface Bus), and is commonly called GPIB (General Purpose Interface
Bus). It has been the subject of several standards.
4.

Why ATN line is used?

Used by the Controller to inform all devices on the GPIB that bytes are being sent. If the ATN line is
high, the bytes are interpreted as an instrument command. If the ATN line is low, the bytes are
interpreted as an interface message.
5.

What are the handshaking signals?

DAV Used by the Talker to indicate that a byte can be read by the Listeners.
NRFD
Indicates
whether
the
Listener
is
ready
to
receive
the
byte.
NDAC Indicates whether the Listener has accepted the byte.
6.

What is meant by talker, listener, controller?

The three handshake lines, DAV, NRFD, and NDAC, are used to transfer bytes over the data
lines from the Talker to one or more addressed Listeners.

Before data is transferred, all three lines must be in the proper state. The active Talker controls the
DAV line and the Listener(s) control the NRFD and NDAC lines. The handshake process allows for
error-free data transmission. The handshake lines are described below.
7.

What is meant by optical fiber cable?

An optical fiber cable is a cable containing one or more optical fibers. The optical fiber elements are
typically individually coated with plastic layers and contained in a protective tube suitable for the
environment where the cable will be deployed C?

PART B
1. Explain the data acquisition system.
igure 45 depicts a simplified block diagram of the National Instruments data acquisition card
that will be used in the lab portion of the class. It has 16 analog channels which can either be
configured as 16 single ended inputs, or 8 differential inputs. This is accomplished by the multiplexer,
or switching circuit and is software configurable.
The output of the multiplexer feeds into an amplifier whose gain is programmable through
software. This circuit allows the programmer to select an amplification appropriate to the signal that is
to be measured. The board used in the lab is capable of implementing gains from 0.5 up to 100. As an
example of how this programmable gain would be used, consider a bipolar (both positive and
negative) input signal. The analog to digital converter has an input voltage range of
V, hence a gain
of 0.5 would enable the board to handle voltages ranging between
V (5/0.5). Similarly, a gain of
100 would result in a maximum range of
mV (5/100) at the input to the board.
In addition to the analog to digital converters, there are 2 digital to analog converters which
allow one to generate analog signals. Eight general purpose digital I/O lines are also provided which
allow the board to control external digital circuitry or monitor the state of external devices such as
switches or buttons.
Low level communication with the data acquisition board is handled through drivers provide
by National Instruments. These drivers allow the programmer to perform all the necessary tasks such
as initializing, configuring, and sending and receiving data from the board. It is possible to use these
drivers from most of the common C compilers available, but we will primarily use a
compiler/development package called Lab Windows CVI. This tool is designed for use specifically
with these boards and helps to shield the programmer from many of the potentially unnecessary low
level details of the hardware.

2. With the help of block diagram explain analog to digital multiplexing.

Schematic of a 2-to-1 Multiplexer. It can be equated to a controlled switch.

Schematic of a 1-to-2 Demultiplexer. Like a multiplexer, it can be equated to a controlled switch.


In electronics, a multiplexer or mux is a device that selects one of several analog or digital
input signals and forwards the selected input into a single line.[1] A multiplexer of 2n inputs has n
select lines, which are used to select which input line to send to the output.[2] Multiplexers are mainly
used to increase the amount of data that can be sent over the network within a certain amount of time
and bandwidth.[1]
An electronic multiplexer makes it possible for several signals to share one device or resource,
for example one A/D converter or one communication line, instead of having one device per input
signal.
On the other end, a demultiplexer (or demux) is a device taking a single input signal and
selecting one of many data-output-lines, which is connected to the single input. A multiplexer is often
used with a complementary demultiplexer on the receiving end.[1]
An electronic multiplexer can be considered as a multiple-input, single-output switch, and a
demultiplexer as a single-input, multiple-output switch.[3] The schematic symbol for a multiplexer is
an isosceles trapezoid with the longer parallel side containing the input pins and the short parallel side
containing the output pin.[4] The schematic on the right shows a 2-to-1 multiplexer on the left and an
equivalent switch on the right. The sel wire connects the desired input to the output.
Telecommunications
In telecommunications, a multiplexer is a device that combines several input information
signals into one output signal, which carries several communication channels, by means of some
multiplex technique. A demultiplexer is in this context a device taking a single input signal that
carries many channels and separates those over multiple output signals.

Multiplex
techniques

Circuit
mode
(constant bandwidth)
TDM
FDM
SDM
Polarization
multiplexing
Spatial multiplexing (MIMO)
Statistical
multiplexing
(variable bandwidth)
Packet mode Dynamic TDM
FHSS
DSSS
OFDMA SC-FDM MC-SS
Related topics
Channel access methods
Media Access Control (MAC)

This box: view talk edit

In telecommunications and signal processing, an analog time division multiplexer (TDM) may
take several samples of separate analogue signals and combine them into one pulse amplitude
modulated (PAM) wide-band analogue signal. Alternatively, a digital TDM multiplexer may combine
a limited number of constant bit rate digital data streams into one data stream of a higher data rate, by
forming data frames consisting of one timeslot per channel.
In telecommunications, computer networks and digital video, a statistical multiplexer may
combine several variable bit rate data streams into one constant bandwidth signal, for example by
means of packet mode communication. An inverse multiplexer may utilize several communication
channels for transferring one signal.

Cost savings

The basic function of a multiplexer: combining multiple inputs into a single data stream. On
the receiving side, a demultiplexer splits the single data stream into the original multiple signals.
One use for multiplexers is cost savings by connecting a multiplexer and a demultiplexer (or
demux) together over a single channel (by connecting the multiplexer's single output to the
demultiplexer's single input). The image to the right demonstrates this. In this case, the cost of
implementing separate channels for each data source is more expensive than the cost and
inconvenience of providing the multiplexing/demultiplexing functions. In a physical analogy, consider
the merging behaviour of commuters crossing a narrow bridge; vehicles will take turns using the few
available lanes. Upon reaching the end of the bridge they will separate into separate routes to their
destinations.
At the receiving end of the data link a complementary demultiplexer is normally required to
break single data stream back down into the original streams. In some cases, the far end system may
have more functionality than a simple demultiplexer and so, while the demultiplexing still exists
logically, it may never actually happen physically. This would be typical where a multiplexer serves a
number of IP network users and then feeds directly into a router which immediately reads the content
of the entire link into its routing processor and then does the demultiplexing in memory from where it
will be converted directly into IP packets.
Often, a multiplexer and demultiplexer are combined together into a single piece of equipment,
which is usually referred to simply as a "multiplexer". Both pieces of equipment are needed at both
ends of a transmission link because most communications systems transmit in both directions.
A real world example is the creation of telemetry for transmission from the
computer/instrumentation system of a satellite, space craft or other remote vehicle to a ground-based
system.
In analog circuit design, a multiplexer is a special type of analog switch that connects one
signal selected from several inputs to a single output.
Digital multiplexers
In digital circuit design, the selector wires are of digital value. In the case of a 2-to-1
multiplexer, a logic value of 0 would connect to the output while a logic value of 1 would connect
to the output. In larger multiplexers, the number of selector pins is equal to
where is the
number of inputs.

For example, 9 to 16 inputs would require no fewer than 4 selector pins and 17 to 32 inputs
would require no fewer than 5 selector pins. The binary value expressed on these selector pins
determines the selected input pin.
A 2-to-1 multiplexer has a boolean equation where
input, and is the output:

and

are the two inputs, is the selector

A 2-to-1 mux
Which can be expressed as a truth table:

1
1
0
0
0
1
1
1
0
0

1
0
1
0
1
0
1
0

1
1
0
0
1
0
1
0

This truth table shows that when


then
but when
then
. A straightforward
realization of this 2-to-1 multiplexer would need 2 AND gates, an OR gate, and a NOT gate.
Larger multiplexers are also common and, as stated above, require
selector pins for n
inputs. Other common sizes are 4-to-1, 8-to-1, and 16-to-1. Since digital logic uses binary values,
powers of 2 are used (4, 8, 16) to maximally control a number of inputs for the given number of
selector inputs.

4-to-1 mux

8-to-1 mux

16-to-1 mux
The boolean equation for a 4-to-1 multiplexer is:

Two realizations for creating a 4-to-1 multiplexer are shown below:

These are two realizations of a 4-to-1 multiplexer:

one realized from a decoder, AND gates, and an OR gate


one realized from 3-state buffers and AND gates (the AND gates are acting as the decoder)

Note that the subscripts on the


which that input is let through.

inputs indicate the decimal value of the binary control inputs at

Chaining multiplexers
Larger multiplexers can be constructed by using smaller multiplexers by chaining them
together. For example, an 8-to-1 multiplexer can be made with two 4-to-1 and one 2-to-1 multiplexers.
The two 4-to-1 multiplexer outputs are fed into the 2-to-1 with the selector pins on the 4-to-1's put in
parallel giving a total number of selector inputs to 3, which is equivalent to an 8-to-1.
List of ICs which provide multiplexing
The 7400 series has several ICs that contain multiplexer(s):
S.No. IC No. Function
Output State
1
74157 Quad 2:1 mux. Output same as input given
2
74158 Quad 2:1 mux. Output is inverted input
3
74153 Dual 4:1 mux. Output same as input
4
74352 Dual 4:1 mux. Output is inverted input
5
74151A 8:1 mux.
Both outputs available (i.e., complementary outputs)
6
74151 8:1 mux.
Output is inverted input
7
74150 16:1 mux.
Output is inverted input
Digital demultiplexers
Demultiplexers take one data input and a number of selection inputs, and they have several
outputs. They forward the data input to one of the outputs depending on the values of the selection
inputs. Demultiplexers are sometimes convenient for designing general purpose logic, because if the
demultiplexer's input is always true, the demultiplexer acts as a decoder. This means that any function
of the selection bits can be constructed by logically OR-ing the correct set of outputs.

List of ICs which provide demultiplexing


The 7400 series has several ICs that contain demultiplexer(s):
S.No. IC No. Function
Output State
1
74139 Dual 1:4 demux. Output is inverted input
3
74156 Dual 1:4 demux. Output is open collector
4
74138 1:8 demux.
Output is inverted input
5
74238 1:8 demux.
Output is same as input
6
74154 1:16 demux.
Output is inverted input
7
74159 1:16 demux.
Output is open collector and same as input
Multiplexers as PLDs
Multiplexers can also be used as programmable logic devices. By specifying the logic
arrangement in the input signals, a custom logic circuit can be created. The selector inputs then act as
the logic inputs. This is especially useful in situations when cost is a factor and for modularity.
3. Explain with block diagram the automatic test system to analyse an audio amplifier &
radio receiver. Audio Amplifier System

The power supply (not shown) is connected to the pre-amplifier and power amplifier blocks.

Microphone - a transducer which converts sound to voltage.


Pre-Amplifier - amplifies the small audio signal (voltage) from the microphone.
Tone and Volume Controls - adjust the nature of the audio
The tone control adjusts the balance of high and low frequencies.

The volume control adjusts the strength of the signal.


Power Amplifier - increases the strength (power) of the audio signal.
Loudspeaker - a transducer which converts the audio signal to sound.

Radio Receiver System

signal.

The power supply (not shown) is connected to the audio amplifier block.

Aerial - picks up radio signals from many stations.


Tuner - selects the signal from just one radio station.
Detector - extracts the audio signal carried by the radio signal.
Audio Amplifier - increases the strength (power) of the audio signal.
This could be broken down into the blocks like the Audio Amplifier System shown above.

Loudspeaker - a transducer which converts the audio signal to sound.

3.

Explain the sequence of operations in an IEEE 488 bus system.

In the late 1960s, Hewlett-Packard (HP)[1] was manufacturing various automated test and
measurement instruments, such as digital multimeters and logic analyzers. They developed the HP
Interface Bus (HP-IB) to enable easier interconnection between instruments and controllers
(computers and other instruments).
The bus was relatively easy to implement using the technology at the time, using a simple
parallel electrical bus and several individual control lines. For example, the HP 59501 Power Supply
Programmer and HP 59306A Relay Actuator were both relatively simple HP-IB peripherals
implemented only in TTL logic, using no microprocessor.
Other manufacturers copied HP-IB, calling their implementation the General Purpose Interface
Bus (GPIB), and it became a de facto standard for automated and industrial instrument control. As
GPIB became popular, it was formalized by various standards organizations.
Standards
In 1975, the IEEE standardized the bus as Standard Digital Interface for Programmable
Instrumentation, IEEE-488 (now IEEE-488.1). It formalized the mechanical, electrical, and basic
protocol parameters of GPIB, but said nothing about the format of commands or data.
In 1987, IEEE introduced Standard Codes, Formats, Protocols, and Common Commands,
IEEE-488.2, re-designating the previous specification as IEEE-488.1. IEEE-488.2 provided for basic
syntax and format conventions, as well as device-independent commands, data structures, error
protocols, and the like. IEEE-488.2 built on -488.1 without superseding it; equipment can conform to 488.1 without following -488.2.

While IEEE-488.1 defined the hardware, and IEEE-488.2 defined the protocol, there was still
no standard for instrument-specific commands. Commands to control the same class of instrument
(e.g., multimeters) would vary between manufacturers and even models.
The Air Force[2] and later Hewlett-Packard recognized this problem. In 1989, HP developed
their TML language[3] which was the forerunner to SCPI Standard Commands for Programmable
Instrumentation. SCPI was introduced as an industry standard in 1990.[4] SCPI added standard generic
commands, and a series of instrument classes with corresponding class-specific commands. SCPI
mandated the IEEE-488.2 syntax, but allowed other (non-IEEE-488.1) physical transports.
The IEC developed their own standards in parallel with the IEEE, withIEC-60625-1 and IEC60625-2, later replaced by IEC-60488.
National Instruments introduced a backward-compatible extension to IEEE-488.1, originally
known as HS-488. It increased the maximum data rate to 8 Mbyte/s, although the rate decreases as
more devices are connected to the bus. This was incorporated into the standard in 2003 (IEEE-488.12003),[5] over HP's objections.[6][7]
In 2004, the IEEE and IEC combined their respective standards into a "Dual Logo" IEEE/IEC
standard IEC-60488-1, Standard for Higher Performance Protocol for the Standard Digital Interface
for Programmable Instrumentation - Part 1: General,[8] replaces IEEE-488.1/IEC-60625-1, and IEC60488-2,Part 2: Codes, Formats, Protocols and Common Commands,[9] replaces IEEE-488.2/IEC60625-2.[10]
Characteristics
IEEE-488 is an 8-bit, electrically parallel bus. The bus employs sixteen signal lines eight
used for bi-directional data transfer, three for handshake, and five for bus management plus eight
ground return lines.
Every device on the bus has a unique 5-bit primary address, in the range from 0 to 30 (31 total
possible addresses).[11][12]
The standard allows up to 15 devices to share a single physical bus of up to 20 meters total
cable length. The physical topology can be linear or star (forked).[13] Active extenders allow longer
buses, with up to 31 devices theoretically possible on a logical bus.
Control and data transfer functions are logically separated; a controller can address one device
as a talker and one or more devices as listeners without having to participate in the data transfer. It
is possible for multiple controllers to share the same bus; but only one can be the "Controller In
Charge" at a time.[14]
In the original protocol, transfers use an interlocked, three-wire readyvalidaccepted
handshake.[15] The maximum data rate is about one Mbyte/s. The later HS-488 extension relaxes the
handshake requirements, allowing up to 8 Mbyte/s. The slowest participating device determines the
speed of the bus.[16]

Connectors
IEEE-488
IEEE-488
Pin out

Female IEEE-488 connector


Pin 1

DIO1

Data input/output bit.

Pin 2

DIO2

Data input/output bit.

Pin 3

DIO3

Data input/output bit.

Pin 4

DIO4

Data input/output bit.

Pin 5

EOI

End-or-identify.

Pin 6

DAV

Data valid.

Pin 7

NRFD

Not ready for data.

Pin 8

NDAC

Not data accepted.

Pin 9

IFC

Interface clear.

Pin 10 SRQ

Service request.

Pin 11 ATN

Attention.

Pin 12 SHIELD
Pin 13 DIO5

Data input/output bit.

Pin 14 DIO6

Data input/output bit.

Pin 15 DIO7

Data input/output bit.

Pin 16 DIO8

Data input/output bit.

Pin 17 REN

Remote enable.

Pin 18 GND

(wire twisted with DAV)

Pin 19 GND

(wire twisted with NRFD)

Pin 20 GND

(wire twisted with NDAC)

Pin 21 GND

(wire twisted with IFC)

Pin 22 GND

(wire twisted with SRQ)

Pin 23 GND

(wire twisted with ATN)

Pin 24 Logic ground


IEEE-488 specifies a 24-pin Amphenol-designed micro ribbon connector. Micro ribbon
connectors have a D-shaped metal shell, but are larger than D-subminiature connectors. They are
sometimes called "Centronics connectors" after the 36-pin micro ribbon connector Centronics used for
their printers.
One unusual feature of IEEE-488 connectors is they commonly use a "double-headed" design,
with male on one side, and female on the other (at both ends of the cable). This allows stacking
connectors for easy daisy-chaining. Mechanical considerations limit the number of stacked connectors
to four or fewer, although a possible workaround involving physically supporting the connectors can
expand this.

Vous aimerez peut-être aussi