Vous êtes sur la page 1sur 4

Desarrollo de un Monitor para seales

Electrocardiogrficas por medio de FPGA


Edwin Rodrguez, Edward Bejarano, Valentn Molina, Daniel Camilo Triana
ECCI, Escuela Colombiana de carreras Industriales, Bogot-Colombia
ResumenEn este artculo se hace la descripcin del
diseo de un monitor de signos vitales, a fin de cuantificar
la seal electrocardiogrfica y temperatura corporal
usando conversores para un rango de 0 a 12 bits. Este
sistema ha sido implementado en una tarjeta FPGA
(SPARTAN 6 de XILINX) que permite la visualizacin del
electrocardiograma (ECG), frecuencia cardiaca (Fc) y
temperatura sobre pantallas con conexin VGA.
Abstract this article is a description of the design of a
vital signs monitor with the following parameters, ECG
and temperature using 12-bits converters. This system has
been implemented in a FPGA (XILINX SPARTAN 6)
called PMOD NEXYS 3 and A / D 12-bit 2-channel display
of ECG, heart rate and temperature display with VGA
connection.
Key-words:
FPGA,
Electrocardiografa, Filtros.

Conversor

A/D,

VGA,

I. INTRODUCCIN
La tecnologa y sus avances han permitido mejorar la forma
de vida de las personas, es por esta razn que en el campo de
la ingeniera biomdica es necesario estar en constante cambio
para implementar nuevas ideas a fin de aportar a la salud [1]
[2].
El diseo propuesto est fundamentado en un dispositivo
electrnico capaz de adquirir y cuantificar signos vitales. En
un aspecto general el objetivo de este proyecto consiste en
disear un dispositivo anlogo/digital para procesar y
visualizar seales electrofisiolgicas como lo son el ECG y la
Temperatura corporal en un monitor o pantalla de televisin
compatible con puerto VGA o sper video. Permitiendo con
esto la posibilidad de visualizacin de la variabilidad mostrada
por estos parmetros a travs de la adquisicin en tiempo de
real en un paciente.
II. DISEO METODOLOGICO
En primera instancia nace la idea de disear un dispositivo
totalmente electrnico con la capacidad de brindar una ayuda
o soporte al paciente dentro de su estada en una unidad de

cuidado intensivo. Para esto se plantea el desarrollo de las


siguientes etapas para el desarrollo del dispositivo.
Fase 1: Aqu se requiere un estudio del arte a fin de evaluar las
nuevas metodologas aplicadas al tema en estudio [3] [4].
Fase2: Evaluacin y consideraciones de dispositivos a utilizar
en el prototipo [9].
Fase 3: Diseo e implementacin dispositivo para la
adquisicin y cuantificacin de las seales.
III. MARCO TEORICO
A. FPGA (FIELD PROGRAMMABLE GATE ARRAY).
La tarjeta empleada es la NEXYS 3, plataforma digital
de desarrollo de Xilinx Spartan-6 FPGA, con 48 Mbytes
de memoria externa (entre ellos dos memorias no voltiles
de cambio de fase Micrn), y suficientes dispositivos de
E/S y los puertos para acoger una amplia variedad de
sistemas digitales. Se pueden construir completos sistemas
digitales, incluyendo controladores, cdec, y procesadores
integrados [8] [9].
Las caractersticas incluyen:

6 LUT de entrada y ocho flip-flops


576 Kbits de RAM de bloque rpido
Dos piezas de reloj (cuatro DCMs & dos PLLs)
32 DSP
Contiene 500MHz + velocidad de reloj
Tiene un puerto Ethernet 10/100 PHY, 16Mbytes de
celulares RAM, un puerto USB-UART, un puerto
host USB para ratones y teclados, y una mejora de
gran velocidad.
B. DIAGRAMA DEL SISTEMA

2. Amplificador de seal (AD620, INA118)


3. Circuito de proteccin del paciente (Optoacoplado )
4. Filtro NOTCH (60Hz)
5. Filtro pasa banda (0.5 Hz hasta 100 Hz) y
6. Opcional el circuito de proteccin de comn (Pierna
derecha).

Figura 1. Diagrama de bloques monitor de ECG y


Temperatura.
Este diagrama de bloques muestra el acondicionamiento de
la seal electrocardiogrfica, donde se ve reflejado un proceso
de adquisicin de la seal mediante amplificadores de
instrumentacin. Seguidamente es necesario realizar un
proceso de filtrado a la seal adquirida ya que en la etapa de
anterior y por mltiples factores, la seal ecg se ve empaada
por distintas interferencias [1]. Ver figura 2.
En el siguiente bloque tomamos otra seal muy importante
para medir la temperatura del paciente, est diseado por
una termocupla y un circuito integrado que nos permite
linealizar esta termocupla para que nos de una respuesta
rpida por los cambios de temperatura.
Luego de adquirir las seales analgicas, se hace un proceso
de digitalizacin de estas, por medio de un conversor
anlogo digital (A/D). Posteriormente estas seales son
transferidas a la FPGA, siendo esta etapa donde se podr
realizar el proceso de cuantificacin completo de las seales a
fin de ver la variabilidad de cada seal mediante la utilizacin
de un monitor a travs de VGA.
C.

MODULO ELECTROCARDIOGRAFA.

Figura 3. Seal electrocardiogrfica ECG.


Esta etapa es la ms importante, pues es la que permite
obtener la seal cardiaca para el debido procesamiento en
cualquier tipo de anlisis de seal que se le haga a la onda
cardiaca.
El circuito tiene a la entrada un par de resistencias para el
acople de impedancia, el amplificador instrumental tiene una
ganancia de voltaje y por ultimo se tienen dos amplificadores
LF353 para la proteccin del punto comn.
Existen varios problemas con esta etapa, uno de ellos es el
ruido debido a que cuando amplificamos no tenemos
solamente la seal cardiaca sino que tambin se pueden
amplificar otras seales de agentes externos como ruido o
Interferencia Electromagntica proveniente de las lneas
elctricas u otros equipos electrnicos o un simple bombillo.
.
Un factor importante que hay que tener en cuenta para poder
hacer un correcto procesamiento de la seal es el nivel de
offset que se obtiene al amplificarla y la estabilidad en el eje Y
de la onda. Esto sucede debido a que si tenemos diferencias de
potenciales ligeramente desplazadas en el eje vertical de la
entrada inversora con respecto a la no inversora, en la salida se
obtendr un resultado indeseable tras multiplicar esta
diferencia por la ganancia.
D.

Figura 2. Acondicionamiento seal ECG.


Las etapas son:
1. Adaptador de impedancia

MODULO TEMPERATURA

Para el mdulo de temperatura vamos a utilizar una


termocupla, ya que con esta podemos mejorar la precisin
en los datos de visualizacin que se entregan del paciente
con una respuesta mucho ms rpida y confiable, para poder
utilizar esta termocupla tenemos que linealizarla para que la

respuesta entregada sea mucho ms rpida y no presente


errores ya que la relacin de voltaje-temperatura es no lineal.

two simultaneous A/D conversion channels at up to one MSa


per channel.

Bloque 3.

Este bloque se encarga de tomar los 12 bits que entrega el


modulo del conversor anlogo digital y sincronizarlo con el
nmero de muestras por el tiempo del conversor 12,5 mhz,
organiza los datos para entregarlos al bloque de la pantalla y
generar la grfica del electrocardiograma

Figura 4. Modulo temperatura.


El circuiti de lilealizacion de la termocupla se realiza con el
integrado con el AD595

Bloque 4.

Este es el bloque ms importante internamente tiene dos


subloques una memoria la cual registra y almacena en una
matriz de 700 datos para mantener el trazo completo de ECG
y su visualizacin, el bloque VGA donde se realiza la
sincronizacin horizontal y vertical, y configuracin de
colores en pantalla.
IV RESULTADOS

E.

MODULO VGA IMPLEMENTACIN COMPLETA

4
2

Se realizan las primeras pruebas con simulador para revisar la


adquisicin de la seal antes de la prueba con la FPGA.
Las siguientes pruebas fueron efectuadas en la FPGA la
visualizacin y configuracin por VGA se conecta a un Video
beam para modificaciones y pruebas con pacientes.
IV. CONCLUSIONES.

El proyecto demuestra la factibilidad de desarrollo de


un sistema que permite monitorear los signos vitales
tales como frecuencia cardiaca y temperatura de un
paciente para que el medico o enfermera trabaje con
estos datos en forma rpida y segura.

Este proyecto tiene como beneficio mejorar los


servicios mdicos de un hospital adecuando un
monitor de muy bajo costo en cada rea por si se
llega a presentar una emergencia en cualquier
momento.

3
Figura 5. Implementacin VGA.

El diagrama anterior se realiz xilinx design tools versin


14.1. Se describen a continuacin los bloques para la
visualizacin VGA completa.

Bloque 1.

Los circuitos integrados y tarjetas actuales han

reducido el tamao de los monitores de signos vitales


y ha permitido la incorporacin de varios parmetros
en un mdulo, permitiendo el fcil transporte en
caso de una urgencia.

Este primer bloque se encarga de dividir el reloj principal de


nuestra Fpga Nexys 3 el cual viene de 100mhz, para la
resolucin de 640x480 en nuestras primeras pruebas se
trabajara un reloj de 25 mhz.

Bloque 2.

En este bloque se realiza la adquisicin de la seal es un


mdulo de conversin anloga/digital de 12 bits.
Two AD7476A 12-bit A/D converter chips
Two 2-pole Sallen-Key anti-alias filters

La dificultad que presenta la determinacin de la


toma de ECG y temperatura es que las personas
estamos expuestas a cambios en nuestras condiciones
fsicas y emocionales que pueden provocar que estos
signos vitales cambien rpidamente. El signo mas
afectado
la frecuencia cardiaca. Esto provoca
dificultades en validacin de los valores y el ruido
adicional por muchas ms variables a tener en cuenta

para mejorar los filtros usados para tener un mejor


desempeo y mejorar la visualizacin

Para medir la temperatura corporal se encontr


apropiado el uso de una Termocupla por el corto
tiempo de respuesta y la gran sensibilidad que
presentan estos sensores, facilitando el proceso de
medida.

Para este proyecto se podran implementar mtodos


para medir otros signos vitales como la frecuencia
respiratoria, la saturacin de oxgeno, presin arterial
invasiva y no invasiva para un monitor bsico
completo.

V.

BIBLIOGRAFA

[1] Webster, John G. Encyclopedia of Medical Devices and


Instrumentation, Wiley Interscience 1988.
[2] Health Product Comparison System, Physiologic
Monitoring Systems, Acute Care; Neonatal; ECG Monitors.
February 2003.
[3] Arbour R. Continuous nervous system monitoring, EEG,
the bispectral index and neuromuscular transmission. AACN
Clin Issues. 2003.
[4] CAIZARES, M., GMEZ, N., GONZLEZ, R. y
RIVERO, M. Nuevo mtodo para el anlisis del
electrocardiograma, Instituto Central de Investigacin Digital
Hospital Clnico Quirrgico Hermanos Ameijeiras. La Habana
(Cuba). 2003.
[5] ORGANIZACIN MUNDIAL DE LA SALUD Atlas
decisivo sobre la epidemia mundial de cardiopatas y
accidentes cerebro vasculares [en lnea, consultado
2010/08/12]. Ginebra. 2004.
[6] AVENDAO CERVANTES, Guillermo
Diseo y
construccin de un simulador de funciones electrocardacas.
Avance del proyecto FONDEF DO111149, Facultad de
Ciencias, Universidad de Playa Ancha Chile. 2005.
[7] DANERI, Pablo A. Electromedicina: Equipos de
Diagnstico y cuidados Intensivos 1ra Edicin, Captulo 2.
Pg. 89 90. Argentina. 2007.
[8] Implementacin de filtros digitales en FPGA, Sptimo
congreso de la sociedad cubana de Bioingeniera. 2007.
[9] Torres Cesar, Lgica reconfigurable en el diseo de
sistemas Digitales. 2007.

Vous aimerez peut-être aussi