Académique Documents
Professionnel Documents
Culture Documents
Page 1
syllabus
Page 2
syllabus
Page 3
syllabus
Page 4
syllabus
Page 5
syllabus
Page 6
syllabus
Page 7
syllabus
Page 8
syllabus
AIM
To understand the concepts of object-oriented programming and master OOP using C++ and Java.
UNIT I
Object oriented programming concepts objects-classes- methods and messages-abstraction and
encapsulation-inheritance- abstract classes- polymorphism.Introduction to C++- objects-classesconstructors
and destructors
UNIT II
Operator overloading - friend functions- type conversions- templates - Inheritance virtual
functions- runtime polymorphism.
UNIT III
Exception handling - Streams and formatted I/O file handling namespaces String Objects standard template library.
UNIT IV
Introduction to JAVA , bytecode, virtual machines objects classes Javadoc packages
Arrays Strings
UNIT V
Inheritance interfaces and inner classes - exception handling threads - Streams and I/O
,, TOTAL : 45 PERIODS
TEXT BOOKS
1. B. Trivedi, Programming with ANSI C++, Oxford University Press, 2007.
2. Cay S. Horstmann, Gary Cornell, Core JAVA volume 1, Eighth Edition, Pearson
Education, 2008.
REFERENCES
1. ISRD Group, Introduction to Object-oriented Programming and C++, Tata McGraw-Hill
Publishing Company Ltd., 2007.
2. ISRD Group, Introduction to Object-oriented programming through Java, Tata McGraw-Hill
Publishing Company Ltd., 2007.
3. S. B. Lippman, Josee Lajoie, Barbara E. Moo, C++ Premier, Fourth Edition, Pearson
Education, 2005.
4. D. S. Malik, C++ Programming: From Problem Analysis to Program Design, Third Edition,
Thomson Course Technology, 2007.
5. K. Arnold and J. Gosling, The JAVA programming language, Third edition, Pearson Education,
6. C. Thomas Wu, An introduction to Object-oriented programming with Java, Fourth Edition, Tata
Page 9
syllabus
GE 2211 ENVIRONMENTAL SCIENCE AND ENGINEERING (Common to EEE, EIE, ICE, Biotech, Chemical, Texti
Tech., Plastic Tech., Polymer Tech. & Textile Tech.)
UNIT II ECOSYSTEMS AND BIODIVERSITY 14 Concept of an ecosystem structure and function of an ecosystem
decomposers energy flow in the ecosystem ecological succession food chains, food webs and ecological pyramids
features, structure and function of the (a) forest ecosystem (b) grassland ecosystem (c) desert ecosystem (d) aquatic eco
rivers, oceans, estuaries) introduction to biodiversity definition: genetic, species and ecosystem diversity biogeogr
value of biodiversity: consumptive use, productive use, social, ethical, aesthetic and option values biodiversity at glob
as a mega-diversity nation hot-spots of biodiversity threats to biodiversity: habitat loss, poaching of wildlife, man-w
endemic species of India conservation of biodiversity: in-situ and ex-situ conservation of biodiversity. Field study of c
study of simple ecosystems pond, river, hill slopes, etc.
UNIT III ENVIRONMENTAL POLLUTION 8 Definition causes, effects and control measures of: (a) air pollution (b
(d) marine pollution (e) noise pollution (f) thermal pollution (g) nuclear hazards solid waste management: causes, effe
and industrial wastes role of an individual in prevention of pollution pollution case studies disaster management:
landslides. Field study of local polluted site urban / rural / industrial / agricultural
UNIT IV SOCIAL ISSUES AND THE ENVIRONMENT 7 From unsustainable to sustainable development urban pro
conservation, rain water harvesting, watershed management resettlement and rehabilitation of people; its problems a
environmental ethics: issues and possible solutions climate change, global warming, acid rain, ozone layer depletion,
case studies. wasteland reclamation consumerism and waste products environment protection act air (preventio
water (prevention and control of pollution) act wildlife protection act forest conservation act issues involved in en
legislation public awareness.
UNIT V HUMAN POPULATION AND THE ENVIRONMENT 6 Population growth, variation among nations popula
programme environment and human health human rights value education hiv / aids women and child welfare
environment and human health case studies.
TEXT BOOKS: 1. Gilbert M.Masters, Introduction to Environmental Engineering and Science, Pearson Education
297-0277-0, 2004. 2. Miller T.G. Jr., Environmental Science, Wadsworth Publishing Co. REFERENCES: 1. Bharuch
India, Mapin Publishing Pvt. Ltd., Ahmedabad India. 2. Trivedi R.K., Handbook of Environmental Laws, Rules, Gu
Standards, Vol. I and II, Enviro Media. 3. Cunningham, W.P.Cooper, T.H.Gorhani, Environmental Encyclopedia, J
Wager K.D. Environmental Management, W.B. Saunders Co., Philadelphia, USA, 1998. 5. Townsend C., Harper J an
Ecology, Blackwell Science. 6. Trivedi R.K. and P.K. Goel, Introduction to Air Pollution, Techno-Science Publicatio
EE2253 CONTROL SYSTEMS L T P C (Common to EEE, EIE & ICE) 3 1 0 4 AIM To provide sound knowledge in th
theory and design of control system.
UNIT I SYSTEMS AND THEIR REPRESENTATION 9 Basic elements in control systems Open and closed loop syst
mechanical and thermal systems Transfer function Synchros AC and DC servomotors Block diagram reduction
Page 10
syllabus
UNIT II TIME RESPONSE 9 Time response Time domain specifications Types of test input I and II order system
Generalized error series Steady state error P, PI, PID modes of feed back control.
UNIT III FREQUENCY RESPONSE 9 Frequency response Bode plot Polar plot Determination of closed loop res
Correlation between frequency domain and time domain specifications.
UNIT III STABILITY OF CONTROL SYSTEM 9 Characteristics equation Location of roots in S plane for stability
locus construction Effect of pole, zero addition Gain margin and phase margin Nyquist stability criterion
UNIT IV COMPENSATOR DESIGN 9 Performance criteria Lag, lead and lag-lead networks Compensator design
EI2253 DIGITAL LOGIC CIRCUITS LT P C 3 1 0 4 AIM To introduce the fundamentals of digital circuits, combinatio
UNIT I NUMBER SYSTEMS AND BOOLEAN ALGEBRA 9
Review of number systems; types and conversion, codes. Boolean algebra: De-Morgans theorem,
switching functions and simplification using K-maps and Quine McCluskey method.
UNIT II COMBINATIONAL CIRCUITS 9
Design of Logic gates. Design of adder, subtractor, comparators, code converters, encoders,
decoders, multiplexers and demultiplexers. Function realization using gates and multiplexers
UNIT III SYNCHRONOUS SEQUENTIAL CIRCUITS 9
Flip flops - SR, D, JK and T. Analysis of synchronous sequential circuits; design of synchronous
sequential circuits Completely and incompletely specified sequential circuits - state diagram; state
reduction; state assignment, Counters synchronous, a synchronous, updown and Johnson
counters; shiftregisters.
UNIT IV ASYNCHRONOUS SEQUENC
Analysis of asynchronous sequential machines, state assignment, asynchronous Design problem
UNIT V MEMORY DEVICES, PROGRAMMABLE LOGIC DEVICES AND LOGIC
FAMILIES 9
Memories: ROM, PROM, EPROM, PLA, PLD, FPGA, digital logic families: TTL, ECL, CMOS.
L = 45 T = 15 TOTAL = 60 PERIODS
MA2211 TRANSFORMS AND PARTIAL DIFFERENTIAL EQUATIONS L T P C
(Common of all branches) 3 1 0 4
OBJECTIVES
The course objective is to develop the skills of the students in the areas of Transforms and Partial
Differtial Equations. This will be necessary for their effective studies in a large number of
engineering subjects like heat conduction, communication systems, electro-optics and
electromagnetic theory. The course will also serve as a prerequisite for post graduate and
specialized studies and research.
UNIT I FOURIER SERIES 9
Dirichlets conditions General Fourier series Odd and even functions Half range sine series
Half range cosine series Complex form of Fourier Series Parsevals identify Harmonic
Page 11
syllabus
Analysis.
UNIT II FOURIER TRANSFORM 9
Fourier integral theorem (without proof) Fourier transform pair Sine and
Cosine transforms Properties Transforms of simple functions Convolution theorem
Parsevals identity.
UNIT III PARTIAL DIFFERENTIAL EQUATIONS 9
Formation of partial differential equations - Lagranges linear equation - Solution of standard types
of first order partial differential equations Linear partial differential equations of second and higher
order with constant coefficients.
UNIT IV APPLICATIONS OF PARTIAL DIFFERENTIAL EQUATIONS 9
Solutions of one dimensional wave equation One dimensional equation of heat conduction
Steady state solution of two-dimensional equation of heat equation (Insulated edges excluded)
Fourier series solutions in cartesian coordinates.
UNIT V Z -TRANSFORM AND DIFFERENCE EQUATIONS 9
Z-transform - Elementary properties Inverse Z transform Convolution theorem -Formation of
difference equations Solution of difference equations using Z - transform.
syllabus
vs dichromate and
precipitation Ag
+
vs CI
titrations) and conduct metric titrations (acid-base HCI vs, NaOH)
titrations,
UNIT II CORROSION AND CORROSION CONTROL 9
Chemical corrosion Pilling Bedworth rule electrochemical corrosion different types
galvanic corrosion differential aeration corrosion factors influencing corrosion corrosion control
sacrificial anode and impressed cathodic current methods corrosion inhibitors protective
coatings paints constituents and functions metallic coatings electroplating (Au) and
electroless (Ni) plating.
UNIT III FUELS AND COMBUSTION 9
Calorific value classification Coal proximate and ultimate analysis metallurgical coke
manufacture by Otto-Hoffmann method Petroleum processing and fractions cracking catalytic
cracking and methods-knocking octane number and cetane number synthetic petrol Fischer
Tropsch and Bergius processes Gaseous fuels- water gas, producer gas, CNG and LPG, Flue
gas analysis Orsat apparatus theoretical air for combustion.
UNIT IV PHASE RULE AND ALLOYS 9
Statement and explanation of terms involved one component system water system
condensed phase rule construction of phase diagram by thermal analysis simple eutectic
systems (lead-silver system only) alloys importance, ferrous alloys nichrome and stainless
steel heat treatment of steel, non-ferrous alloys brass and bronze.
UNIT V ANALYTICAL TECHNIQUES 9
Beer-Lamberts law (problem) UV-visible spectroscopy and IR spectroscopy principles
instrumentation (problem) (block diagram only) estimation of iron by colorimetry flame
photometry principle instrumentation (block diagram only) estimation of sodium by flame
photometry atomic absorption spectroscopy principles instrumentation (block diagram only)
estimation of nickel by atomic absorption spectroscopy.
TOTAL: 45 PERIODS
TEXT BOOKS:
1. P.C.Jain and Monica Jain, Engineering Chemistry Dhanpat Rai Pub, Co., New Delhi (2002).
2. S.S.Dara A text book of Engineering Chemistry S.Chand & Co.Ltd., New Delhi (2006).
REFERENCES:
1. B.Sivasankar Engineering Chemistry Tata McGraw-Hill Pub.Co.Ltd, New Delhi (2008).
2. B.K.Sharma Engineering Chemistry Krishna Prakasan Media (P) Ltd., Meerut (2001).
Page 13
syllabus
syllabus
th
edition, Singapore (2007)
2. Charles P. Poole and Frank J.Ownen, Introduction to Nanotechnology, Wiley India(2007) (for
Unit V)
MA2161 MATHEMATICS II L T P C
3104
UNIT I ORDINARY DIFFERENTIAL EQUATIONS 12
Higher order linear differential equations with constant coefficients Method of variation of
parameters Cauchys and Legendres linear equations Simultaneous first order linear equations
with constant coefficients.
UNIT II VECTOR CALCULUS 12
Gradient Divergence and Curl Directional derivative Irrotational and solenoidal vector fields
Vector integration Greens theorem in a plane, Gauss divergence theorem and stokes theorem
(excluding proofs) Simple applications involving cubes and rectangular parallelpipeds.
UNIT III ANALYTIC FUNCTIONS 12
Functions of a complex variable Analytic functions Necessary conditions, Cauchy Riemann
equation and Sufficient conditions (excluding proofs) Harmonic and orthogonal properties of
analytic function Harmonic conjugate Construction of analytic functions Conformal mapping :
w= z+c, cz, 1/z, and bilinear transformation.
UNIT IV COMPLEX INTEGRATION 12
Complex integration Statement and applications of Cauchys integral theorem and Cauchys
integral formula Taylor and Laurent expansions Singular points Residues Residue theorem
Application of residue theorem to evaluate real integrals Unit circle and semi-circular
contour(excluding poles on boundaries).
UNIT V LAPLACE TRANSFORM 12
Laplace transform Conditions for existence Transform of elementary functions Basic
properties Transform of derivatives and integrals Transform of unit step function and impulse
functions Transform of periodic functions.
Definition of Inverse Laplace transform as contour integral Convolution theorem (excluding proof)
Initial and Final value theorems Solution of linear ODE of second order with constant
coefficients using Laplace transformation techniques.
TOTAL : 60 PERIODS
TEXT BOOKS:
1. Bali N. P and Manish Goyal, Text book of Engineering Mathematics, 3
rd
Page 15
syllabus
Edition, Laxmi
Publications (p) Ltd., (2008).
2. Grewal. B.S, Higher Engineering Mathematics, 40
th
Edition, Khanna Publications, Delhi,
(2007).
REFERENCES:
1. Ramana B.V, Higher Engineering Mathematics,Tata McGraw Hill Publishing Company, New
Delhi, (2007).
2. Glyn James, Advanced Engineering Mathematics, 3
rd
Edition, Pearson Education, (2007).
3. Erwin Kreyszig, Advanced Engineering Mathematics, 7
th
Edition, Wiley India, (2007).
4. Jain R.K and Iyengar S.R.K, Advanced Engineering Mathematics, 3
rd
Edition, Narosa
Publishing House Pvt. Ltd., (2007).
Page 16
oops questios
oops questios
oops questios
Pass by Address
Pass by reference
17. Define Class?
A class encloses both data and functions that operate on the data, into a single unit.
18. Define Object Based Language.
Object Based Language=Encapsulation + Object Identity
Object Oriented Language= Object Based Features + Inheritance + Polymorphism
19. What are the Access Specifiers in C++.
Public
Private
Protected
20. What is Static Variables?
Defined with in the function, static variable initialized only once. Contents of the
variables retained throughout the program.
21. Static Member Functions?
Static Function can have accessed by only static members declared in the same
class. Static member function called using the name of class instead of its objects.
22. Define Constructor.
It is a member function having name of its class. It is executed automatically when
object is created. It is used to initialize object and allocate the necessary memory.
23. Define Destructor.
It is a member function having the char ~ followed by name of its class. It is executed
automatically when object goes out of scope. A class must have only one constructor.
24. Define Constructor Overloading.
A class can have multiple constructors. This is called constructor overloading.
25. What is order of Constructor and Destructor
When more than one object is created, they are destroyed in the reverse
Chronological order. Object created must recently is the first one to be destroyed.
26. What is meant by Parameterized constructors.
Constructor that can take arguments are called parameterized constructor.
27. What is meant by Copy Constructors?
It is used to declare and initialize an object from another object
For example
Integer i2 (i1)
Define I2 and at the same time initialize it to the values of i1.
UNIT - II
1. Define Friend Function.
Private members cannot be accessed from outside the class. To make an outside
function Friendly to a class, declare this function as a friend of the class.
2. What is meant by Friend Class?
We can also declare all the member function of one class the friend of another class. In
such cases , the class is called a friend class.
Page 19
oops questios
oops questios
UNIT III
1. What is containership?
A class can contain objects of other classes. It is known as containership.
2. What is meant by pure virtual function?
A virtual function, equated to zero is called a pure virtual function.
Page 21
oops questios
oops questios
oops questios
UNIT - IV
1. What is meant by Java?
Object Oriented Multithreaded High Level Programming Language developed by
sun Microsystems in 1991.
2. What is meant by Platform?
Platform is the hardware or system software environment in which your program
runs. Most platforms are described as a combination of hardware and operating system.
3. Java is platform independent language. Justify.
Platform is the hardware or system software environment in which your program
runs. Moreover java language run by any operating system, thats why java is called
platform independent languages.
4. What is meant by Java Application?
An Application is a program that runs on your computer, under the operating system
of that system
Page 24
oops questios
oops questios
oops questios
oops questios
oops questios
7. Create a class student and write a c++ program to read and display all the students in
your class.
8. Describe briefly the features of input/output supported by C++
9. explain the nested classes with suitable eg.
10. write a C++ program to add two complex number using the concept of passing and
returning objects in member function
11. what are access specifiers?how are the used to protect data in C++?
12. Explain with an eg, How you would create space for an array of objects using pointer.
13. explain the following with eg
Pointer to object
Array of pointers
Pointer to object members
14. Explain the following with an eg.
a. copy constructor
b. parameterized constructor
c. default argument constructor
d. dynamic constructor
15. what are virtual functions? Give an eg to highlight its need?
16. give the hierarchy of console stream class
17. Explain how exceptions are handled in c++. Give examples to support your answer.
18. Implement a String class. Each object of this class will represent a characters string.
Data members are the Length of the string and the actual characters String In addition to constructors, destructor acc
function include a subscript function.
19. Explain Method overriding in Java with an eg.
20. Construct the pictorial representation of Java Virtual Machine.
21. Write a program in java using constructor concept.
22. What are the different statements and its use in java?
23. Illustrate Inheritance in Java with suitable program.
24. Give a explanatory answer to define the difference between Java and C++,
Characteristics of Java and the concepts in java
25. Explain the Life cycle of Thread with an eg
26. Describe package concept to perform arithmetic operations. Explain
how to use it?
27. Explain the different states in Life cycle of applet?
28. Define Interfaces? Explain the extension of interfaces, implementation and accessing it.
29. What are the Different Exceptions caught, Explain the types with eg program.
30. Explain try, catch and finally statements with eg.
Page 29
dlc question
Page 30
dlc question
Page 31
dlc question
Page 32
dlc question
Page 33
dlc question
Page 34
dlc question
Page 35
dlc question
Page 36
dlc question
Page 37
dlc question
(16)
Or
(b) (i) A combinational logic circuit is defined by the following
function.
(10)
Implement the circuit with a PAL having three inputs, product terms and two outputs.
(ii) Describe the concept and working of FPGA.
(6)
15. (a) Explain RTL design using VHDL with the help of
example.
(16)
Or
(b) Write the VHDL code for mod 6 counter.
(16)
11. (a) (i) Implement the following Boolean function with NAND NAND logic. :
Y=AC+ABC+ABC+AB+D
(6)
(ii) Simplify and implement the following sop function using NOR gates. .
f(A,B,C,D) = m(0, 1, 4, 5, 10, 11, 14, 15).
(10)
Or
(b) (i) Implement the given function using multiplexer
F(x, y, z)= (0, 2, 6, 7).
(8)
(ii) Implement full subtractor using demuitiplexer.
(8)
12. (a)(i) Realize SR flip-flop using NOR gates and explain its
operation.
(8)
(ii) Convert a SR flip-flop into JK flip-flop.
(8)
Or
(b) A sequential circuit with 2D FFs A and B and input X and output Y is specified by the
following next state and output equations.
A(t+1)=AX+BX .
B(t1)=AX .
Y =(A+B)X
(i) Draw the logic diagram of the circuit.
Page 38
dlc question
(16)
13. (a) (i) Design a pulse mode circuit with inputs x1, x2, x3 and output Z as shown in figure
1.
(ii) The output should change from O to 1, only for input sequence x1 x2 x3 occurs
while z = O. Also the output z should remain in 1 until x2 occurs Use SR flip-flops for the
design.
(16)
OR
(b) (i) List and explain the steps used for analyzing an asynchronous sequential
circuit.
(8)
Page 39
dlc question
Page 40
dlc question
Page 41
dlc question
Page 42
dlc question
Page 43
dlc question
Page 44
dlc question
Page 45
dlc question
Page 46
dlc question
Page 47
dlc question
Page 48
dlc question
Page 49
dlc question
Page 50
dlc question
Page 51
dlc question
Page 52
dlc question
Page 53
Sheet4
1) Write a HDL code for state machine to BCD to ex3 codes Converter.
2) Write a behavioral VHDL description of the 4 bit counter.
I) Write VHDL code for a full sub tractor using logic Equation (8 Marks) (II) Write a VHDL
description of an S-R latch using a process (8 Marks)
4) Write a HDL code for 8:1 MUX using behavioral model
5) Write the HDL description of the circuit specified by the Following Boolean equations (16 marks)
S = xy + x y C =xy
6) (I) Write an HDL data flow description of a 4 bit adder subtractor of Unsigned numbers use the
conditional operator (16 marks) (II) Write the HDL gate level description of the priority encoder (16
marks
Page 54
dlc 5 unit
VHDL code to simulate 4-Bit Binary Counter by software using spartan 3 tyro
Experiments Covered
Up Counter
Down Counter
Up/Down Counter
COUNTERS
A counter is a device which stores the number of times a particular event or process has occurred, often in relationship to a clock signal. Th
of counters:
up counters
down counters
Up counters
Each of the higher-order flip-flops are made ready to toggle (both J and K inputs "high") if the Q outputs of all previous flip-flops are "high
and K inputs for that flip-flop will both be "low," placing it into the "latch" mode where it will maintain its present output state at the next c
the first (LSB) flip-flop needs to toggle at every clock pulse, its J and K inputs are connected to Vcc or Vdd, where they will be "high" all th
dlc 5 unit
Description
In this program an up counter has a 1- bit input and a 4- bit output. Additional control signals may be added such as enable. The output of th
depends on the level of the select line.
Flow Chart
Code Listing
library IEEE;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity counter is
Page 56
dlc 5 unit
end counter;
begin
begin
if (CLR='1') then
end if;
end process;
Q <= tmp;
Page 57
dlc 5 unit
end archi;
Result
SW : PSTYRO-FPGASP3\Code\EXA-8a\..............
Down Counter (Program for 4-bit binary counter using behavior description)
Description
In this program a down counter has a 1- bit input and a 4- bit output. Additional control signals may be added such as enable. The output of
depends on the level of the select line.
Flow Chart
Code Listing
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity counter is
end counter;
dlc 5 unit
begin
begin
if (CLR='1') then
end if;
end process;
Q <= tmp;
end archi;
end process;
Q <= tmp;
end archi;
Result
Page 59
dlc 5 unit
SW : PSTYRO-FPGASP3\Code\EXA-8b\..............
Up/Down Counter (Program for 4-bit binary counter using behavior description)
Description
The Up/Down control input line simply enables either the upper string or lower string of AND gates to pass the Q/Q' outputs to the succeed
flops. If the Up/Down control line is "high," the top AND gates become enabled. If the Up/Down control line is made "low," the bottom AN
enabled.
Flow Chart
Code Listing
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity counter is
end counter;
begin
Page 60
dlc 5 unit
begin
if (CLR='1') then
if (up_down='1') then
else
end if;
end if;
end process;
Q <= tmp;
end archi;
end process;
Q <= tmp;
Page 61
dlc 5 unit
end archi;
end process;
Q <= tmp;
end archi;
We will complete our introduction to code converters by designing an Excess-3 Binary Coded Decimal (BCD) circuit. The term BCD refers
BCD TO EXCESS-3 CODE CONVERTER SYNTHESIS
the ten decimal digits in binary forms; which simply means to count in binary; see Table 1 below. The Excess-3 system simply adds 3 to eac
the codes look different. We will not venture to discuss the importance of the Excess-3 BCD system because the discussion would serve too
from our present purpose and the cost would outweigh the benefit. Suffice it to say that the Excess-3 BCD system has some properties that
early computers.
Our task now is to use the truth table to find four switching expressions: one for W, one for X, one for Y, and one for Z. We have two choice
Boolean algebraic manipulations, or we can use Karnaugh Maps. For the sake of expediency we will solve for the variables using K-Maps.
how to use Boolean algebraic manipulations, read the Boolean Algebra article.
In the four K-maps that follow, the xs are referred to as dont cares . These dont cares are available because if you look at the truth table
WXYZ valuations exist for ABCD = 1010, ABCD = 1011, ABCD = 1100, ABCD = 1101, ABCD = 1110, and ABCD = 1111. As such, we e
xxxx for each of these entries. And we are free to use these xs as we please (as 0s or as 1s where convenient) since we cant really hurt any
W = A + BD + BC = A + B (D + C)
For X:
Page 62
dlc 5 unit
X = BCD + BD + BC = BCD + B (D + C)
For Y:
Y = CD + CD
For Z:
Here is how we will build the circuit. We will implement the circuit for output W first; only then will we add the out for X; then for Y; then
one output at a time methodology to allow us to test each output as it is built, so to catch errors early in the synthesis process.
Page 63
dlc 5 unit
Here is the interactive circuit for the output W. Play around with it to see that it works.
Now we will add the output for X. Notice that X can be rewritten as X = B(C + D) + B (D + C). So all we need is an XOR gate to combin
Test Circuit 2 below to see that it implements X = BCD + B (D + C).
We will add the output for Y the same way. Y is simply the XNOR of C and D. Play around with Circuit 3 to see that it implements Y = CD
The final step in our implementation is to add the output for Z, which is just D. Circuit 4 below is the final circuit; it is the BCD to Excess
Page 64
dlc 5 unit
Page 65
dlc 5 unit
Page 66
dlc 5 unit
Page 67
dlc 5 unit
Page 68
dlc 5 unit
Page 69
dlc 5 unit
Page 70
dlc 5 unit
Page 71
dlc 5 unit
Page 72
dlc 5 unit
A + BD + BC
= A + B (D +
C)
BCD + BD +
BC = BCD +
B (D + C)
CD + CD
Page 73
dlc 5 unit
Page 74