Vous êtes sur la page 1sur 109

INSTITUTO POLITCNICO NACIONAL

E S C U E L A S U P E R I O R D E I N G E N I E R A M E C N IC A Y E L C T R IC A
UNIDAD PROFESIONAL AZCAPOTZALCO

PROYECTO TERMINAL
Automatizacin de un hogar mediante tecnologa X-10

MigSantiago

Contenido
CAPTULO 1........................................................................................................................................... 1
1.1 Antecedentes ................................................................................................................................... 2
1.1.1 La historia de la electricidad en Mxico y sus aplicaciones actuales ...................................... 2
1.1.2 Historia del protocolo X-10 ..................................................................................................... 6
1.1.3 Los microcontroladores PIC de Microchip .............................................................................. 7
CAPTULO 2........................................................................................................................................... 9
2.1 La domtica................................................................................................................................... 10
2.1.1 Caractersticas de la domtica................................................................................................ 11
2.1.2 Gestin de la Domtica.......................................................................................................... 11
2.1.3 Descripcin del sistema domtico ......................................................................................... 12
2.2 El Protocolo X-10 ......................................................................................................................... 16
2.2.1 La teora de transmisin del protocolo X-10 ......................................................................... 16
CAPTULO 3......................................................................................................................................... 20
3.1 Los microcontroladores PIC ......................................................................................................... 21
3.1.1 La arquitectura RISC Harvard ............................................................................................... 21
3.1.2 Frecuencias de trabajo............................................................................................................ 21
3.1.3 Memoria de programa FLASH .............................................................................................. 22
3.1.4 Los temporizadores ................................................................................................................ 22
3.1.5 Mdulo de Captura-Comparacin-PWM............................................................................... 22
3.1.6 El convertidor analgico a digital .......................................................................................... 23
3.2 La automatizacin con PICs ......................................................................................................... 24
3.2.1 Descripcin del hardware....................................................................................................... 24
3.2.2 Detector de cruce por cero ..................................................................................................... 25
3.2.3 Detector de seal de 120kHz ................................................................................................. 26
3.2.4 Generador de la seal de 120kHz .......................................................................................... 27
3.2.5 Fuente de 5V sin transformador............................................................................................. 30
3.3 Sensores a emplear........................................................................................................................ 32
3.3.1 Sensor de iluminacin............................................................................................................ 32
3.3.2 Sensor de humo...................................................................................................................... 34
3.3.3 Sensor de presencia................................................................................................................ 35
3.3.4 Sensor de temperatura............................................................................................................ 36
3.3.5 Sensor de humedad ................................................................................................................ 37
3.3.6 Sensor de gas.......................................................................................................................... 38
3.4 Dispositivos y mecanismos de control.......................................................................................... 41
3.4.1 Control de iluminacin........................................................................................................... 41
3.4.2 Control de riego ..................................................................................................................... 45
3.4.3 Control de puerta de cochera ................................................................................................. 50
3.4.4 Control de persianas............................................................................................................... 58
3.4.5 Respaldo de energa va UPS ................................................................................................. 70
3.4.6 Control de temperatura........................................................................................................... 71
3.4.7 Control de bombeo de agua ................................................................................................... 77
3.4.8 Proteccin de ventanas........................................................................................................... 87
CAPTULO 4......................................................................................................................................... 90
4.1 Automatizacin y programacin ................................................................................................... 91

4.1.1 Componentes de la automatizacin........................................................................................ 91


4.1.2 Transmisin y recepcin de la portadora ............................................................................... 92
4.1.3 La computadora central.......................................................................................................... 95
4.1.4 Las desventajas del protocolo X10 ...................................................................................... 106
CAPTULO 5....................................................................................................................................... 107
5.1 Costos.......................................................................................................................................... 108
Apndice ........................................................................................................................................... 117
A.1 Programas de transmisin recepcin de portadora................................................................. 117
A.2 Programa del control de iluminacin ..................................................................................... 119
A.3 Programa del control de temperatura ..................................................................................... 121
B.1 Diagrama electrnico de la fuente de 5V sin transformador.................................................. 126
B.2 Diagrama electrnico del receptor X10.................................................................................. 127
B.3 Diagrama electrnico del transmisor X10.............................................................................. 128
B.4 Diagrama electrnico del control de iluminacin .................................................................. 129
B.5 Diagrama electrnico del control de velocidad del ventilador............................................... 130
B.6 Conexionado bsico de un PIC16F877A ............................................................................... 131
B.7 Circuito electrnico del transmisor del sensor de presencia .................................................. 132
B.8 Circuito electrnico del receptor del sensor de presencia ...................................................... 133
B.9 Circuito electrnico del detector de intrusin de ventanas..................................................... 134
B.10 Tornillo sinfn del garage ..................................................................................................... 135
B.11 Medidas del engrane helicoidal y del tornillo sinfn ............................................................ 136
B.12 Vista general del garage automtico .................................................................................... 137
B.13 Diagrama electrnico del control de la cochera ................................................................... 138
Bibliografa ....................................................................................................................................... 142

Introduccin
La evolucin de los seres humanos ha generado una serie de eventos que han dado lugar a
etapas histricas de gran trascendencia, como lo son los desarrollos tecnolgicos.
La tecnologa nace con los seres humanos y se va transformando en un elemento de
prioridad para los grupos sociales que la emplean, les permite habituarse a medios
ambientes extremosos o, simplemente, a subsistir.
Gracias a los avances tecnolgicos generados por aos de estudio e investigacin, los seres
humanos han logrado obtener un nivel de vida muy alto; sus vidas ya no corren peligro ante
situaciones de la naturaleza. Ahora no se busca cumplir necesidades bsicas de
supervivencia nicamente, tambin se busca lograr un nivel de vida con confort y control de
los alrededores.
Ante estas nuevas necesidades la tecnologa de la informacin entra en escena. Gracias a
ella se puede conocer, manipular y programar el ambiente en el que una persona se
desenvuelve, pudiendo ser una oficina o hasta el mismo hogar.
As es como surge la domtica. En Francia, donde son muy amantes de adaptar trminos
propios a las nuevas disciplinas, se acu la palabra "Domotique", contraccin de las
palabras "domo" e "informatique". Este trmino se puede definir como: "el concepto de
vivienda que integra todos los automatismos en materia de seguridad, gestin de la energa,
comunicaciones, etc.". Es decir, el objetivo es asegurar al usuario de la vivienda un aumento
del confort, de la seguridad, del ahorro energtico y de las facilidades de comunicacin.
En este trabajo se presenta el proyecto de cinco estudiantes de noveno semestre de la
Escuela Superior de Ingeniera Mecnica y Elctrica, Unidad Profesional Azcapotzalco. Se
tratar el uso de tecnologas como los microcontroladores y computadoras personales para
manipular las diversas variables que se encuentran en un hogar, tales como temperatura,
control de iluminacin, seguridad, gestin de energa, sistemas de riego, etc.
El sistema de comunicacin entre los dispositivos que controlarn todas esas variables ser
el protocolo X-10, el cul ser explicado en el marco terico de este trabajo.

Abstract
The humankind evolution has generated a series of events that form part of great
transcendence historic stages. Technology is born along human beings and has turned to be
a priority element for social groups that use it, it allows them to live in harmful environments
and survive.
Due to the technological advances generated by years of research, humans have achieved a
high quality life level, their lives are not threatened by nature situations anymore. Humans are
not just looking to fulfil basic survival needs, they are looking for a comfortable life and control
of their surroundings as well.
Considering these new needs, the information technology comes into scene. By using it, the
environment in which a person interacts can be known, can be manipulated and can be
programmed; this environment could be an office or a home itself.
That is how domotics appears. In France, where people love to adapt self-invented names to
new disciplines, the word "domotique" was coined, from the contraction of the words "domo"
and "informatique". This term can be defined as follows: "a home concept which unites all
automatisms related to security issues, energy management, communications, etc.". The
objective is to guarantee the home owner an improvement in comfort, security, energy
savings and communication simplicities.
This work reports the project of five students of ninth grade at Superior School of Mechanical
and Electrical Engineering, Professional Unit Azcapotzalco. It introduces the use of
microcontroller and computer technologies to manipulate the diverse variables that can be
found in a home such as: temperature, illumination control, security, energy management,
sprinkler systems, etc.
The system used to communicate between devices and control all these variables, is the X-10
protocol, which will be explained in this paper.

OBJETIVO GENERAL
Aplicar la tecnologa X-10 para controlar el ambiente de un hogar

OBJETIVOS ESPECFICOS




Entender el funcionamiento del protocolo X-10


Intercomunicar dos elementos a controlar mediante el cableado de energa elctrica
de un hogar
Desarrollar un sistema central que est a cargo de la gestin de todos los elementos
de control del hogar

CAPTULO 1
MARCO HISTRICO

Automatizacin de un hogar mediante el protocolo X-10


El protocolo X10

1.1 Antecedentes
La creciente dedicacin del Homo Erectus a la caza, dio origen al
desarrollo de una organizacin social claramente humana, basada en
una estricta divisin del trabajo entre hombres, cazadores y mujeres que
buscaban y recogan el alimento ... desarrollando un lenguaje que
muestra al hombre como el nico animal cultural, que pudo sobrevivir y
triunfar adaptando su comportamiento, ms que su cuerpo, a las
1
modificaciones del medio.
- Jonathan N. Leonard -

Una vivienda domtica se puede definir como: "aquella vivienda en la que existen
agrupaciones automatizadas de equipos, normalmente asociados por funciones, que
disponen de la capacidad de comunicarse interactivamente entre s de un bus domstico
multimedia que las integra".
Para lograr la intercomunicacin de estos equipos se cuenta con la transmisin de
informacin por la lnea de alimentacin elctrica. Esa informacin se enva siguiendo las
normas del protocolo X-10, que ser explicado posteriormente. Este intercambio se logra
mediante circuitera elctrica y microcontroladores PIC, encargados de decodificar y/o
codificar la informacin a transmitir.
En este apartado se tratarn los temas de la energa elctrica en Mxico, el desarrollo del
protocolo X-10 y el desarrollo de los microcontroladores PIC de Microchip.

1.1.1 La historia de la electricidad en Mxico y sus aplicaciones actuales


La historia de la electricidad en Mxico ha sido seguida por la Compaa Federal de
Electricidad, a continuacin se muestran los datos obtenidos y que se presentan en su
pgina de Internet.
En 1937, Mxico tena 18.3 millones de habitantes; de los cuales, nicamente siete millones
(38%) contaban con servicio de energa elctrica, proporcionado con serias dificultades por
tres empresas privadas. La oferta no satisfaca la demanda, las interrupciones de luz eran
constantes y las tarifas muy elevadas. Adems, esas empresas se enfocaban a los mercados
urbanos ms redituables, sin contemplar en sus planes de expansin a las poblaciones
rurales, donde habitaba ms de 62% de la poblacin.
Para dar respuesta a esas situaciones que no permitan el desarrollo econmico del pas, el
Gobierno federal decidi crear, el 14 de agosto de 1937, la Comisin Federal de Electricidad,
que en una primera etapa se dio a la tarea de construir plantas generadoras para satisfacer

Editorial Time-Life, El Primer Hombre: Orgenes del Hombre, Netherlands, Time-Life International, 1976, pp 15-18

Automatizacin de un hogar mediante el protocolo X-10


El protocolo X10

la demanda, y con ello beneficiar a ms mexicanos mediante el bombeo de agua de riego, el


arrastre y la molienda; pero sobre todo, con alumbrado pblico y para casas habitacin.
Los primeros proyectos de CFE se emprendieron en Teloloapan, Guerrero; Ptzcuaro,
Michoacn; Suchiate y Xa, en Oaxaca, y Ures y Altar, en Sonora. En 1938, la empresa tena
apenas una capacidad de 64 kW, misma que, en ocho aos, aument hasta alcanzar 45,594
kW. Entonces, las compaas privadas dejaron de invertir y la CFE se vio obligada a generar
energa para que stas la revendieran.
En 1960, de los 2,308 MW de capacidad instalada en el pas, CFE aportaba 54%; la Mexican
Light, 25%; la American and Foreign, 12%, y el resto de las compaas, 9%. Sin embargo, a
pesar de los esfuerzos de generacin y electrificacin, para esas fechas apenas 44% de la
poblacin contaba con electricidad. Tal situacin del Sector Elctrico Mexicano motiv al
entonces Presidente Adolfo Lpez Mateos a nacionalizar la industria elctrica, el 27 de
septiembre de 1960.
A partir de entonces, se comenz a integrar el Sistema Elctrico Nacional, extendiendo la
cobertura del suministro y acelerando la industrializacin del pas. Para ello, el Estado
mexicano adquiri los bienes e instalaciones de las compaas privadas, mismas que
operaban con serias deficiencias, por la falta de inversin y los problemas laborales.
Para 1961, la capacidad total instalada en el pas ascenda a 3,250 MW. CFE venda 25% de
la energa que produca y su participacin en la propiedad de centrales generadoras de
electricidad pas de cero a 54%. En poco ms de 20 aos, la CFE haba cumplido uno de
sus ms importantes cometidos: ser la entidad rectora en la generacin de energa elctrica.
En esa dcada, la inversin pblica se destin en ms de 50% a obras de infraestructura.
Con parte de estos recursos se construyeron importantes centros generadores, entre ellos
los de Infiernillo y Temascal. En esos aos se instalaron plantas generadoras por el
equivalente a 1.4 veces lo hecho hasta entonces, alcanzando, en 1971, una capacidad
instalada de 7,874 MW.
Al finalizar los 70, se super el reto de sostener el mismo ritmo de crecimiento, al instalarse
entre 1970 y 1980 centrales generadoras por el equivalente a 1.6 veces, para llegar a una
capacidad instalada de 17,360 MW. En la dcada de los 80, el crecimiento fue menos
espectacular, principalmente por la disminucin en la asignacin de recursos. No obstante,
en 1991 la capacidad instalada ascenda a 26,797 MW.
En 2005, la capacidad instalada en el pas es de 46,171.02 MW, de los cuales 50.32%
corresponde a generacin termoelctrica de CFE; 16.79% a productores independientes de
energa (PIE); 22.22% a hidroelectricidad; 5.63% a centrales carboelctricas; 2.08% a
geotrmica; 2.96% a nucleoelctrica, y 0.005% a eoloelctrica.
Debe sealarse que, en los inicios de la industria elctrica mexicana operaban varios
sistemas aislados, con caractersticas tcnicas diferentes; llegando a coexistir casi 30
voltajes de distribucin, siete de alta tensin para lneas de transmisin y dos frecuencias
elctricas de 50 y 60 hertz. Ello dificultaba el suministro de electricidad a todo el pas, por lo
que CFE defini y unific los criterios tcnicos y econmicos del Sistema Elctrico Nacional,
3

Automatizacin de un hogar mediante el protocolo X-10


El protocolo X10

normalizando los voltajes de operacin, con la finalidad de estandarizar los equipos, reducir
sus costos y los tiempos de fabricacin, almacenaje e inventariado. Luego, unific la
frecuencia a 60 hertz en todo el pas e integr los sistemas de transmisin, en el Sistema
Interconectado Nacional.
Otro rubro con logros contundentes, se refiere a la red de transmisin de electricidad, el cual
se compone actualmente de: 44,424 kilmetros de lneas de 400, 230 y 161 kV; 324
subestaciones de potencia con una capacidad de 129,771 MVA, y 45,216 kilmetros de
lneas de subtransmisin de 138 kV y tensiones menores. Por su parte, el sistema de
distribucin (que tambin estaba en ceros en 1937) cuenta actualmente con 1,497
subestaciones con 39,072 MVA de capacidad; 6,484 circuitos de distribucin con una
longitud de 359,598 kilmetros; 935,654 transformadores de distribucin con una capacidad
de 30,455 MVA; 231,109 kilmetros de lneas secundarias de baja tensin y 562,633
kilmetros de acometidas.
El da de hoy, 125,934 localidades tienen electricidad y sus habitantes reciben una atencin
ms rpida y cmoda en las 951 oficinas de atencin al pblico y los 1,505 cajeros
CFEmtico, en los que se puede pagar el recibo de luz a cualquier hora, los 365 das del
ao.2
Hoy en da, la CFE est buscando aplicar la tecnologa para el desarrollo social del pas; no
slo se encarga de entregar energa elctrica a poblaciones rurales. Existe un proyecto piloto
a cargo de la Comisin Federal de Electricidad (CFE) para la transmisin de voz, audio y
datos a travs de redes elctricas en comunidades remotas. A continuacin se muestra una
parte de la informacin que la pgina de la presidencia de Mxico contiene.
El Proyecto Power Line Communication (PLC), Comunicacin a la Velocidad de la Luz, es un
sistema que utiliza la red elctrica para enlazar a los usuarios con la telefona pblica e
Internet, y cuya aplicacin se extiende a mbitos tan importantes para el desarrollo del pas
como la educacin, salud, vivienda, cultura y recreacin para las familias mexicanas.
El primero de febrero de 2005, el Presidente Vicente Fox realiz la primera llamada telefnica
a travs del sistema PLC, tecnologa desarrollada por la CFE, desde la escuela primaria
Coronel Filiberto Gmez, en Jocotitln, estado de Mxico, para comunicarse a la ciudad de
Mxico.
En 2003, la CFE suscribi un convenio de colaboracin con el Instituto Politcnico Nacional y
Grupo IUSA para desarrollar el programa piloto en Jocotitln, en donde ya hay 80 accesos a
Internet gratuitos y se desarrolla otra prueba de campo en Morelia, en donde se da servicio a
700 casas.
El Mandatario resalt que este proyecto se suma a diversas esfuerzos de conectividad
emprendidas por el Gobierno Federal, como el programa e-Mxico que busca llevar el
Internet a los 165 mil salones de clases de quinto y sexto ao de primaria que hay en todo el
pas.
2

CFE - Historia, leda el 11/sep/2005, <http://www.cfe.gob.mx/es/LaEmpresa/queescfe/historia/>

Automatizacin de un hogar mediante el protocolo X-10


El protocolo X10

Asimismo, se suma al programa Enciclomedia cuyos beneficiarios sern las ms de 200 mil
escuelas pblicas de todo el pas--, y al proyecto e-Mxico, para brindar conexin al mundo
del conocimiento, la tecnologa y la red Mundial.
De igual modo, el Proyecto PLC impactar a los siete mil 500 Centros del Conocimiento o
Plazas Comunitarias que ya estn operando, y que sin costo alguno dan acceso a toda la
poblacin abierta de comunidades indgenas y rurales a la computadora y al Internet.
Otro importante lugar de aplicacin para el Proyecto ser el programa e-Salud, que a travs
de telemedicina, conectar a los pacientes de todo el pas con los expertos de los institutos
nacionales de especialidades mdicas instalados en la Ciudad de Mxico.
La operatividad de Proyecto PLC consiste en que, mediante cualquier contacto de luz, se
podrn transmitir video, voz y datos a alta velocidad.3
Estos avances tecnolgicos son importantes debido al medio de transmisin propuesto. En
otros pases del primer mundo la transmisin de Internet se hace mediante cableado
especial, el cual necesita grandes inversiones que en nuestro pas no se podran dar. Es por
esto que las lneas de alimentacin, que ya estn previamente instaladas, constituyen un
medio barato, seguro y presente en todas las comunidades y ciudades del pas.

Luis Alberto Garca Castillo, Mxico - Presidencia de la Repblica _ Las Buenas Noticias tambin son Noticia, leda el
11/sep/2005, <http://www.presidencia.gob.mx/buenasnoticias/?contenido=16627&pagina=97>

Automatizacin de un hogar mediante el protocolo X-10


El protocolo X10

1.1.2 Historia del protocolo X-10


Los orgenes de X-10 estn en una compaa llamada Pico Electronics, en Glenrothes,
Escocia. Pico fue fundada en 1970 por un grupo de ingenieros que trabajaban para General
Instrument Microelectronics (G.I.). Los fundadores de Pico tuvieron la idea de que era posible
desarrollar una calculadora chip nica; la mayora de calculadoras en aquel momento usaban
como mnimo 5 circuitos integrados (ICs). Pico lo hizo y esta calculadora IC fue precisamente
el primer microprocesador del mundo, una historia muy diferente a lo que Intel o Texas
Instruments aseveran. Pico pas a desarrollar una gama de calculadoras ICs que fueron
fabricadas por G.I. y vendidas a fabricantes de calculadoras como Bowmar, Litton, y Casio. A
Pico le pagaron los derechos de patente de ICs pero como el precio de los ICs para
calculadoras descendi de $20 a menos de un dlar, los directores de Pico vieron la
necesidad de desarrollar productos completos y no slo ICs.
En 1974 presentaron la idea de un cambiadiscos que seleccionara las pistas en un disco LP
vinil. Pico desarroll el producto entero que inclua el IC de costumbre, todos los aspectos
mecnicos, la caja, etc. Se necesit un fabricante, BSR en ese momento era el fabricante
ms grande del mundo de cambiadores de discos. Por lo tanto se form una nueva empresa
llamada Accutrac Ltd., una asociacin a medias entre BSR y Pico. BSR fabric el cambiador
de discos, llamado Accutrac 2000, y pas a la fabricacin de varios modelos desarrollados
por Pico.
El xito de los proyectos Accutrac financi el desarrollo de la siguiente gran idea. El Accutrac
tena muchas caractersticas nicas, como que era teledirigido. Utilizaba un telecomando
ultrasnico desarrollado por Pico. Esto pas a mediados de los 70, incluso antes de que
fuera popular para los televisores con mando a distancia. La idea del mando a distancia de
Accutrac engendr la idea de controlar las luces y los electrodomsticos con mando a
distancia, y as en 1975 el proyecto X-10 fue concebido (haba 8 proyectos diferentes de
calculadoras IC y Accutrac era el proyecto X9). Se lleg a la idea de utilizar la instalacin
elctrica existente AC para transmitir seales para controlar luces y electrodomsticos.
Los ICs se desarrollaron en un periodo de tres aos, y se realizaron extensas pruebas en
una casa. Despus de numerosas pruebas se encontr que el sistema funcionaba bien
durante el da, pero pareca que paraba de funcionar cuando el dueo vena a verlo por la
tarde. Despus de una investigacin exhaustiva se descubri que cuando todos llegaban a
casa de trabajar y empezaban a poner en marcha sus electrodomsticos, el ruido en la lnea
AC aumentaba hasta tal punto que el sistema paraba de funcionar. Para remediarlo, los
ingenieros de Pico propusieron sincronizar las transmisiones de la lnea de conduccin
elctrica con el punto de cruce cero de la lnea AC, que es cuando hay menos ruido.
En 1978 se present X-10 al pblico americano. RadioShack fue el primer cliente.
RadioShack es incluso hoy da uno de los minoristas ms grandes de productos X-10. Ya se
mantena una relacin con BSR, tenan un buen nombre y una buena distribucin, por tanto
se form otra empresa a medias con ellos y se fund X10 Ltd. El da en que la prensa iba a
anunciar la presentacin del sistema todava no se haba otorgado un nombre, por tanto se
acord el nombre El Sistema X10 BSR", el cul ms adelante fue renombrado como sistema
X10 de fuente de energa.
6

Automatizacin de un hogar mediante el protocolo X-10


El protocolo X10

En 1978 el sistema constaba de una consola de comandos de 16 canales, un Mdulo de


Lmpara, y un Mdulo para los Electrodomsticos. Muy pronto se continu con la adicin de
un mdulo para el interruptor de pared. Un ao despus se present el primer reloj
automtico X10. Esta vez se crey necesario asignar un nombre propio al sistema, por tanto
fue contratada la mejor agencia de publicidad que haba entonces y se invirti mucho dinero
en proponer un nombre. El nombre ofrecido fue El Reloj Automtico.4
Actualmente, la compaa X10 desarrolla productos que van ms all del control centralizado
dentro del hogar del usuario, pueden controlarse equipos desde Internet, o con controles
PDA (Personal Digital Assistant); incluso se puede controlar lo que se est viendo en el
televisor o saber quin est llamando a la puerta sin levantarse a verificarlo.

1.1.3 Los microcontroladores PIC de Microchip


Un microcontrolador es un circuito integrado o chip que incluye en su interior las tres
unidades funcionales de un ordenador: CPU, Memoria y Unidades de E/S, es decir, se trata
de un computador completo en un solo circuito integrado. Aunque sus prestaciones son
limitadas, adems de dicha integracin, su caracterstica principal es su alto nivel de
especializacin. Aunque los hay del tamao de un sello de correos, lo normal es que sean
incluso ms pequeos, ya que, lgicamente, forman parte del dispositivo que controlan.
Un microcontrolador es un microprocesador optimizado para ser utilizado para controlar
equipos electrnicos. Los microcontroladores representan la inmensa mayora de los chips
de ordenador vendidos, sobre un 50% son controladores "simples" y otros son procesadores
de seales digitales (DSP5) ms especializados. Mientras se pueden tener uno o dos
microprocesadores de propsito general en casa, probablemente hay distribuidos entre los
electrodomsticos de una casa entre una y dos docenas de microcontroladores. Pueden
encontrarse en casi cualquier dispositivo elctrico como automviles, lavadoras, hornos
microondas, telfonos, etc.
Un microcontrolador difiere de una CPU normal, debido a que es ms fcil convertirla en un
ordenador en funcionamiento, con un mnimo de chips externos de apoyo. La idea es que el
chip se coloque en el dispositivo, enganchado a la fuente de energa y de informacin que
necesite, y eso es todo. Un microprocesador tradicional no permite hacer esto, ya que espera
que todas estas tareas sean manejadas por otros chips.
Por ejemplo, un microcontrolador tpico tendr un generador de reloj integrado y una
pequea
cantidad de memoria
RAM (memoria
de acceso aleatorio) y
ROM/EPROM/EEPROM (memorias borrables de slo lectura), significando que para hacerlo
funcionar, todo lo que se necesita son unos pocos programas de control y un cristal de
sincronizacin. Los microcontroladores disponen generalmente tambin de una gran
variedad de dispositivos de entrada/salida, como convertidores de analgico a digital,
4

La historia de X10 por uno de sus pioneros, leda el 04/sep/2005,


<http://www.domotica.net/La_historia_de_X10_por_uno_de_sus_pioneros.htm>
5
Del ingls, Digital Signal Processors.

Automatizacin de un hogar mediante el protocolo X-10


El protocolo X10

temporizadores y buses de interfaz serie especializados, como I2C (Inter Integrated Circuits)
y CAN (Redes de controladores). Frecuentemente, estos dispositivos integrados pueden ser
controlados por instrucciones de procesadores especializados. 6
En 1965 GI form una divisin de microelectrnica, destinada a generar las primeras
arquitecturas viables de memoria EPROM y EEPROM. De forma complementaria GI
Microelectronics Division fu tambin responsable de desarrollar una amplia variedad de
funciones digitales y analgicas en las familias de circuitos integrados AY3-xxxx y AY5-xxxx.
GI tambin cre un microprocesador de 16 bit, denominado CP1600 a principios de los 70.
Este fue un microprocesador razonable, pero no particularmente bueno manejando puertos
de entrada/salida. Para algunas aplicaciones muy especficas GI dise un Controlador de
Interface Perifrico (PIC) entorno a 1975. Fue diseado para ser muy rpido, adems de ser
un controlador de entradas/salidas para una mquina de 16 bits pero sin necesitar una gran
cantidad de funcionalidades, por lo que su lista de instrucciones fue pequea.
No es de extraar que la estructura diseada en 1975 es la arquitectura del actual PIC16C5x.
Adems, la versin de 1975 fue fabricada con tecnologa NMOS y slo estaba disponible en
versiones de ROM de mscara, pero segua siendo un buen pequeo microcontrolador. El
mercado, no obstante, no pens as y el PIC qued reducido a ser empleado por grandes
fabricantes nicamente.
Durante los 80, GI renov su apariencia y se reestructur, centrando su trabajo en sus
principales actividades, semiconductores de potencia esencialmente, lo cual siguen haciendo
actualmente con bastante xito. GI Microelectronics Division cambi a GI Microelectronics
Inc. (una especie de subsidiaria), la cual fue finalmente vendida en 1985 a Venture Capital
Investors, incluyendo la fbrica en Chandler, Arizona. La gente de Ventura realiz una
profunda revisin de los productos en la compaa, desechando la mayora de los
componentes AY3, AY5 y otra serie de cosas, dejando slo el negocio de los PIC y de las
memorias EEPROM y EPROM. Se tom la decisin de comenzar una nueva compaa,
denominada Arizona Microchip Technology, tomando como elemento diferenciador sus
controladores integrados.
Como parte de esta estrategia, la familia NMOS PIC16C5x fue rediseada para emplear algo
que la misma compaa fabricaba bastante bien, memoria EPROM. De esta forma naci el
concepto de basarse en tecnologa CMOS, OTP7 y memoria de programacin EPROM,
naciendo la familia PIC16C5x.
Actualmente Microchip ha realizado un gran nmero de mejoras a la arquitectura original,
adaptndola a las actuales tecnologas y al bajo costo de los semiconductores.8

Microcontrolador - Wikipedia, leda el 11/sep/2005, < http://es.wikipedia.org/wiki/Microcontrolador>


Del ingls, One Time Programming, programacin de una sola vez.
8
Miguel Angel Montejo Rez, Introduccin a los microcontroladores PIC, leda el 10/sep/2005
<http://www.redeya.com/electronica/tutoriales/pic1.htm>
7

CAPTULO 2
MARCO CONCEPTUAL

Automatizacin de un hogar mediante el protocolo X-10


El protocolo X10

2.1 La domtica
Domtica es el trmino que se utiliza para denominar la parte de la tecnologa (electrnica e
informtica), que integra el control y supervisin de los elementos existentes en un edificio de
oficinas o en uno de viviendas o simplemente en cualquier hogar. Tambin, un trmino muy
familiar es el de "edificio inteligente", que se aplica ms al mbito de los grandes bloques de
oficinas, bancos, universidades y edificios industriales.
El uso de las tecnologas de la informacin y las comunicaciones en la vivienda genera
nuevas aplicaciones y tendencias basadas en la capacidad de proceso de informacin y en la
integracin y comunicacin entre los equipos e instalaciones. Una vivienda inteligente puede
ofrecer una amplia gama de aplicaciones en reas tales como:
* Seguridad
* Gestin de la energa
* Automatizacin de tareas domsticas
* Formacin, cultura y entretenimiento
* Comunicacin con servidores externos
* Ocio y entretenimiento
* Operacin y mantenimiento de las instalaciones, etc.
De una manera general, un sistema domtico dispondr de una red de comunicacin que
permite la interconexin de una serie de equipos a fin de obtener informacin sobre el
entorno domstico y, basndose en sta, realizar determinadas acciones sobre dicho
entorno.
Los elementos de campo (detectores, sensores, captadores, actuadotes, etc.), transmitirn
las seales a una unidad central inteligente que tratar y elaborar la informacin recibida.
En funcin de dicha informacin y de una determinada programacin, la unidad central
actuar sobre determinados circuitos de potencia relacionados con las seales recogidas por
los elementos de campo correspondientes.

10

Automatizacin de un hogar mediante el protocolo X-10


El protocolo X10

2.1.1 Caractersticas de la domtica


Se pueden resaltar las siguientes caractersticas:
* Control remoto desde dentro de la vivienda: a travs de un esquema de comunicacin
con los distintos equipos (mando a distancia, bus de comunicacin, etc.). Reduce la
necesidad de moverse dentro de la vivienda, este hecho puede ser particularmente
importante en el caso de personas de la tercera edad o discapacitadas.
* Control remoto desde fuera de la vivienda: presupone un cambio en los horarios en los
que se realizan las tareas domsticas y como consecuencia permite al usuario un mejor
aprovechamiento de su tiempo.
* Programabilidad: el hecho de que los sistemas de la vivienda se pueden programar ya
sea para que realicen ciertas funciones con slo tocar un botn o que las lleven a cabo en
funcin de otras condiciones del entorno (hora, temperatura interior o exterior, etc.) produce
un aumento del confort y un ahorro de tiempo.

2.1.2 Gestin de la Domtica


La domtica se encarga de gestionar los siguientes cuatro aspectos del hogar:


Energa elctrica. Se encarga de gestionar el consumo de energa, mediante


temporizadores, relojes programadores, termostatos, etc.

Comodidad. La domtica proporciona una serie de comodidades, como pueden ser el


control automtico de los servicios de: calefaccin, refrigeracin, iluminacin y la
gestin de elementos como accesos, persianas, toldos, ventanas, riego automtico,
etc.

Seguridad. La seguridad que proporciona un sistema domtico es ms amplia que la


que puede proporcionar cualquier otro sistema, pues integra tres campos de la
seguridad que normalmente estn controlados por sistemas distintos:

* Seguridad de los bienes: Gestin del control de acceso y control de presencia, as


como la simulacin de presencia. Alarmas ante intrusiones.
* Seguridad de las personas: Especialmente, para las personas mayores y los
enfermos. Mediante el nodo telefnico, se puede tener acceso (mediante un pulsador
radiofrecuencia que se lleve encima, por ejemplo) a los servicios de ambulancias, polica, etc.
* Incidentes y averas: Mediante sensores, se pueden detectar los incendios y las
fugas de gas y agua, y, mediante el nodo telefnico, desviar la alarma hacia los bomberos,
por ejemplo.


Comunicaciones: Este aspecto es imprescindible para acceder a multitud de servicios


ofrecidos por los operadores de telecomunicaciones. La domtica tiene una
caracterstica fundamental, que es la integracin de sistemas, por eso hay nodos que
interconectan la red domtica con diferentes dispositivos, como Internet, la red
telefnica, etc.
11

Automatizacin de un hogar mediante el protocolo X-10


El protocolo X10

2.1.3 Descripcin del sistema domtico


Tipo de Arquitectura
La arquitectura de un sistema domtico, como la de cualquier sistema de control, especifica
el modo en que los diferentes elementos de control del sistema se van a ubicar. Existen dos
arquitecturas bsicas: la arquitectura centralizada y la distribuida.
Arquitectura centralizada: Es aquella en la que los elementos a controlar y supervisar
(sensores, luces, vlvulas, etc.) han de conectarse hasta el sistema de control de la vivienda
(computadora o similar). El sistema de control es el corazn de la vivienda, en cuya falta todo
deja de funcionar, y su instalacin no es compatible con la instalacin elctrica convencional
en cuanto que en la fase de construccin hay que elegir esta topologa de cableado.
Arquitectura distribuida: Es aquella en la que el elemento de control se sita prximo al
elemento a controlar. Hay sistemas que son de arquitectura distribuida en cuanto a la
capacidad de proceso, pero no lo son en cuanto a la ubicacin fsica de los diferentes
elementos de control y viceversa.
En los sistemas de arquitectura distribuida que utilizan como medio de transmisin el cable,
existe un concepto a tener en cuenta que es la topologa de la red de comunicaciones. La
topologa de la red se define como la distribucin fsica de los elementos de control respecto
al medio de comunicacin (cable).
Cada elemento del sistema tiene su propia capacidad de proceso y puede ser ubicado en
cualquier parte de la vivienda. Esta caracterstica proporciona al instalador domtico una
libertad de diseo que le posibilita adaptarse a las caractersticas fsicas de cada vivienda en
particular.

Medio de Transmisin
A continuacin se enumeran los siguientes tipos de medios:
1. Lneas de distribucin de energa elctrica (Corrientes portadoras)
Si bien no es el medio ms adecuado para la transmisin de datos, si es una alternativa a
tener en cuenta para las comunicaciones domsticas dado el bajo costo que implica su uso,
dado que se trata de una instalacin existente por lo que es nulo el costo de la instalacin.
Para aquellos casos en los que las necesidades del sistema no impongan requerimientos
muy exigentes en cuanto a la velocidad de transmisin, la lnea de distribucin de energa
elctrica puede ser suficiente como soporte de dicha transmisin.
2. Soportes metlicos
La infraestructura de las redes de comunicacin actuales, tanto pblicas como privadas, tiene
en un porcentaje muy elevado, cables metlicos de cobre como soporte de transmisin de
las seales elctricas que procesa.
12

Automatizacin de un hogar mediante el protocolo X-10


El protocolo X10

En general se pueden distinguir dos tipos de cables metlicos:


a. Par metlico
Los cables formados por varios conductores de cobre pueden dar soporte a un amplio rango
de aplicaciones en el entorno domestico. Este tipo de cables pueden transportar voz, datos y
alimentacin de corriente continua. Los denominados cables de pares estn formados por
cualquier combinacin de los tipos de conductores que a continuacin se detallan:
1.- Cables formados por un solo conductor con un aislamiento exterior plstico, como los
utilizados para la transmisin de las seales telefnicas.
2.- Par de cables, cada uno de los cables esta formado por un arrollamiento helicoidal de
varios hilos de cobre. (Por ejemplo, los utilizados para la distribucin de seales de audio).
3.- Par apantallado, formado por dos hilos recubiertos por un trenzado conductor en forma de
malla cuya misin consiste en aislar las seales que circulan por los cables de las
interferencias electromagnticas exteriores. (Por ejemplo, los utilizados para la distribucin
de sonido alta fidelidad o datos).
4.- Par trenzado, esta formado por dos hilos de cobre recubiertos cada uno por un trenzado
en forma de malla. El trenzado es un medio para hacer frente a las interferencias
electromagnticas. (Por ejemplo, los utilizados para interconexin de ordenadores).
b. Coaxial
Un par coaxial es un circuito fsico asimtrico, constituido por un conductor que ocupa el eje
longitudinal del otro conductor en forma de tubo, mantenindose la separacin entre ambos
mediante un dielctrico apropiado.
Este tipo de cables permite el transporte de las seales de video y seales de datos a alta
velocidad. Dentro del mbito de la vivienda, el cable coaxial puede ser utilizado como soporte
de transmisin para:
- Seales de teledifusin que provienen de las antenas
- Seales procedentes de las redes de TV por cable
- Seales de control y datos a media y baja velocidad
c. Fibra ptica
La fibra ptica es el resultado de combinar dos disciplinas no relacionadas, como son la
tecnologa de semiconductores (que proporciona los materiales necesarios para las fuentes y
los detectores de luz), y la tecnologa de guiado de ondas pticas (que proporciona el medio
de transmisin, el cable de fibra ptica).
La fibra ptica esta constituida por un material dielctrico transparente, conductor de luz,
compuesto por un ncleo con un ndice de refraccin menor que el del revestimiento, que
envuelve a dicho ncleo. Estos dos elementos forman una gua para que la luz se desplace
por la fibra. La luz transportada es generalmente infrarroja, y por lo tanto no es visible por el
ojo humano.
A continuacin se detallan sus ventajas e inconvenientes:


Fiabilidad en la transferencia de datos.


13

Automatizacin de un hogar mediante el protocolo X-10


El protocolo X10







Inmunidad frente a interferencias electromagnticas y de radiofrecuencias.


Alta seguridad en la transmisin de datos.
Distancia entre los puntos de la instalacin limitada, en el entorno domstico estos
problemas no existen.
Elevado costo de los cables y las conexiones.
Transferencia de gran cantidad de datos.

d. Conexin sin hilos


a) Infrarrojos
El uso de mandos a distancia basados en transmisin por infrarrojos esta ampliamente
extendido en el mercado residencial para controlar equipos de audio y vdeo.
La comunicacin se realiza entre un diodo emisor que emite una luz en la banda de
infrarrojos, sobre la que se superpone una seal, convenientemente modulada con la
informacin de control, y un fotodiodo receptor cuya misin consiste en extraer de la seal
recibida la informacin de control.
Los controladores de equipos domsticos basados en la transmisin de ondas en la banda
de los infrarrojos presentan gran comodidad y flexibilidad y admiten un gran nmero de
aplicaciones.
Al tratarse de un medio de transmisin ptico es inmune a las radiaciones electromagnticas
producidas por los equipos domsticos o por los dems medios de transmisin (coaxial,
cables pares, red de distribucin de energa elctrica, etc.). Sin embargo, hay que tomar
precauciones en el caso de las interferencias electromagnticas que pueden afectar a los
extremos del medio.
b) Radiofrecuencias
La introduccin de las radiofrecuencias como soporte de transmisin en la vivienda ha venido
precedida por la proliferacin de los telfonos inalmbricos y controles remotos.
Este medio de transmisin puede parecer, en principio, idneo para el control a distancia de
los sistemas domticos, dada la gran flexibilidad que supone su uso. Sin embargo, resulta
particularmente sensible a las perturbaciones electromagnticas producidas, tanto por los
medios de transmisin, como por los equipos domsticos.
Las ventajas e inconvenientes de los sistemas basados en transmisin por radiofrecuencias
son:
- Alta sensibilidad a las interferencias.
- Fcil interceptacin de las comunicaciones.
- Dificultad para la integracin de las funciones de control y comunicacin, en su modalidad
de transmisin analgica.

14

Automatizacin de un hogar mediante el protocolo X-10


El protocolo X10

Protocolo de comunicaciones
Una vez establecido el soporte fsico y la velocidad de comunicaciones, un sistema domtico
se caracteriza por el protocolo de comunicaciones que utiliza, que no es otra cosa que el
idioma o formato de los mensajes que los diferentes elementos de control del sistema deben
utilizar para entenderse unos con otros y que puedan intercambiar su informacin de una
manera coherente. Dentro de los protocolos existentes, se puede realizar una primera
clasificacin atendiendo a su estandarizacin:
* Protocolos estndar. Los protocolos estndar son los utilizados ampliamente por
diferentes empresas y stas fabrican productos que son compatibles entre s, como son el
X10, el EHS, el EIB y el BatiBus
* Protocolos propietarios. Son aquellos que, desarrollados por una empresa, solo son
capaces de comunicarse entre s

Preinstalacin domtica
La preinstalacin domtica es la posibilidad de dejar preparada una vivienda para que se le
pueda instalar el sistema domtico en el momento en que el usuario lo demande. Para que
un sistema pueda ofrecer una verdadera preinstalacin domtica en una vivienda, ha de ser
compatible con la instalacin elctrica actual, de tal manera que el usuario pueda elegir la
preinstalacin domtica y la instalacin elctrica convencional y con posterioridad, realizar
cualquier tipo de automatizacin de su vivienda.9

Jos Manuel Huidobro, Edificios Inteligentes y Domtica, leda el 10/sep/2005,


<http://www.monografias.com/trabajos14/domotica/domotica.shtml>

15

Automatizacin de un hogar mediante el protocolo X-10


El protocolo X10

2.2 El Protocolo X-10


2.2.1 La teora de transmisin del protocolo X-10
El protocolo X-10 se comunica entre transmisores y receptores mediante el envo y recepcin
de seales sobre el cableado de alimentacin elctrica de un hogar.
Estas transmisiones estn sincronizadas al punto de cruce por cero de la lnea de corriente
alterna. El objetivo es transmitir lo ms cerca posible del punto de cruce por cero en un
intervalo de 300 microsegundos. Se decidi sincronizar el envo de informacin cuando
ocurre el cruce por cero porque en ese instante el ruido en la lnea es menor y es ms fcil
amplificar la seal.
En X-10 se usan trenes de pulsos de 120kHz sincronizados con el cruce por cero de la lnea.
Estos trenes de pulsos tienen una duracin de 1ms. Cuando se quiere transmitir un '1'
binario, se transmite el tren de 120kHz con una duracin de 1ms; cuando se transmite un '0'
binario, simplemente no se transmite nada.
Cada medio ciclo de onda de la seal de corriente alterna es capaz de transportar un bit de
informacin.

Nota 1: Estos trenes de pulsos de 120kHz estn sincronizados para coincidir con el cruce por
cero de otras fases, cuando stas son implementadas.

Figura 2.1. Tiempos de sincronizacin de X10

Un mensaje completo de X-10 est compuesto por un cdigo de inicio (1110), seguido por un
cdigo de casa y un cdigo llave, dependiendo si el mensaje es una direccin o un comando.

Automatizacin de un hogar mediante el protocolo X-10


El protocolo X10

Las tablas 2.1 y 2.2 muestran las direcciones y cdigos empleados en este protocolo.
Tabla 2.1. Cdigos de casa
Direccin
Casa
A
B
C
D
E
F
G
H
I
J
K
L
M
N
O
P

Cdigos de Casa
H1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

H2
1
1
0
0
0
0
1
1
1
1
0
0
0
0
1
1

H4
1
1
1
1
0
0
0
0
1
1
1
1
0
0
0
0

H8
0
0
0
0
1
1
1
1
1
1
1
1
0
0
0
0

A cada unidad que exista en la casa (sensor, dimmer, persiana, etc.) se le asigna un cdigo
de casa y un cdigo llave. Esta unidad slo responder a la unidad central cuando sus
cdigos casa y llave coincidan con los de la peticin. Si hay alguna unidad que tenga los
mismos cdigos que otra, ambas respondern al llamado.
Se tienen 16 posibles cdigos de casa y 16 posibles cdigos llave para una unidad, con
estas combinaciones se pueden controlar 256 unidades en total en una instalacin elctrica.
Cada ciclo de corriente alterna tiene un lado positivo y un lado negativo. Cada uno de estos
lados es capaz de transportar un bit. El cdigo de inicio '1110' se transmite dentro de 2 ciclos
de onda, es decir, 4 semiciclos, cada uno conteniendo un bit.

17

Automatizacin de un hogar mediante el protocolo X-10


El protocolo X10

Tabla 2.2. Cdigos llave

Cdigos de funcin

Direccin de unidad
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
Apaga toda unidad
Enciende toda unidad
ON
OFF
Reduce iluminacin
Aumenta iluminacin
Apaga toda luz
Cdigo extendido
Peticin de respuesta
Notificacin de respuesta
Iluminacin predet.
Cdigo ext. analgico
Estado = ON
Estado = OFF
Peticin de estado

Cdigos Llave
D1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1

D2
1
1
0
0
0
0
1
1
1
1
0
0
0
0
1
1
0
0
0
0
1
1
1
1
0
0
0
1
1
1
1

D4
1
1
1
1
0
0
0
0
1
1
1
1
0
0
0
0
0
0
1
1
0
0
1
1
0
0
1
0
0
1
1

D8
0
0
0
0
1
1
1
1
1
1
1
1
0
0
0
0
0
1
0
1
0
1
0
1
0
1
X
0
1
0
1

D16
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1

Los cdigos de las tablas 1 y 2 se transmiten de forma diferente. Para transmitir un bit es
necesario que haya 2 cruces por cero, es decir, un ciclo de onda. Primero se transmite el bit
en el lado positivo de la onda, y en el lado negativo se transmite el bit complemento.
Un bloque completo de datos consiste en el cdigo de inicio, el cdigo de casa, el cdigo
llave y el sufijo. Cada bloque de datos es enviado dos veces, con 3 ciclos de onda entre cada
par de bloques de datos.
Por ejemplo, para encender un mdulo X-10 asignado a casa A, unidad 2, el siguiente tren
de datos debe ser mandado sobre la lnea elctrica, un bit enviado por cada cruce por cero.

18

Automatizacin de un hogar mediante el protocolo X-10


El protocolo X10

Primero se manda la direccin dos veces (figura 2):


1110
Inicio

01101001
Casa A

10101001
Unidad 2

01
Sufijo

1110
Inicio

01101001
Casa A

10101001
Unidad 2

01
Sufijo

Despus se esperan 3 ciclos de onda:


000000
Entonces se manda el comando dos veces:
1110
Inicio

01101001
Casa A

01011001
ON

10
Sufijo

1110
Inicio

01101001
Casa A

01011001
ON

10
Sufijo

Por ltimo, se esperan 3 ciclos de onda antes de mandar otro bloque:


000000
Hay excepciones en este mtodo, por ejemplo, los cdigos de reducir o aumentar iluminacin
no requieren la espera de 3 ciclos de onda entre comandos del mismo tipo; simplemente se
envan consecutivamente.

Figura 2.2. Envo de direccin a Unidad 2 Casa A

19

CAPTULO 3
ANLISIS Y CLCULOS

Automatizacin de un hogar mediante el protocolo X-10


Los microcontroladores PIC

3.1 Los microcontroladores PIC


Los PIC16F son una familia de microcontroladores de la gama media, que se identifica por
tener como memoria de programa una de tipo FLASH y una serie de recursos que a
continuacin se explicarn. Entre ellos se encuentran los siguientes:

Procesador de arquitectura RISC avanzada


Juego de 35 instrucciones a nivel ensamblador
Frecuencia mxima de trabajo de 20MHz
Memoria tipo FLASH
Voltaje de alimentacin comprendido entre 2 y 5.5V

Algunos de sus dispositivos perifricos son los siguientes.

Temporizadores para control de tiempo y otros perifricos


Mdulo de Captura-Comparacin-PWM
Convertidor Analgico Digital

3.1.1 La arquitectura RISC Harvard


Esta arquitectura implementada por Microchip en sus microcontroladores se caracteriza por
la independencia entre la memoria de cdigo y la de datos. As, tanto como la capacidad
como el tamao de los buses de cada memoria se adaptan estrictamente a las necesidades
del diseo, facilitando el trabajo en paralelo de las dos memorias, lo que permite tener un
buen rendimiento. La filosofa RISC10 se caracteriza por el reducido nmero de instrucciones
que forman su repertorio. Los PIC cuentan con slo 35 instrucciones, que se ejecutan en un
ciclo de instruccin, equivalente a cuatro periodos de reloj, a excepcin de instrucciones de
salto.
3.1.2 Frecuencias de trabajo
Los PIC pueden ser operados en cuatro diferentes modos de oscilacin.

LP. Cristal de baja potencia (hasta 4MHz)


XT. Cristal (hasta 4MHz)
HS. Cristal de alta velocidad (de 4MHz hasta 20MHz)
RC. Resistencia-Capacitor

En este caso, se eligi la opcin de cristal de alta velocidad para correr al PIC a 20MHz. Esta
velocidad es requerida para poder generar una frecuencia de 120kHz como se ver
posteriormente en este trabajo.
10

Del ingls, Reduced Instruction Set Computer, computadora con juego de instrucciones reducido.

21

Automatizacin de un hogar mediante el protocolo X-10


Los microcontroladores PIC

3.1.3 Memoria de programa FLASH


La memoria FLASH es un tipo de memoria EEPROM que permite que varias localidades de
memoria sean programadas y ledas al mismo tiempo. Es un tipo de circuito integrado que a
diferencia de las memorias RAM, retiene la informacin sin necesidad de estar siendo
alimentado con energa.
En esta memoria se carga el programa que el PIC ha de ejecutar. Es muy conveniente tener
este tipo de memoria ya que su programacin y lectura requiere de tiempos cortos y tiene la
facilidad de ser reprogramable elctricamente.
3.1.4 Los temporizadores
Los PIC disponen de un potente conjunto de temporizadores para manejar eficientemente
todas las operaciones que involucran al tiempo. Dichos temporizadores se denominan TMR.
Son capaces de funcionar como temporizadores, contadores sncronos, contadores
asncronos, etc.
3.1.5 Mdulo de Captura-Comparacin-PWM
Los PIC disponen de mdulos CCP que pueden realizar tres funciones principales:
1. Modo captura. Una pareja de registros de un mdulo CCP captura el valor que tiene el
TMR1 cuando ocurre un evento especial en la terminal CCP correspondiente.
2. Modo comparacin. Se compara el valor de 16 bits del TMR1 con otro valor cargado
en una pareja de registros de un mdulo CCP y cuando coinciden se produce un
evento en la terminal CCP.
3. Modo de anchura de pulsos (PWM11). Dentro del intervalo del periodo de un impulso
controla la anchura en que la seal vale nivel alto.
En el modo PWM, la terminal CCP del PIC est configurada como salida y oscila entre los
niveles lgicos 0 y 1 a intervalos variables de tiempo. Lo que se intenta es obtener un
impulso cuyo nivel alto tenga una anchura variable (tiempo de trabajo) dentro del intervalo del
periodo de trabajo.
Para lograr la oscilacin de la terminal CCP del PIC se integr un comparador que pone a
uno lgico un flip-flop cuando el valor del registro PR2 coincide con la parte alta del TMR2,
momento en que el TMR2 toma el valor 00 hexadecimal. Luego el flip-flop se pone a cero
cuando otro comparador detecta la coincidencia del valor existente en CCPR1H con el de la
parte alta del TMR2. De esta manera, variando los valores que se cargan en PR2 y en
CCPR1L se vara el intervalo de tiempo en el que la terminal de salida est en alto o bajo.

11

Del ingls, Pulse Width Modulation (PWM).

22

Automatizacin de un hogar mediante el protocolo X-10


Los microcontroladores PIC

Periodo PWM

Tiempo de
trabajo

Figura 3.1. PWM

El modo que se va a utilizar en este proyecto es el PWM para que se genere la seal de
120kHz que requiere el protocolo X-10.
3.1.6 El convertidor analgico a digital
Los PIC poseen un convertidor analgico a digital con una resolucin que vara de acuerdo al
PIC elegido, puede ser de 8 bits 10 bits.
La resolucin que tiene cada bit procedente de la conversin est dada respecto al valor de
alimentacin tierra del circuito. Esta resolucin se determina con la siguiente frmula.
Resolucin =

Vref + Vref
No.bits

Si el Vref+=5VCD y el Vref- es tierra, considerando un PIC con 10 bits de resolucin, la


resolucin ser de:
50
5
=
10
1024
2
Resolucin = 4.8mV / bit
Resolucin =

Por lo tanto, a la entrada analgica de 0V le corresponde una lectura digital de 00 0000 0000
y para la de 5V le corresponde una de 11 1111 1111. La tensin de referencia determina los
lmites mximo y mnimo de la tensin analgica que se puede convertir; en otros casos, esto
se puede cambiar mediante otras terminales de referencia. El voltaje mnimo diferencial es
de 2V.
El voltaje de referencia puede implementarse con el voltaje interno de alimentacin Vdd o
bien, con uno externo que se introduce por la terminal Vref+, en cuyo caso la polaridad
negativa se aplica por la terminal Vref-, este polaridad nunca debe ser menor a -0.6V.

23

Automatizacin de un hogar mediante el protocolo X-10


La automatizacin con PICs

3.2 La automatizacin con PICs


Los microcontroladores PIC y el protocolo X-10 pueden ser fcilmente usados en la
automatizacin de un hogar. El microcontrolador que se va a usar debe ser elegido de
acuerdo a su capacidad de memoria de acceso aleatorio (RAM), memoria de programa
(ROM), frecuencia de operacin, perifricos y costos de la aplicacin. La familia de los PIC
fue elegida por su versatilidad como microcontroladores multipropsito, memoria FLASH y
mltiples lneas de entrada salida. El protocolo se utilizar para intercomunicar la mayora de
los dispositivos que trabajarn en la automatizacin de la vivienda.
En este apartado se comenta como es que se ha implementado el protocolo X-10 en un
microcontrolador PIC para crear una unidad que sea capaz de enviar y transmitir cdigos X10.
3.2.1 Descripcin del hardware
Para lograr la transmisin de cdigos con X-10 se va a requerir que el PIC realice cuatro
actividades bsicas:

Detector de cruce por cero


Detector de seal de 120kHz
Generador de seal de 120kHz
Fuente de 5V sin transformador

Funciones X-10
Detector de cruce por cero

Detector de seal de 120kHz

Generador de seal de 120kHz

Aplicaciones especficas
Iluminacin
Sensor de presencia
Sensor de humo
Sensor de gas
Control del garage
Control de cerraduras
Riego
Temperatura
Proteccin de ventanas

Fuente sin transformador

Figura 3.2. Diagrama de bloques de la aplicacin

24

Automatizacin de un hogar mediante el protocolo X-10


La automatizacin con PICs

3.2.2 Detector de cruce por cero


En el protocolo X-10, la informacin que se enva es sincronizada con los cruces por cero de
la lnea de corriente alterna. Un detector de cruce por cero puede ser fcilmente creado
utilizando la interrupcin externa que incluyen los PIC.
Esta interrupcin externa provoca que el PIC suspenda cualquier programa que est llevando
a cabo y atienda el cambio que existi en su terminal RB0. Esta deteccin puede lograrse
con la presencia de flanco izquierdo o flanco derecho12 en la seal de entrada.
La lnea de corriente alterna (C.A.) trabaja a 127V, si se conectara ese voltaje a una terminal
del PIC sta se daara y provocara algn accidente. Es necesario limitar la corriente que la
terminal reciba, para la cual se usar una resistencia en serie (ver figura 3.3).
En Mxico, el voltaje nominal es de 127V, y el voltaje pico es de 180V. Si se elige una
resistencia de 1.2M, la corriente que se obtendr es de:
Ipico =

180V
= 150uA
1.2 M

La corriente mxima que un PIC puede soportar cuando est recibiendo sobrevoltaje en
alguna de sus terminales es de 500A. En este caso, 150A son aceptables.

Figura 3.3. Detector de cruce por cero

El PIC contiene diodos de proteccin a la entrada de sus terminales entrada / salida (E/S),
los cules detienen cualquier voltaje mayor al voltaje de alimentacin del PIC y menor a la
tierra de alimentacin (ver figura 3.4). Cuando el voltaje de C.A. est en su media onda
positiva, la terminal RB0 del PIC lo interpretar como un "uno" lgico; cuando el voltaje de
C.A. est en su media onda negativa, la terminal RB0 del PIC lo interpretar como un "cero"
lgico.
En cada interrupcin, el PIC debe conocer cuando debe interrumpirse por un flanco izquierdo
o un flanco derecho. De esta forma se detectar el cruce por cero de la media onda positiva
a la negativa y viceversa.

12

El flanco izquierdo es un cambio de estado de cero lgico a uno lgico. El flanco derecho es un cambio de estado de uno
lgico a cero lgico.

25

Automatizacin de un hogar mediante el protocolo X-10


La automatizacin con PICs

Figura 3.4. Diodos de proteccin de un PIC

3.2.3 Detector de seal de 120kHz


Cuando se enva un "uno" lgico en el cruce por cero de la C.A., se enva una seal de
120kHz con una duracin de 1ms. Esta seal generalmente llega a ser del orden de los
miliVolts. Es necesario filtrarla de la seal de 60Hz y amplificarla para que el PIC sea capaz
de procesarla.
Se debe construir un filtro pasa altas, un amplificador sintonizado y un detector de frecuencia.
Estos componentes se pueden observar en la figura 3.5.

Figura 3.5. Detector de seal de 120kHz

La impedancia de un capacitor se determina con la siguiente frmula.


Zc =

1
2fC

Un capacitor de 0.1F presenta una baja impedancia para la frecuencia de la seal de


120kHz y una alta impedancia para la de 60Hz.
Sustituyendo los valores de frecuencia en la frmula de la impedancia se obtiene lo siguiente:

26

Automatizacin de un hogar mediante el protocolo X-10


La automatizacin con PICs

f = 120kHz
1
= 13.26
( 2 )( )(120kHz)( 0.1F)
f = 60 Hz

Zc =

Zc =

1
= 26.5k
( 2 )( )(60 Hz)( 0.1F)

Este filtro permite que la seal de 120kHz pase y que la seal de 60Hz sea atenuada. Dado
que la seal de 120kHz tiene un voltaje mucho menor que la de 60Hz, es necesario
desaparecer por completo la seal de 60Hz mediante un filtro resistencia-capacitor. Este filtro
se muestra en las pginas del apndice de este trabajo.
Despus de que la seal de 60Hz ha desaparecido se debe amplificar la seal de 120kHz, ya
que llega con un voltaje muy pequeo. En este caso, se utilizan 2 inversores configurados
como amplificadores de alta ganancia. En las primeras etapas se configuran como
amplificadores sintonizados a 120kHz y posteriormente como amplificadores nicamente. La
seal amplificada de 120kHz se pasa por el detector de frecuencia, el cul convierte el tren
de pulsos de 1ms a 120kHz en una seal de 1ms en estado lgico "uno", suficientemente
filtrada para que el PIC la pueda recibir en alguno de sus pines E/S.
En cada cruce por cero, el PIC simplemente lee el estado de la terminal que recibe la seal
de 1ms.

3.2.4 Generador de la seal de 120kHz


Es posible generar la seal de 120kHz con un circuito externo al PIC. Una terminal del PIC
sera la que habilitara o deshabilitara la generacin de 120kHz. Pero usando uno de los
mdulos de captura-comparacin del PIC es posible generar esta seal, configurndolo
como modulacin de anchura de pulsos (PWM).
Este mdulo se configura como PWM para que funcione a 120kHz con un tiempo de trabajo
al 50%, es decir, que la seal sea 50% estado alto y 50% estado bajo. Es importante que la
frecuencia que genere el PIC est dentro del rango de 2kHz que se establece en el
protocolo X-10.
Para generar esta frecuencia y tiempo de trabajo se tienen las siguientes frmulas.
f PWM = 120kHz
TPWM = 1 / 120kHz = 8.333us

Se observa que el periodo de PWM es de 8.333s, por lo tanto el tiempo de trabajo deber
durar el 50% de ese periodo, es decir, 4.166s (tD). Esto se puede observar en la figura 3.6.

27

Automatizacin de un hogar mediante el protocolo X-10


La automatizacin con PICs

tPWM=8.333us

tD=4.166us

Figura 3.6. Tiempos para la seal de 120kHz

Se tiene la siguiente frmula para obtener el periodo de PWM que indica la hoja de
caractersticas de los PIC.
TPWM = ( PR 2 + 1)(4)(Tosc ) PRESCALERTMR 2

En este caso, PR2 es un registro de memoria del PIC, Tosc es el periodo de oscilacin del
cristal con el que se corra el PIC y el PRESCALER es un predivisor de frecuencia del
temporizador interno del PIC.
TPWM = 8.333us
1
= .05us
20 MHz
PRESCALERTMR 2 = 1

Tosc =

Despejando
PR 2 =

TPWM
8.333us
=
= 41.6
4(Tosc )( PRESCALERTMR 2 ) 4(0.05us )(1)

Se redondea 41.6 a 41 y se sustituye en la frmula para obtener el periodo nuevo resultante.


TPWM = (41 + 1)(4)(0.05us )(1) = 8.4us
f PWM =

1
= 119.047 kHz
8.4us

La frecuencia obtenida est dentro del intervalo de 120kHz 2kHz que el protocolo X-10
requiere. Ahora se calcular el tiempo de trabajo de la seal (tiempo alto).

28

Automatizacin de un hogar mediante el protocolo X-10


La automatizacin con PICs

t D = (CCPR )(Tosc )( PRESCALERTMR 2 )


t D = 4.166us
Despejando
CCPR =

tD
Tosc ( PRESCALERTMR 2 )

CCPR =

4.166us
= 83.32
(0.05us )(1)

Se redondea el 83.32 obtenido a 83 por ser un nmero a ser expresado en binario y se


sustituye en la frmula.

t D = (83)(0.05us )(1) = 4.15us


Este ltimo dato es muy aproximado a los 4.166s requeridos para el 50% del tiempo PWM.
Por ltimo se deber verificar que el PIC sea capaz de generar tal tiempo de trabajo
mediante la frmula de la resolucin.

f osc

log
( f PWM )( PS TMR 2 )

Resolucin =
log 2
20 MHz

log
(120kHz )(1)

Resolucin =
= 7.39bits
log 2
El dato obtenido se redondea a 7 dado que es un nmero binario. Es posible determinar el
tiempo de trabajo de PWM mediante una palabra de 7 bits.
El dato 83 est en cdigo decimal, su equivalente en cdigo hexadecimal es 0x53 y su
equivalente en cdigo binario es 1010011. Se observa que el nmero es de 7 bits, por lo que
el PWM que se va a generar en el PIC es apropiado.
Esta salida de 120kHz ser habilitada cada que sea necesario hacer una transmisin de
informacin por el cruce por cero y durar un milisegundo.
La terminal por donde saldr esta seal depender del PIC que se est usando. A esta salida
se conectar un transistor que simplemente acoplar la seal a la C.A. gracias al filtro pasa
altas de 0.1F.
Esta seal durar 1ms a partir del cruce por cero. Si existe la seal, el detector la interpretar
como uno lgico, si no existe, ser interpretada como cero lgico.

29

Automatizacin de un hogar mediante el protocolo X-10


La automatizacin con PICs

Figura 3.7. Generador de seal de 120kHz

3.2.5 Fuente de 5V sin transformador


En la mayora de las aplicaciones que utilizan fuentes de corriente directa y que son
alimentadas por la toma de energa de corriente alterna, se utilizan transformadores para
reducir el voltaje de alimentacin, y puentes de diodos para rectificar la onda senoidal y
obtener un voltaje casi directo con la ayuda de capacitores funcionando como filtros.
En las aplicaciones que se presentan en este trabajo es necesario reducir costos de
elementos, ya que se necesitar una fuente de corriente directa para cada unidad a utilizar.
No es factible montar transformadores en cada unidad, ya que son voluminosos y caros.
Se decidi utilizar una fuente sin transformador. Esta fuente utiliza diodos zener como
reguladores de voltaje y capacitores de polister.
El diagrama de la fuente es como se muestra en la figura 3.8.

Figura 3.8. Fuente de 5V sin transformador

Cuando un capacitor y una carga estn conectados en serie a la alimentacin de corriente


alterna (C.A.), una corriente constante se puede mantener a travs de la carga, siempre y
cuando la impedancia de los capacitores sea mayor a la resistencia de la carga.
En la figura 3.8 se muestran dos capacitores que sern los encargados de recibir el voltaje
sobrante de la regulacin que da el diodo zener de 5.1V. En ellos habr un voltaje de
120Vrms aproximadamente, considerando el voltaje de entrada como 127VCA.
30

Automatizacin de un hogar mediante el protocolo X-10


La automatizacin con PICs

Estos capacitores debern seleccionarse a 250V ya que trabajarn directamente con la lnea
de C.A. Es importante que sean de polister para su buen funcionamiento.
La corriente de entrada que hay en la fuente se puede determinar obteniendo el voltaje RMS
de una media onda senoidal.
V HFRMS =

V PICO VZ V D
=
2

2 (127V ) 5.1V 0.7V


= 86.9V
2

Posteriormente se obtiene la impedancia de los capacitores y la resistencia total.


XC =

1
1
=
= 602.85
2fC 2( )( 60 Hz)( 2.2uF + 2.2uF)

La corriente de entrada es:

Ient =

VHFRMS
86.9V
=
= 133.7 mA
X C + R 602.85 + 47

De esta forma se puede alimentar una carga de 100mA aproximadamente, lo cual es


apropiado para los circuitos elaborados en este trabajo.
La fuente tambin cuenta con un termistor conectado a Neutro, el cual funciona como fusible
ante cortos. El termistor es una resistencia que vara su valor dependiendo de la corriente
que pase a travs de ella. Si se produce un corto circuito, la resistencia limitar la corriente
para evitar accidentes.

31

Automatizacin de un hogar mediante el protocolo X-10


Sensores a emplear

3.3 Sensores a emplear


En el presente trabajo se realizar la automatizacin de un hogar mediante varios sensores y
actuadores conectados a lo largo de toda la lnea C.A. El microcontrolador maestro y la
computadora a la que se conecte, estarn a cargo de indagar el estado de los sensores
instalados en el hogar. De esta forma se conocer el estado de una habitacin y se tomarn
acciones para modificarlo.
Estos sensores reportarn su estado al PIC mediante seales digitales (salidas tipo TTL) o
mediante voltajes analgicos, los cuales podrn ser convertidos a informacin digital por el
PIC al que estn conectadas esas seales y posteriormente el PIC las enviar mediante el
bus X10.
Los sensores que se emplearn en la automatizacin sern los siguientes:

Sensor de iluminacin
Sensor de humo
Sensor de presencia
Sensor de temperatura
Sensor de humedad
Sensor de gas

El PIC pedir a la unidad a la que el sensor est conectado que enve su estado. Habr
sensores que no muy frecuentemente reporten su estado, como el de temperatura o de
humedad, ya que el cambio de estas variables es tardo. Pero sensores como el de humo o
gas sern revisados frecuentemente.

3.3.1 Sensor de iluminacin


El sensor de iluminacin que se usar es una fotorresistencia. Las fotorresistencias o LDR13
son elementos que varan su resistencia dependiendo de la luz que reciban en su superficie,
la cual est hecha con semiconductores. Si la luz recibida tiene una incidencia suficiente, los
fotones recibidos por el semiconductor generan una liberacin de electrones. Esta liberacin
de electrones facilita el flujo de corriente y hace variar el valor de la resistencia.
Mediante la experimentacin se ha obtenido el valor en Ohms de la fotorresistencia en dos
situaciones:

13

Oscuridad, valor promedio 1M


Mucha iluminacin, valor promedio 4k

Del ingls, Light Dependent Resistor, resistencia que depende de la luz.

32

Automatizacin de un hogar mediante el protocolo X-10


Sensores a emplear

Es importante notar que el valor de resistencia vara dependiendo de la fotorresistencia a


elegir. En nuestro caso se conecta la fotorresistencia como se ve en la siguiente figura.

Figura 3.9. Sensor de iluminacin

Mediante experimentacin se observ tambin que la iluminacin ideal de luz solar para una
habitacin comn generaba una resistencia de 5k aproximadamente en la fotorresistencia.
El voltaje que se maneja en la fuente ser de 4.8V, ya que usando la fuente de 5V capacitiva
se obtiene un voltaje de 5.3V. El voltaje de 4.8V se obtiene simplemente conectando un
diodo en serie a la salida de 5.3V y el voltaje bajar. El voltaje del circuito ser ledo por una
terminal ADC14 del PIC. Este convertir ese voltaje a un valor binario, el cual ser comparado
con un voltaje de referencia, el cual es un voltaje generado por la fotorresistencia de 5k y la
resistencia de 820.
Si el voltaje medido es menor que el de referencia, quiere decir que la iluminacin disminuy.
Se activarn las luces de la habitacin a peticin del usuario o de forma automtica. Si el
voltaje medido es mayor que el de referencia, quiere decir que la iluminacin aument y no
habr cambios en el encendido de luces.
Los clculos para esta fotorresistencia son como se ve a continuacin. Se calcula el voltaje
de referencia, con este voltaje se comparar la iluminacin medida con la deseada.
Vdd = 4.8V
LDR = 5k
R = 820
R T = 820 + 5000 = 5.82k
IT =

Vdd
4.8V
=
= 824uA
RT
5.82k

V LDR = 824uA(5k) = 4.12V


V R = 824uA(820) = 0.68V
14

Del ingls, Analogic Digital Converter, convertidor analgico digital.

33

Automatizacin de un hogar mediante el protocolo X-10


Sensores a emplear

Se observa que el voltaje que la terminal del PIC recibir es el de la resistencia de 820, por
lo tanto el voltaje de referencia ser de 0.68V.

3.3.2 Sensor de humo


El sensor de humo se utilizar para la deteccin de algn incendio en las habitaciones. Su
funcionamiento se basa en la deteccin de partculas en el aire, las cuales reflejarn la luz
que un LED15 de alta luminiscencia emite. Si esa luz llega a una fotorresistencia colocada a
una distancia corta, se dispara un amplificador operacional configurado como comparador y
habr una salida de 5V. En caso contrario habr 0V.
Se debe ajustar la resistencia de 10k para evitar activaciones errneas; es posible que el
circuito active su salida sin haber deteccin de luz en la fotorresistencia. A continuacin se
muestran el armado del sensor y su circuito.

Figura 3.10. Ensamble del sensor de humo

Figura 3.11. Circuito electrnico del sensor de humo

15

Del ingls, Light Emisor Diode, diodo emisor de luz.

34

Automatizacin de un hogar mediante el protocolo X-10


Sensores a emplear

3.3.3 Sensor de presencia


El sensor de presencia se utilizar para detectar la existencia de personas en una habitacin.
Cuando pase gente por una entrada, ya sea una puerta o pasillo, el sensor notificar
mediante una salida de 5V esa presencia.
Se realizar mediante emisores y receptores de luz infrarroja. Se ubicarn en puntos
especficos de las habitaciones por donde los usuarios necesariamente deban pasar para ser
detectados.
La luz infrarroja ser enviada en pulsos de frecuencia de 1kHz aproximadamente para evitar
que otras fuentes de luz (solar o de controles remotos infrarrojos) intervengan en la deteccin
de presencia. Estos trenes de pulsos rebotarn sobre los objetos detectados hacia los
receptores. A continuacin se muestra el circuito del sensor de presencia.

Figura 3.12. Sensor de presencia

El circuito integrado LM567 es un generador / decodificador de pulsos. Primero genera una


frecuencia aproximada de 1kHz y la transmite hacia la habitacin por un LED infrarrojo.
Posteriormente la recibe por un optotransistor y compara la frecuencia enviada con la
recibida, si es la misma, desactiva su salida, y por lo tanto, el transistor BC558 (PNP) cierra
el circuito del LED que indica la presencia de un objeto.
Es importante tener una correcta alineacin del emisor y del receptor, ya que si no estn
alineados nunca habr el rebote de la seal.

35

Automatizacin de un hogar mediante el protocolo X-10


Sensores a emplear

3.3.4 Sensor de temperatura


La temperatura es una variable importante en el ambiente de una habitacin. Para registrar la
temperatura de una habitacin de forma aceptable se eligi el circuito integrado LM35.

Figura 3.13. Sensor de temperatura LM35

Este integrado ofrece una salida en voltaje directamente proporcional a la temperatura en


grados centgrados que registra. No es necesario aplicar circuitera externa a este integrado
para adecuar el voltaje que entrega, tampoco requiere calibracin. Puede usarse con una
fuente de una polaridad (positiva) o con fuentes bipolares. Est diseado para operar con un
intervalo de -55C a +150C.
La frmula que determina el voltaje que el LM35 entregar es la siguiente.
V salida =

10mV
(T )
C

Donde T est dado en C. Si se estuviera registrando una temperatura de 25.5C se


entregara el siguiente voltaje.
10mV
(25.5C )
C
= 255mV = 0.255V

V salida =
V salida

En la habitacin se considerar que habr un rango de temperaturas de 0C a 50C. Estas


mediciones pueden ser hechas correctamente por el LM35. En caso de que haya
temperaturas bajo cero, el LM35 tendra que ser alimentado con una fuente negativa de
voltaje para poder proveer un voltaje negativo proporcional a la temperatura. Esta etapa no
se implementar, ya que la existencia de temperaturas menores a cero grados es poco
probable.
El PIC ser el encargado de activar o desactivar los controles de calefaccin o ventilacin de
las habitaciones dependiendo de cual sea la temperatura que el usuario desee tener.

36

Automatizacin de un hogar mediante el protocolo X-10


Sensores a emplear

3.3.5 Sensor de humedad


El sensor de humedad ser utilizado en la automatizacin del riego del hogar. Se
determinar el nivel de humedad que exista en el jardn, y si est por debajo del nivel
recomendado, el PIC conectado al sensor notificar al sistema central que es necesario
activar las vlvulas de riego del jardn por cierto tiempo.
Existen diversos tipos de sensores de humedad, dentro de los cuales existen del tipo bsico,
que son capacitores que varan su capacitancia de acuerdo a la humedad del ambiente, la
cual se mide en porcentajes.
Otros modelos ms avanzados incluyen circuitos integrados que adecuan la capacitancia
entregada por el sensor a frecuencias de salida o a voltajes analgicos. En este trabajo se
utilizar uno que presenta un voltaje anlogo a la salida. Este sensor es el HM1500LF de
Humirel.

Figura 3.14. Sensor de humedad HM1500LF

Este sensor es de tamao pequeo y est protegido contra inmersin en agua. Su voltaje de
alimentacin es de 5V y presenta una salida directamente proporcional a la humedad relativa
medida.
La frmula para obtener el voltaje de salida es la siguiente.
Vsalida = [25.68 HR + 1079]mV

El voltaje de salida para una humedad relativa del 50% se calcula como ejemplo.
Vsalida = [25.68(50) + 1079] = 2.363V

El voltaje mximo que puede entregar el HM1500LF es de 3.6V, cuando mide el 100% de
humedad relativa. En este caso el voltaje de salida est en un rango aceptable para una
terminal ADC del PIC. El PIC convertir ese voltaje y determinar si est por debajo del

37

Automatizacin de un hogar mediante el protocolo X-10


Sensores a emplear

voltaje de referencia. Si esta debajo encender los aspersores por cierto tiempo para
mantener al jardn con una humedad constante.

+5V

HM1500LF

Vsal

RAx
PIC

Tierra

Figura 3.15. Conexin del sensor de humedad

3.3.6 Sensor de gas


En una vivienda siempre se cuenta con instalaciones de gas para la cocina o el
calentamiento del agua. En ocasiones existen fugas de gas accidentales que pueden
terminar en algn accidente importante.
Se van a construir detectores de gas para ser instalados en las habitaciones en las que se
cuente con tubera de gas. Este sensor debe ser capaz de detectar gases como el metano o
el propano y debe ser de bajo precio y larga duracin.
Se eligi el sensor TGS813 de la compaa FIGARO. Las caractersticas de este sensor son:
sensor de uso general con deteccin de una gran variedad de gases, alta sensibilidad al
metano, butano y propano, circuito elctrico de aplicacin simple, uso destinado a fugas de
gas y alarmas, detectores porttiles de gas.

Figura 3.16. Sensor de gas TGS813 de FIGARO

El TGS813 cuenta con una resistencia que vara dependiendo de la concentracin de gas
que haya en su superficie y con una resistencia de calentamiento para favorecer el cambio
en la resistencia de deteccin. Esto se ve en la siguiente figura.

38

Automatizacin de un hogar mediante el protocolo X-10


Sensores a emplear

Figura 3.17. Circuito interno del TGS813

A la terminal Vc se puede conectar hasta 24V. En este caso, se conectarn 5V. La


resistencia RL se elegir de 5k. En VH se debe aplicar un voltaje para calentar la superficie
de deteccin, este voltaje ser de 5V. La resistencia tpica entre 2 y 5 es de 30. A
continuacin se presenta el clculo de potencia requerida por esta resistencia.
VR
5V
=
= 166mA
R 30
PR = V R I R = (5V )(166mA) = 0.833W = 833mW

IR =

Se observa que la corriente que tan slo requiere la resistencia de calentamiento es de


166mA. La fuente capacitiva que alimentara este circuito no es capaz de proveer tal
corriente, por lo tanto en esta unidad se usar un transformador para proveer tal corriente.
Entre las terminales 1-3 y 6-4 se obtendr una resistencia variable, la cual har variar el
voltaje registrado en VRL. La resistencia de deteccin RS variar su valor de 5k hasta 10k
dependiendo de la concentracin de gas. La ecuacin que determina la el valor de RS es la
siguiente.

R S = C 1 R L
V RL

Para determinar el valor de VRL se usa la ecuacin siguiente.

I=

VC
R S + 5k

VC

5k
V RL =
R S + 5k
A continuacin se presentan los valores de VRL cuando RS tiene 5k y 10k.

39

Automatizacin de un hogar mediante el protocolo X-10


Sensores a emplear

Para R S = 5k
5V

V RL =
5k = 2.5V
5k + 5k
Para R S = 10k
5V

V RL =
5k = 1.6V
10k + 5k

Al igual que en el sensor de humedad, ser necesario obtener un voltaje referencia para
compararlo con el voltaje VRL. Ese voltaje de referencia, el cual estar en el rango de 1.6V a
2.5V, se determinar en condiciones de no presencia de gas y se agregar a la
programacin del PIC.

40

Automatizacin de un hogar mediante el protocolo X-10


Dispositivos y mecanismos de control

3.4 Dispositivos y mecanismos de control


Ya que se ha explicado el funcionamiento bsico de los sensores que se colocarn a lo largo
de la vivienda a automatizar, en este apartado se hablar sobre los dispositivos y
mecanismos que modificarn las variables del entorno a automatizar. Los dispositivos que se
tienen en consideracin son los siguientes.

Control de iluminacin
Control de riego
Control de puerta de cochera
Control de persianas
Respaldo de energa va UPS16
Control de temperatura
Control automtico de bombeo de agua
Proteccin de ventanas
Control de acceso por cerradura magntica

Todos ellos irn conectados a los PIC que estn encargados de capturar las variables
respectivas. El respaldo de energa UPS, el control de la puerta de cochera y el bombeo de
agua no tendrn conexin al PIC.
3.4.1 Control de iluminacin
Comnmente en los hogares se usan focos incandescentes para iluminar las habitaciones. El
nivel de iluminacin que estos focos entregan depende de la cantidad de voltaje que se les
proporcione; generalmente funcionan con 127VCA. En caso de que ese voltaje disminuyera,
su iluminacin disminuira igualmente.
Existe otra forma de controlar el nivel de iluminacin que estos proporcionan, esto es
mediante el corte de alimentacin del foco por determinado tiempo. La onda senoidal que
alimenta a un foco es como se ve en la siguiente figura. Esta tiene una frecuencia de 60Hz y
un voltaje de 127VCA.
Voltaje

Tiempo

Figura 3.18. Onda senoidal a 60Hz y 127VCA


16

Del ingls, Uninterrumped Power System, sistema de energa ininterrumpida.

41

Automatizacin de un hogar mediante el protocolo X-10


Dispositivos y mecanismos de control

Para lograr el corte de alimentacin intermitente se utiliza un triac. Los triacs son dispositivos
que funcionan como interruptores de estado slido. Estos cuentan con tres terminales: la
compuerta G, MT1 y MT2. La ventaja de este dispositivo es que es capaz de conducir
corriente en ambos sentidos, siendo ptimo para la alimentacin de elementos como los
focos o motores de C.A. El diagrama electrnico de un triac se muestra en la siguiente figura.

Figura 3.19. Diagrama electrnico de un triac

Cuando entra o sale corriente por la terminal G, el triac cierra su circuito entre las terminales
MT1 y MT2.
A continuacin se presenta el diagrama electrnico del control de iluminacin.

Figura 3.20. Diagrama electrnico del control de iluminacin

Como se ve en la figura, el triac funcionar como interruptor. El foco se conectar en las


terminales de "127VCA Salida". El MOC3011 es un opto-diac que es activado por una
terminal del PIC. El funcionamiento bsico del diac es como interruptor, slo conduce si el
LED del MOC3011 est energizado. Esta etapa se usa como proteccin para el PIC.
La onda senoidal consta de 2 medias ondas, una positiva y otra negativa. El PIC se
encargar de interrumpir la alimentacin del foco por cierto tiempo para disminuir el nivel de
iluminacin que ste entregar. Cuando la onda senoidal pase por cero, el PIC mantendr la
terminal G sin corriente por cierto tiempo. Mientras la terminal G no tenga corriente, el foco
permanecer apagado y por lo tanto, disminuir su luminosidad.
En la figura siguiente se observa la grfica de voltaje que se entregar al foco y la grfica de
voltaje que se entregar a la terminal G del triac por parte del PIC. Slo es necesario dar un
pulso de voltaje para que el triac empiece a conducir corriente hacia la carga. El triac seguir
conduciendo corriente por el resto de la onda hasta que pase por cero; en ese instante se
apagar de nuevo. El tiempo tE se mide desde el cruce por cero de la onda, hasta cuando se
requiere la activacin del triac. Este determinar el grado de iluminacin que el foco
entregue; si tE es igual a cero, el foco brillar completamente.
42

Automatizacin de un hogar mediante el protocolo X-10


Dispositivos y mecanismos de control

Figura 3.21. Voltaje interrumpido por triac

El diagrama de flujo de la figura 3.22 presenta el algoritmo de programacin requerido.


El algoritmo comienza configurando los puertos de entrada-salida del PIC. Posteriormente
muestra un mensaje en la pantalla LCD que identifica el circuito como control de
temperatura. Configura la interrupcin externa para realizar la deteccin de cruce por cero de
la onda senoidal y configura el timer0 del PIC para temporizar el encendido del triac.
Si ya cruz por cero se inicia el conteo de tiempo, que puede ser de 0.8ms a 8ms segn la
potencia de iluminacin. Se invierte el flanco de interrupcin para deteccin de cruce por
cero.
Consecuentemente lee el estado de los botones de incremento-disminucin de iluminacin, y
de acuerdo a la variable obtenida realiza el clculo del tiempo que tardar en activar el triac.
El botn "+" incrementar en uno el contenido de un registro de 8 bits, de la misma forma el
"-" disminuir en uno ese registro. El nmero que ese registro contiene puede ser desde 0
hasta 255. Mediante una regla de tres se determina que si el registro vale 0, el porcentaje de
iluminacin ser 0%; si el registro contiene 255, el porcentaje ser de 100%.
El contenido del registro de 8bits ser modificado una vez por cada cruce por cero si el
usuario presiona el botn "+" "-".
Al terminar los clculos se muestra el porcentaje de iluminacin en la pantalla LCD. Si el
timer0 se ha desbordado, se enva un pulso de 100s a la compuerta del triac para activar el
foco y el programa se reinicia en la deteccin de cruce por cero.

43

Automatizacin de un hogar mediante el protocolo X-10


Dispositivos y mecanismos de control

Iluminacin

Configura puertos

Lee botones

Muestra mensaje en
LCD Dimmer

Calcula el porcentaje
de iluminacin

Habilita interrupcin
externa
Configura flanco
Configura timer0
Habilita interrupcin
global

Cruz por
cero
SI
Inicia conteo para
disparo del triac

Calcula tiempo de
disparo segn
porcentaje de
iluminacin

NO

Muestra porcentaje
actual en LCD

Es tiempo
de disparo
de triac

NO

SI
Habilita interrupcin
por timer0

Deshabilita interrupcin
por timer0

Invierte flanco de
interrupcin

Dispara triac (pulso de


100us)

Figura 3.22. Diagrama de flujo del control de iluminacin

La programacin en lenguaje C se incluye en el apndice A.2 de este trabajo.

44

Automatizacin de un hogar mediante el protocolo X-10


Dispositivos y mecanismos de control

3.4.2 Control de riego


En la actualidad existen hogares en los que el riego se hace de forma automtica. Para
instalar un sistema de riego de este tipo es necesario conocer los factores siguientes. La
automatizacin de este proceso se tratar en la seccin de la programacin de los PICs.

Terreno de riego
Capacidad de diseo del sistema de riego
Seleccin de los aspersores y electrovlvulas
Divisin del sistema en zonas

Terreno de riego

Para el diseo del sistema de riego se debe medir el terreno en dnde se instalarn los
aspersores. En el plano dibujado se dividir la zona en reas rectangulares o cuadradas y se
trazarn los objetos que se encuentren en ella.
Capacidad de diseo del sistema de riego

Se determinar para conocer la cantidad de agua disponible para el sistema de riego.


Cuando la presin de agua es proporcionada por una bomba, se pueden conocer el caudal y
presin de funcionamiento directamente. En caso de que no se cuente con estos datos, se
puede usar un manmetro y conectarlo a la toma de agua ms cercana a el sistema de riego
a instalar (ver figura 3.23).

Figura 3.23. Medicin de la presin esttica de agua

Esta medicin se debe hacer cuando todas las otras tomas de agua que haya en la vivienda
estn cerradas. Con esto se obtiene la presin esttica del sistema. La presin estar dada
en Bar o kiloPascales (kPa) y el flujo de agua en litros por minuto (LPM).
Cuando no se conoce el caudal en LPM se puede buscar en la tabla 3.1. Esta tabla es
proporcionada por la compaa Hunter para la seleccin de aspersores.
La presin de trabajo es la que se tendr en los aspersores. Para determinarla se debe
conocer el dimetro de la tubera del medidor de agua, que es por donde pasa todo el caudal
de agua. Tambin se necesita el dimetro de la tubera con la que se va a alimentar el caudal
de los aspersores.

45

Automatizacin de un hogar mediante el protocolo X-10


Dispositivos y mecanismos de control

Tabla 3.1. Capacidad de diseo para el sistema de riego (Hunter)


CAPACIDAD DE DISEO PARA EL SISTEMA DE RIEGO
PRESIN ESTTICA
MEDIDOR DE AGUA
13mm

20mm

25mm

PRESIN DE TRABAJO

Bares
2
2.8
3.5
4
4.8
5.5
kPa
200
275
350
415
480
550
LNEA DE
MAX LPM MAX LPM MAX LPM MAX LPM MAX LPM MAX LPM
SERVICIO
13mm
20mm
25mm
20mm
25mm
32mm
20mm
25mm
32mm
Bares
kPa

7.6
15
15
15
19
19
15
19
19

15
23
26
23
26
45
26
30
53

19
30
30
30
38
64
30
53
91

23
30
38
34
53
76
34
68
98

26
38
49
38
64
83
45
76
114

26
45
57
45
76
83
45
76
130

1.72
175

2
200

2.4
240

3
310

3.5
345

3.8
380

Si se tuviera un dimetro del medidor de agua de 15mm, un dimetro de la lnea de servicio


de 25mm y una presin esttica medida de 4.8Bar (480kPa), la tabla nos indicar que el
caudal mximo que habr en la instalacin hidrulica es de 49LPM y la presin de trabajo en
cada aspersor ser de 3.5Bar (345kPa).
Con los datos obtenidos y los planos dibujados ya es posible seleccionar los aspersores.
Seleccin de los aspersores y electrovlvulas

Existen dos tipos de aspersores a seleccionar, los de reas grandes (de 8m por 8m) y de
rea pequea (de 3m a 5m), segn el catlogo de Hunter.
Se eligi el aspersor para rea grande al PGP de Hunter (figura 3.24). Este aspersor emerge
de la superficie de tierra cuando recibe presin de agua. Tiene un caudal de trabajo de 1.9 a
53.4LPM. Su intervalo de presiones de trabajo es de 206 a 482kPa. La trayectoria de la
tobera es de 13 a 25. El ngulo de riego es ajustable de 40 a 360. Cuando se retira la
presin de agua, el mecanismo se retrae mediante resortes hacia dentro de la tierra.
El modelo elegido para reas pequeas es el ProSpray de Hunter (figura 3.25). El intervalo
de presiones de trabajo va de 1031 a 482kPa. Al recibir presin tambin emerge de la tierra.
Ya que los aspersores han sido seleccionados es necesario seleccionar las electrovlvulas
que los activarn o desactivarn. Se seleccionan del catlogo Hunter las del tipo residencial
SRV. Estas cuentan con un solenoide que al ser activado permite el paso de agua y activa
los aspersores.

46

Automatizacin de un hogar mediante el protocolo X-10


Dispositivos y mecanismos de control

Figura 3.24. Aspersor PGP de Hunter (8m a 12m)

Figura 3.25. Aspersor ProSpray de Hunter (3m a 5m)

Estas vlvulas trabajan con caudales de 137.9 a 1034kPa, por lo que pueden alimentar a
varios aspersores en paralelo.
El voltaje de trabajo del solenoide es de 24VCA, con una corriente de arranque de 370mA y
una corriente de mantenimiento de 190mA, a una frecuencia de alimentacin de 60Hz.
Cuentan con ajuste de caudal.

Figura 3.26. Electrovlvulas SRV de Hunter

Dado que las electrovlvulas requieren una alimentacin de 24VCA, el PIC encargado de
proporcionar la activacin o desactivacin de la vlvula, lo har mediante un triac como en la

47

Automatizacin de un hogar mediante el protocolo X-10


Dispositivos y mecanismos de control

seccin del control de la iluminacin. Los tiempos tE que ah se mencionaron sern iguales a
cero. La alimentacin de corriente alterna se obtendr desde un transformador de 24VCA.
Divisin del sistema en zonas

Hay ocasiones en las que los jardines a regar son muy grandes y la capacidad de la
instalacin hidrulica se vera sobrecargada si se regara todo el jardn al mismo tiempo. Para
evitar ese problema se dividen las zonas de riego de acuerdo a la capacidad de diseo que
la instalacin tenga.
Se debe obtener el caudal mximo que cada aspersor puede gastar. Tambin se debe
conocer el caudal mximo que una electrovlvula es capaz de transferir, as como el caudal
mximo que la instalacin hidrulica puede entregar.
Siguiendo con el ejemplo de la capacidad de diseo dado anteriormente, se tiene que el
caudal mximo que puede entregar la instalacin es de 49LPM. Si se tuviera una zona con
aspersores que en conjunto sumaran 55LPM, habra que dividirla en dos e irrigar primero una
parte. De la misma forma se tendr que limitar el caudal que pasa por las electrovlvulas y
las tuberas. Esto se puede observar en la tabla 3.2.
Tabla 3.2. Dimetros y caudales de la tubera
TABLA DE LA MEDIDA DE LOS TUBOS
Coeficientes de flujo mximos para la tubera
Pared
Pared
Tamao del
Tubo de
Gruesa de Delgada de
tubo
Polietileno
PVC 40
PVC 200
20mm
25mm
32mm

34LPM
57LPM
91LPM

38LPM
60LPM
99LPM

30LPM
50LPM
83LPM

La programacin del sistema de riego va a depender en gran parte de la computadora


central. El diagrama de flujo para la programacin se muestra en la figura 3.27.
El PIC que controla las electrovlvulas slo se encargar de notificar al control central el
estado del sensor, cada que el control central pida el estado del jardn.
El programa comienza configurando puertos de entrada/salida del PIC. Tambin se configura
la interrupcin externa para detectar los cruces por cero para la activacin de las
electrovlvulas y la transmisin X10.
Espera el cruce por cero y verifica el estado de la variable Riego. Si sta vale 1, se activar
el triac de las electrovlvulas. Si vale cero, no se manda el pulso al triac.
Posteriormente se hace una lectura de las tramas enviadas por el bus X10. Se determina si
el cdigo de casa y el de unidad corresponden al PIC de riego. Si no corresponden se ignora
la transmisin y se comienza otra lectura.
48

Automatizacin de un hogar mediante el protocolo X-10


Dispositivos y mecanismos de control

Control de Riego

Configura puertos y
variables (Riego=0)

Rutina X10
Recepcin

Configura
interrupcin externa

Cdigos
de casa y
unidad?
SI

Habilita interrupcin
global y externa

Cruce por
cero

NO

Rutina X10
Recepcin de
comando
NO
Rutina X10
Transmisin,
estado de sensor

SI
Lee ADC (sensor
de humedad)

Rutina X10
Recepcin de
comando ON-OFF

Convierte dato
binario a Humedad
Relativa

SI
Es ON?

Riego=1

NO

Obtiene diferencia
entre V ref y V med

Riego=0
NO
Riego=1?
SI
Activa triac de
electrovlvulas

Figura 3.27. Diagrama de flujo del control de riego

En caso de que la llamada sea al PIC de riego, se procede a leer el comando que la central
manda. Luego el PIC esclavo enva por el bus X10 el estado del sensor de humedad. La
computadora central decidir si activa las electrovlvulas o no. Esta enva un cdigo X10 que
puede ser ON u OFF. Si es ON, se pone a uno la variable Riego. En caso contrario, se pone
a cero. El programa comienza de nuevo, y en el cruce por cero se determina si activa o no
las vlvulas.

49

Automatizacin de un hogar mediante el protocolo X-10


Dispositivos y mecanismos de control

3.4.5 Respaldo de energa va UPS


Es muy sabido que la mayora de las viviendas que estn en Mxico y que cuentan con
energa elctrica de parte de la compaa de luz, pueden sufrir interrupciones en el
suministro debido a fallas como tormentas elctricas, accidentes u otras causas. Es
importante tener un respaldo de energa ante estas situaciones, ya que el funcionamiento
total de la automatizacin de la casa depende de la energa elctrica.
Para esto se considera instalar un sistema de energa ininterrumpida (UPS) en la vivienda. El
UPS debe ser capaz de varias cosas:

Proteger ante fallos del suministro


Proteger ante tensiones muy bajas o muy altas
Tener un tiempo de suministro considerable
Ser capaz de entregar 5kW
Sincronizar la fase de la compaa elctrica

El UPS debe suministrar energa por un tiempo considerable, ya que los controles de acceso
como las cerraduras funcionan con energa elctrica.
La CFE establece que un hogar comn con servicio monofsico tendr un lmite de consumo
de potencia de hasta 5kW. Usando este mximo se puede determinar la capacidad de
potencia que el UPS debe entregar.
En un fallo eventual de la energa, el suministro pasar por las siguientes situaciones:
La carga es suministrada completamente por la compaa de electricidad.
Una vez detectado un disturbio en la red, se desconecta el suministro de la compaa de
electricidad y se conecta el banco de bateras y el inversor (convierte corriente directa a
corriente alterna), entregando voltaje de alimentacin con la misma fase que tena la
compaa de electricidad.
Se arranca un generador de combustin. Cuando ste ha alcanzado un funcionamiento
estable se desconectan las bateras y entra el generador sincronizndose con la fase del
suministro.
La vivienda se alimenta con el generador y las bateras se recargan.
La compaa elctrica ya regres a su normalidad, pero su fase no es la misma que la del
UPS.
El suministro ahora depende de las bateras, el generador se desconecta.
El voltaje del UPS se sincroniza a la fase de la compaa elctrica.
El suministro de la vivienda ya depende de la compaa elctrica, las bateras se recargan.

Si la fase de la onda senoidal es cambiada por algn disturbio del suministro de energa, la
transmisin de informacin con el protocolo X-10 se ver afectada; por esto, es muy
importante la seleccin de un UPS capaz de manejar estos cambios de fase.

70

Automatizacin de un hogar mediante el protocolo X-10


Dispositivos y mecanismos de control

3.4.6 Control de temperatura


Como se mencion en el captulo 3, la temperatura de las habitaciones en las que habr
sistema de ventilacin y calefaccin se registrar mediante el sensor LM35.
El PIC tendr los siguientes elementos conectados:
Pantalla LCD17
Botones
Sensor LM35
Triac para velocidad del ventilador
Triac para encendido del calefactor

En la figura 3.39 se ven los elementos mencionados anteriormente. Los botones "+" y "-" se
utilizarn para incrementar o disminuir la temperatura deseada en la habitacin. El botn
"I/O" servir para activar o desactivar el sistema de calefaccin o ventilacin.

Figura 3.39. Diagrama a bloques del control de temperatura

La temperatura que existir en la habitacin variar de 0C a 50C segn las condiciones


ambientales, por lo tanto, el sensor de temperatura LM35 entregar un voltaje proporcional a
esas temperaturas de 0V a 500mV.
Esta salida se conectar directamente al ADC del PIC. Considerando que el rango de salida
es de 0 a 500mV, que el rango de medicin del PIC ser de 0 a 5V y que la resolucin del
ADC del PIC es de 10 bits, se obtiene la resolucin de la conversin dada en mV/bit.
Resolucin =

5V
5V
mV
=
= 4.88
10
1024bit
bit
2

Ahora considerando la conversin que hace el LM35, se obtiene la resolucin dada en C por
bit. El LM35 entrega 10mV/C, por lo tanto:

17

Del ingls, Liquid Crystal Display, pantalla de cristal lquido.

71

Automatizacin de un hogar mediante el protocolo X-10


Dispositivos y mecanismos de control

4.88

mV C
C

= 0.488
bit 10mV
bit

Esta resolucin es aceptable, ya que el usuario observar mediciones de la temperatura que


se incrementarn en escalas de 0.5C en 0.5C.
En la pantalla LCD se mostrarn dos datos: la temperatura actual y la temperatura deseada.
El usuario presionar los botones "+" o "-" para modificar la temperatura deseada en
incrementos de 0.5C.
El control de la temperatura se realizar mediante dos sistemas: un ventilador de techo o un
calefactor. Si la temperatura deseada es mayor a la actual, se pondr a trabajar el ventilador.
Si la temperatura deseada es menor a la actual, se encender el calefactor.
La ventaja que se tiene sobre el motor del ventilador es que se puede regular su velocidad
mediante un control hecho con triac, de la misma forma en que se control la iluminacin del
foco.
La diferencia que existe entre la temperatura actual menos la deseada se denominar t.

t = t A t D
Esta diferencia determinar la forma de trabajo del ventilador de techo y el calefactor, a
continuacin se muestra una tabla de funcionamiento de estos elementos.
Tabla 3.3. Relacin de funcionamiento segn t
C
Ventilador
Calefactor
Apagado
Encendido
t <- 1
Apagado
Apagado
-1 t 1
Apagado
1 < t < 5
Segn t
t 5
Al 100%
Apagado

Cuando t sea menor a -1C, el calefactor ser encendido.


Cuando t sea mayor o igual a -1C y menor o igual a 1C, no funcionar el ventilador ni el
calefactor. La temperatura ya es muy prxima a la deseada.
Cuando t sea mayor a 1C y menor a 5C, la velocidad del ventilador se calcular con la
frmula que se explicar en los siguientes prrafos.
Cuando t sea mayor o igual a 5C, el ventilador funcionar al 100%. La temperatura
deseada est muy lejos de la actual.

El rango de -1C a 1C no tiene control de temperatura ya que la temperatura deseada es


muy prxima a la actual y cualquier activacin de el ventilador o el calefactor puede afectar
esa diferencia. En la siguiente figura se observa la relacin velocidad temperatura a la que
trabajar el ventilador de techo.

72

Automatizacin de un hogar mediante el protocolo X-10


Dispositivos y mecanismos de control

Porcentaje de velocidad

Relacin velocidad-temperatura
120
100
80
60
40
20
0
0

Diferencia de temperatura en C

Figura 3.40. Relacin velocidad-temperatura del ventilador de techo

Se observa una lnea recta que va desde una t igual a 1C hasta 5C. Se obtiene la
ecuacin de la recta que relaciona el porcentaje de velocidad del ventilador y t.
m=

y 2 y1
x 2 x1

100 0
%
= 25
5 1
C
y = mx + b

m=

Sustituyendo los datos observados en la figura 3.38.


100 = 25(5) + b
b = 100 125
b = 25
y = 25 x 25
%V = 25 t 25 para 1C < t < 5C

Sustituyendo en la ecuacin obtenida un valor de 3C para t.


%V = 25 t 25
%Vel = 25

%
(3C ) 25% = 50%
C

Para que el ventilador gire al 50% de su velocidad habra que interrumpir su alimentacin al
50% con el triac. Este triac ser controlado por una salida E/S del PIC siguiendo los mismos
pasos que en el control de potencia para la iluminacin del foco. El nico cambio que habr

73

Automatizacin de un hogar mediante el protocolo X-10


Dispositivos y mecanismos de control

es el circuito de disparo del triac; la carga ya no es resistiva, como en el caso del foco, ahora
es inductiva ya que es un motor. En la siguiente figura se observa la modificacin.

Figura 3.41. Circuito de disparo del ventilador de techo

El control que habr en el calefactor no ser regulable, slo ser encendido o apagado
mediante un triac. En este caso no habr control de potencia del calefactor, el triac slo
conducir o no conducir en cada cruce por cero. La salida del PIC no ser temporizada para
lograr el corte de la onda senoidal. El circuito de encendido del calefactor es el mismo
utilizado para el disparo del foco ya que es una carga resistiva (ver figura 3.20).
El diagrama de flujo para la automatizacin del control de temperatura se muestra en la
figura 3.42.
Se comienza programando las terminales de entrada salida del PIC y mostrando una
mensaje de bienvenida en la LCD. Se hace la primer conversin analgica digital del sensor
de temperatura LM35 conectado al PIC. Esto es para obtener una temperatura de referencia
y mostrarla posteriormente al usuario.
Se configura la interrupcin externa y el timer0 para su adaptacin posterior al protocolo X10
y conteo de activacin del triac respectivamente. Al terminar se habilita la interrupcin global
y la externa. La interrupcin externa ser la que indique cada cuando se harn las lecturas
del convertidor y se obtendr la diferencia de temperaturas.
Se espera hasta que haya un cruce por cero y se hace otra lectura del sensor. El dato que se
registra est en cdigo binario, se convierte a un dato de punto flotante en el PIC para poder
comprender la lectura en grados centgrados.
Posteriormente se revisa el estado de los botones. Se sabr si el usuario requiere una mayor
o menor temperatura en la habitacin. Si el usuario presiona el botn "+" o el botn "-", el PIC
lo interpretar como un incremento o disminucin de la temperatura en un rango de 0.5C.
Dado que los botones sern ledos cada cruce por cero (8.33ms), si el usuario dejara
presionado el botn por un segundo, la temperatura deseada se ver incrementada 120
veces en 0.5C, por lo que se implementar una rutina de conteo para eliminar este
problema.

74

Automatizacin de un hogar mediante el protocolo X-10


Dispositivos y mecanismos de control

Habiendo obtenido la temperatura deseada por parte del usuario se calcula la diferencia de
temperaturas (actual menos deseada). Conociendo esta temperatura se toma la decisin de
que elementos activar para adecuar la temperatura segn la tabla 3.3.
En la pantalla LCD se muestran las temperaturas actual y deseada para que el usuario
observe el estado de la habitacin y los nuevos cambios que realiz.
Si el ventilador est en funcionamiento proporcional a la diferencia de temperaturas se
deber esperar la interrupcin por timer0. Cuando sta ocurra se activar el triac por un
periodo de 50s.
Al terminar se vuelve a esperar el cruce por cero y el programa comienza de nuevo. La
programacin en lenguaje C del control de temperatura se incluye en el apndice A.3 de este
trabajo.

75

Automatizacin de un hogar mediante el protocolo X-10


Dispositivos y mecanismos de control

Control de temperatura

Configura puertos y
variables

SI

Calefactor=1
Ventilador=0

SI

Calefactor=0
Ventilador=0

SI

Calcula velocidad del


ventilador y apaga
calefactor

dt<-1
NO

Muestra mensaje LCD

-1<=dt<=1
NO

Hace primer lectura


base ADC del sensor

1<dt<5

Inicia conteo timer0

NO
Configura interrupcin
externa por flanco y
timer0

Habilita interrupcin
externa y global

Hay cruce
por cero

NO

SI
dt>=5

Calefactor=0
Ventilador=1

NO
Muestra t actual y t
deseada en LCD

Ventilador en
velocidad
proporcional

SI

Timer0 se
desbord

NO

SI
SI
Lee sensor de
temperatura ADC

NO

Manda pulso de
encendido al triac del
ventilador

Convierte medicin
binaria a grados C

Lee estado de los


botones + -

Calcula diferencia entre


t actual y t deseada

Figura 3.42. Diagrama de flujo del control de temperatura

76

Automatizacin de un hogar mediante el protocolo X-10


Dispositivos y mecanismos de control

3.4.8 Proteccin de ventanas


En la domtica, la seguridad es una parte importante de la automatizacin. Por ello se
decidi integrar un sistema que proteja las ventanas de la vivienda ante intrusos. La
proteccin debe funcionar en dos situaciones: apertura inesperada de la ventana y
destruccin del cristal de la ventana.
Para lograr esta proteccin se tienen 2 posibles soluciones: una barrera infrarroja de
deteccin de presencia o un detector de rotura de cristal mediante frecuencia de sonido.
El detector de rotura de cristal funciona mediante un micrfono conectado a un discriminador
de frecuencias. Cuando el cristal es quebrado, el detector encuentra una coincidencia con el
sonido creado por el cristal roto y una frecuencia predeterminada. Con esto se activa una
seal que indica la intrusin.
La desventaja de este sistema es que no es fcil de crear, ya que la discriminacin de las
frecuencias es difcil de lograr; es probable que otros sonidos como la televisin o un
accidente como un vaso roto lo activen errneamente.
El mtodo de barrera infrarroja es ms fcil de desarrollar. Mediante LEDs infrarrojos se crea
una barrera por donde el intruso pasara si rompiera la ventana. Esta barrera no se ve y tiene
un alcance de deteccin importante. En la figura 3.52 se observa la construccin de la
barrera.

Figura 3.52. Barrera infrarroja para proteccin de ventanas

Un emisor lanzar un haz infrarrojo que rebotar sobre una superficie reflejante colocada a lo
largo del permetro de la ventana. Ese haz llegar hasta el receptor que se ubica al otro
extremo de la ventana. El haz debe ser constante. Cualquier cambio activar un flip flop que
se pondr a uno y activar la alarma.

87

Automatizacin de un hogar mediante el protocolo X-10


Dispositivos y mecanismos de control

El circuito electrnico tendr un mdulo X10 similar al detector de humo o gas y cuando se
active se enviar la seal a la computadora central. La computadora central realizar una
llamada a la central de polica para notificar la intrusin. La figura 3.53 muestra el circuito de
control.

Figura 3.53. Circuito lgico del control de intrusin

El diodo emisor siempre se encontrar encendido. Cuando el fototransistor est recibiendo


luz cierra al transistor BC547 y genera un voltaje en su colector de 0V. Si el fototransistor ya
no recibe luz en su base, en el colector habr 5V. Esta salida se puede interpretar como un
uno lgico.
El potencimetro colocado en serie al fototransistor sirve para ajustar la distancia de
deteccin del haz infrarrojo.
El arreglo de compuertas NOR mostrado forma un flip-flop tipo RS. Este flip-flop trabaja
segn la siguiente tabla de verdad.
Tabla 3.6. Tabla de verdad de un flip-flop RS
S R Q Q'
1 0 1 0
0 0 1 0 despus de que S=1 y R=0
0 1 0 1
0 0 0 1 despus de que S=0 y R=1
1 1 0 0

Si el flip-flop recibe un uno lgico en la terminal S, su salida Q se pondr a 1. Y si despus


regresa a cero lgico, cuando el haz infrarrojo otra vez incide en el fototransistor, la salida Q
seguir activada. Esto es necesario ya que con slo una vez que el intruso interrumpa el haz,
la alarma se quedar activada.

88

Automatizacin de un hogar mediante el protocolo X-10


Dispositivos y mecanismos de control

Para desactivar esta alarma se dispone de un botn conectado a R del flip-flop. Siempre que
haya un uno lgico en la terminal R del flip-flop, la salida Q se pondr a cero.
Q es la seal de salida que entrar a una terminal del PIC. Cuando la central pida el estado
de la deteccin de intrusin, esta terminal ser leda. Si est activada se comenzar el
programa de llamada telefnica en la computadora central.
El interruptor de apertura mostrado en la figura 3.52 se utilizar como botn normalmente
abierto. Si la ventana se abriera el botn se cerrara y se activara la terminal S del flip-flop
generando el mismo resultado que la barrera infrarroja.
La desventaja de esta barrera infrarroja es que puede ser activada de forma accidental. Un
simple movimiento de las cortinas que se encuentran en la ventana puede interrumpir el haz
de luz infrarroja y activar la alarma. Es necesario dar una buena posicin al emisor y al
receptor infrarrojos para evitar esto.

89

CAPTULO 4
AUTOMATIZACIN Y PROGRAMACIN

90

Automatizacin de un hogar mediante el protocolo X-10


Automatizacin y programacin

4.1 Automatizacin y programacin


En este captulo se presentarn los algoritmos y diagramas de flujo que expresarn los pasos
a seguir para comunicar los dispositivos que se conectarn al bus X-10. Tambin se
presentarn las secuencias de programacin y clculos que harn los microcontroladores
PIC para la obtencin de las distintas variables del entorno del hogar, as como transmitir
esos valores al control central.
4.1.1 Componentes de la automatizacin
El sistema conectado al bus X-10 constar de los siguientes elementos:

Computadora central
PIC Maestro
PICs Esclavos
Bus X-10
Otros dispositivos
Mdem

La computadora central ser la encargada de automatizar las actividades que lleven a cabo
todos los dispositivos conectados al bus X-10. Se encargar del control de la iluminacin
automtico, el control del riego automtico, etc. La computadora tendr conectado el PIC
maestro al puerto paralelo, el cual se encargar de transmitir y recibir los comandos y
direcciones hacia los PICs esclavos.
Este es un sistema centralizado dado que los dispositivos como el control de la iluminacin,
control del riego, sensores de humo, etc. sern conectados todos a la computadora central.
Esta ser el corazn de la automatizacin. En la siguiente figura se muestra el bus X-10 y los
dispositivos que se conectan a l, as como otros dispositivos que no requieren del control
central.

Puerto
paralelo
Computadora Central

Proteccin
de ventanas
PIC Esclavo

Iluminacin
PIC Esclavo

Sensor de
humo
PIC Esclavo

Mdem

Mdulo X10
PIC Maestro

Telfono

Control de
persianas
PIC Esclavo

Cerraduras
magnticas

Control de
llenado de
tinaco

Mdulos sin conexin X-10

Lnea de CA
Bus X-10
Sensor de
gas
PIC Esclavo

Sensor de
presencia
PIC Esclavo

Sistema de
riego
PIC Esclavo

Control de
temperatura
PIC Esclavo

Control del
garage

Respaldo de
energa

Figura 4.1. Sistema centralizado para la automatizacin del hogar

91

Automatizacin de un hogar mediante el protocolo X-10


Automatizacin y programacin

Existen dispositivos, como el control automtico de la bomba de agua, que no requieren una
comunicacin con la central, ya que su manejo es completamente automtico y ellos mismos
pueden activarse o desactivarse dependiendo de las situaciones en las que se encuentren.
La comunicacin entre los dispositivos y la computadora tendr prioridades. La computadora
central se encargar de hacer peticin de estado o enviar comandos a los dispositivos, y
posteriormente, los dispositivos respondern. Un dispositivo nunca transmitir informacin
por el bus X-10, a menos que la central requiera su estado. Esto evitar colisiones de
informacin en el bus y mejorar la transmisin de informacin.
Existen elementos como el sensor de presencia que de forma constante deben notificar su
estado al control central. Uno de ellos es el sensor de presencia, que si detecta movimiento
en alguna habitacin notificar a la computadora central el cambio y encender las luces slo
si es un horario nocturno o se cuenta con poca iluminacin.
Si se detecta una fuga de gas o un incendio, la computadora estar al pendiente de forma
frecuente, aproximadamente cada minuto. Posteriormente mediante un mdem22, se
realizar una llamada telefnica a la central de bomberos para notificar la amenaza existente
en el hogar.

4.1.2 Transmisin y recepcin de la portadora


Como se ha explicado en el captulo 2, el funcionamiento del protocolo X-10 se basa en la
transmisin de un tren de pulsos de 120kHz por 1ms sobre la onda senoidal cuando ocurre
un cruce por cero. A este tren de pulsos se le conoce como portadora (ver figura siguiente).
Si existe esa transmisin en la lnea de CA, se habr transmitido un uno lgico, si no existe,
se habr transmitido un cero lgico.

Figura 4.2. Portadora de X-10

Para lograr la transmisin de la portadora a travs de la lnea de energa, se requieren varios


recursos del PIC y circuitera externa, tanto para transmitirla como para recibirla.
En este ejemplo de transmisin y recepcin se cuenta con 2 PICs, uno que transmite y otro
que recibe la portadora. La secuencia de programacin de ambos se muestra en el diagrama
de flujo que se ve a continuacin.
22

Trmino que proviene de las palabras Modulador-Demodulador. Consiste en un dispositivo que se conecta a la
computadora y a una lnea telefnica y que permite poner en contacto dos computadoras o realizar llamadas telefnicas.

92

Automatizacin de un hogar mediante el protocolo X-10


Automatizacin y programacin

Transmisin
Portadora X-10

Recepcin
Portadora X-10

Configura
puertos E/S,
timer2 y PWM

Configura
puertos E/S

Configura
flanco de
deteccin

Configura
flanco de
deteccin

Habilita
interrupcin
externa

Habilita
interrupcin
externa

Hay cruce
por cero

NO

SI
Se
presion
botn

Hay cruce
por cero

NO

SI
NO

Espera 400us

SI
Habilita PWM
a 120kHz

El pin C3
es 1

SI

Enciende
LED

NO

Espera 1ms

Apaga LED

Deshabilita
PWM a
120kHz

Invierte flanco
de deteccin

Invierte flanco
de deteccin

Figura 4.3. Diagrama de flujo de transmisin y recepcin de portadora

En la transmisin de la portadora se comienza configurando las entradas/salidas del PIC, as


como la frecuencia del PWM y el temporizador 2 para su funcionamiento. Posteriormente se
configura el flanco de deteccin de bajo a alto para el cruce por cero del semiciclo de la onda
senoidal.
En este caso se habilita la interrupcin externa del PIC para que al momento de detectar un
cruce por cero, inmediatamente se atienda la interrupcin y comience la transmisin de la
portadora. Esto se podra hacer con programacin sin interrupcin, pero el tiempo que
tomara al PIC salir de la instruccin que est llevando a cabo en ese momento sera muy
grande, y la transmisin de la portadora no debe pasar de los 300s despus del cruce por

93

Automatizacin de un hogar mediante el protocolo X-10


Automatizacin y programacin

cero. Adems, el PIC tiene libertad de estar llevando a cabo otras tareas, en vez de estar
leyendo el estado de la terminal RB0 a cada instante.
Posteriormente empieza la deteccin del cruce por cero. Cuando sta ocurre, bifurca y
verifica que se haya oprimido un botn conectado en B1 del PIC. La tarea de este botn es
comprobar que el usuario desee transmitir la portadora en ese semiciclo de onda.
Si el botn fue oprimido se habilita la salida PWM del PIC y comienza el conteo de 1ms. Al
pasar 1ms, se deshabilita la portadora, se cambia el flanco de interrupcin de "bajo a alto" a
"alto a bajo", es decir, cuando la onda es positiva y pasa a voltaje negativo y cuando la onda
es negativa y pasa a voltaje positivo, respectivamente.
En ese momento se va a verificar el cruce por cero del siguiente semiciclo, y el programa se
ejecuta indefinidamente.
En el caso del receptor de la portadora, primero se comienza por configurar las
entradas/salidas del PIC. Posteriormente se configura el flanco de interrupcin y se habilita la
interrupcin externa.
El PIC no hace nada hasta que se detecta el cruce por cero. Se esperan 400us considerando
que el mximo retraso de una transmisin puede ser de 300s. Al trmino de este tiempo se
lee la terminal C3, que es donde se conecta la salida del sintonizador amplificador de la
portadora de 120kHz (puede variar dependiendo del tipo de PIC). Esta salida siempre estar
a uno lgico o cero lgico ya que la frecuencia de 120kHz se vuelve una seal constante de
1ms por la circuitera aplicada despus del amplificador.
Si en C3 se obtuvo un uno, se activa un LED que indica la presencia de la portadora y si
hubo un cero, se desactiva el LED.
Al terminar se invierte el flanco de interrupcin para el siguiente semiciclo senoidal y se
ejecuta el programa indefinidamente.
Este LED presenta de forma burda la existencia o ausencia de la seal portadora de 120kHz
en la lnea de CA.
El programa que se carga en el PIC transmisor y en el PIC receptor se muestra en el
apndice de este trabajo (seccin A.1). Todos los programas que se cargarn en los PIC
sern hechos con el compilador CCS PCW Compiler versin 3.190.

94

Automatizacin de un hogar mediante el protocolo X-10


Automatizacin y programacin

4.1.4 Las desventajas del protocolo X10


Gracias a la experimentacin previa que se ha llevado a cabo con el envo y recepcin de
bits a travs de la lnea de CA se han encontrado desventajas que el protocolo X10 tiene. A
continuacin se mencionan algunas de las encontradas en la experimentacin y otras
mediante investigacin en la Internet.
El protocolo X10 falla cuando:
- Hay instalado en la misma red elctrica un sistema de escucha para vigilar bebes o un
sistema de repetidor de infrarrojos para la TV. Estos sistemas transmiten una portadora
continua que impide que el sistema X10 pueda transmitir sus datos, de modo que son
autoexcluyentes, se usa una u otra cosa.
- Se tiene en funcionamiento la lavadora, lavaplatos, etc... Cualquier motor de medianas a
grandes dimensiones inserta en la red un nmero tan elevado de ruido electromagntico que
destruye las seales X10.
- Hay en la misma red muchos equipos con fuente de alimentacin conmutada. Estas fuentes
de alimentacin generan tambin mucho ruido elctrico y adems debido a su impedancia
interna destruyen la portadora.
- Si los mdulos tienen un comportamiento errtico se suele deber a que un vecino tiene un
sistema similar y coinciden los cdigos de operacin. La solucin pasa por poner un filtro a la
entrada de la red elctrica de la casa y por cambiar los cdigos de los aparatos.

106

CAPTULO 5
COSTOS

107

Automatizacin de un hogar mediante el protocolo X-10


Costos

5.1 Costos
En este apartado se analizan los costos de implementacin de cada uno de los mdulos que
se usarn para la automatizacin del hogar.
Mdulo de envo recepcin X10
Estos clculos slo incluyen los elementos requeridos para el envo y recepcin del protocolo
X10.
Valor
0.1uF
0.1uF a 250V
1.2 Mohm
220 ohm
47 kohm
6.6V
10 kohm
150pF
33 kohm
10 Mohm
470 kohm
3.3nF
4.7nF
220uH

Cdigo

2N2222
1N4736A

4069
100pF
10pF
220 kohm
1N4148
100 kohm
10nF

Descripcin
Capacitor electroltico
Capacitor polister
Resistencia
Resistencia
Resistencia
Transistor NPN
Diodo zener
Resistencia
Capacitor
Resistencia
Resistencia
Resistencia
Capacitor
Capacitor
Bobina de carga axial
Inversor sxtuple
Capacitor
Capacitor
Resistencia
Diodo
Resistencia
Capacitor

Costo unit. No. piezas Costo total


$0.25
2
$0.50
$5.00
1
$5.00
$0.20
3
$0.60
$0.20
1
$0.20
$0.20
2
$0.40
$5.00
1
$5.00
$2.50
2
$5.00
$0.20
1
$0.20
$0.25
2
$0.50
$0.20
1
$0.20
$0.20
1
$0.20
$0.20
1
$0.20
$0.25
2
$0.50
$0.25
2
$0.50
$3.00
2
$6.00
$4.00
1
$4.00
$0.25
1
$0.25
$0.25
2
$0.50
$0.20
1
$0.20
$0.50
1
$0.50
$0.20
1
$0.20
$0.25
1
$0.25
TOTAL

$30.90

Fuente de 5V sin transformador


La fuente de 5V sin transformador se incluir en la mayora de las aplicaciones X10.
Valor

47 ohm 5W
2.25uF
1.2 Mohm

Cdigo
CL-80

Descripcin
Termistor
Conector CA
Resistencia
Capacitor polister
Resistencia

Costo unit. No. piezas Costo total


$28.00
1
$28.00
$2.00
1
$2.00
$5.00
1
$5.00
$5.00
2
$10.00
$0.20
1
$0.20

108

Automatizacin de un hogar mediante el protocolo X-10


Costos

1N4005
1000uF 25V
5.1V

1N4733A

Diodo
Capacitor electroltico
Diodo zener

$0.30
$2.00
$2.50
TOTAL

3
1
1

$0.90
$2.00
$2.50
$50.60

Control de iluminacin
El mdulo de iluminacin incluye una fuente de 5V sin transformador y el mdulo X10.
Valor

Cdigo
PIC16F877A
LDR

220 ohm
MOC3011
2N6071

LCD

Descripcin
Microcontrolador
Fotorresistencia
Resistencia
Optoacoplador Diac
Triac
Fuente de 5V sin tr.
Mdulo X10
Cableado
Tarjeta
Soldadura
LCD 16car x 1 fila

Costo unit. No. piezas Costo total


$100.00
1
$100.00
$3.00
1
$3.00
$0.20
2
$0.40
$5.00
1
$5.00
$5.00
1
$5.00
$50.60
1
$50.60
$30.90
1
$30.90
$5.00
1
$5.00
$5.00
1
$5.00
$5.00
1
$5.00
$50.00
1
$50.00
TOTAL

$259.90

Control de humo
El sensor de humo tendr un mdulo X10, fuente de 5V sin transformador y una alarma de
emergencia.
Valor

Cdigo
LED

1 kohm
LDR
10 kohm
180 kohm
LM741
1N4001

Descripcin
LED alta luminosidad
Resistencia
Fotorresistencia
Resistencia
Resistencia
Amplificador operacional
Diodo
Mdulo X10
Fuente de 5V sin tr.
Cableado, tarjeta, soldadura
Alarma timbre

Costo unit. No. piezas Costo total


$5.00
1
$5.00
$0.20
2
$0.40
$3.00
1
$3.00
$0.20
1
$0.20
$0.20
1
$0.20
$3.50
1
$3.50
$0.25
1
$0.25
$30.90
1
$30.90
$50.60
1
$50.60
$15.00
1
$15.00
$5.00
1
$5.00
TOTAL

$114.05

109

Automatizacin de un hogar mediante el protocolo X-10


Costos

Control de temperatura
Por el momento se deja pendiente el precio del calefactor.
Valor

Cdigo
LM35
LCD
2N6071
PIC16F877A

220ohm
180ohm
2.4 kohm
0.1uF

Descripcin
Sensor de temperatura
LCD 16x1
Botones
Triac
Microcontrolador
Mdulo X10
Fuente de 5V sin tr.
Ventilador de techo
Calefactor
Cableado, tarjeta, soldadura
Resistencia
Resistencia
Resistencia
Capacitor 250V

Costo unit. No. piezas Costo total


$15.00
1
$15.00
$50.00
1
$50.00
$1.00
3
$3.00
$5.00
2
$10.00
$100.00
1
$100.00
$30.90
1
$30.90
$50.60
1
$50.60
$200.00
1
$200.00
$15.00
$0.20
$0.20
$0.20
$5.00
TOTAL

1
2
2
2
2

$15.00
$0.40
$0.40
$0.40
$10.00
$485.70

Sensor de presencia
Valor

Cdigo

10nF
BC548
LM555

Descripcin
Resistencias varias
Foto transistor
Foto receptor
Capacitor
Transistor
Multivibrador
Cableado, tarjeta, soldadura
Mdulo X10
Fuente 5V sin tr.

Costo unit. No. piezas Costo total


$0.30
7
$2.10
$3.50
1
$3.50
$3.50
1
$3.50
$5.00
1
$5.00
$4.00
2
$8.00
$5.00
1
$5.00
$15.00
1
$15.00
$30.90
1
$30.90
$50.60
1
$50.60
TOTAL

$123.60

Deteccin de intrusin por ventanas


Valor

Cdigo

Descripcin
Fotoemisor
Fotoreceptor
Interruptor NA
Resistencias varias

Costo unit. No. piezas Costo total


$3.50
1
$3.50
$3.50
1
$3.50
$5.00
1
$5.00
$0.20
4
$0.80

110

Automatizacin de un hogar mediante el protocolo X-10


Costos

5 kohm
BC547
74LS28

Potencimetro
Botn
Transistor
Compuerta NOR
Zumbador
Cableado, tarjeta, soldadura
Mdulo X10
Fuente de 5V sin tr.
Superficie reflejante

$5.00
$2.00
$5.00
$4.00
$5.00
$15.00
$30.90
$50.60
$15.00

1
1
2
1
1
1
1
1
1

$5.00
$2.00
$10.00
$4.00
$5.00
$15.00
$30.90
$50.60
$15.00
$150.30

TOTAL

Control de llenado del tinaco


Valor

Cdigo
74LS00
BC547
TIC206
MOC3011

Descripcin
NAND
Resistencias varias
Transistor
Triac
Optoacoplador DIAC
Foto transistor
Foto emisor
Electrovlvula hidr.
Bomba
Cableado, tarjeta, soldadura
Fuente de 5V sin tr.

Costo unit. No. piezas Costo total


$4.00
2
$8.00
$0.20
10
$2.00
$5.00
2
$10.00
$6.00
2
$12.00
$5.00
2
$10.00
$4.00
2
$8.00
$4.00
2
$8.00
$180.00
1
$180.00
$15.00
$50.60
TOTAL

1
1

$15.00
$50.60
$303.60

Por ahora se muestran slo algunos ejemplos de costos. Cada mdulo que se vaya a
conectar a X10 llevar su fuente de 5V sin transformador y un mdulo X10 para enviar y
recibir el protocolo.
El costo real de las aplicaciones de este proyecto se incrementa por los gastos de diseo y
tiempo de ingeniera.
Los costos aqu mostrados son variables y no incluyen los factores antes mencionados.
Para el clculo del sistema de garaje se tomaron varias opciones. A continuacin se muestra
lo obtenido.

111

Automatizacin de un hogar mediante el protocolo X-10


Costos

El estudio de costos para garaje se divide en tres partes principales:


- El costo por el sistema de reduccin y acoplamiento
- El control electrnico
- El diseo
El costo por sistema de reduccin y acoplamiento

En este aspecto existen muchas variables que se debern de considerar, para el sistema de
reduccin y acoplamiento se hicieron 3 estudios o ramas de cotizacin que a continuacin
sern enumeradas con sus ventajas y desventajas.
La primera cotizacin dar un costo por el maquinado de las piezas necesarias para la
transmisin y reduccin de potencia as como su acoplamiento con el motor. Este maquinado
sera realizado de acuerdo con los clculos obtenidos durante el diseo. Todas las piezas
cumpliran con lo especificado.
La segunda cotizacin fue obtenida en base a productos fabricados por serie por la
compaa Maquinados Nacionales (ubicada en Tlalnepantla) los cuales no cumplen con las
caractersticas especficas determinadas en el proyecto pero s con las necesidades finales
de potencia, resistencia y velocidad.
La tercera cotizacin es la compra del sistema reductor (corona sin fin) junto con el sistema
de pin de salida.
A continuacin se realizar la cotizacin de cada una de las opciones especificando costos y
para obtener as cual es la opcin ms barata.
1 cotizacin:
Maquinado de todas las piezas de acuerdo al diseo

A continuacin se har una descripcin de cuales son los procesos para la obtencin de
cada pieza y el costo por cada una de ellas.
Engrane helicoidal
Este engrane ser de acero sementado segn las recomendaciones del manual Globley
para seleccin de corona-sinfn. Debido a que del engrane a maquinar se tienen valores
comerciales, el acero para producir el engrane ser introducido en una mquina de
generacin de engranes, donde se colocar el disco cortador; ya cortado, se lleva a
rectificacin para una limpieza final; finalmente se lleva acabo el proceso de cementado.
El costo de este engrane ser de $750.00

112

Automatizacin de un hogar mediante el protocolo X-10


Costos

Tornillo sin fin


Debido a que el tornillo sin fin requiere de 4 hlices este tendr que ser obtenido por
fundicin, despus sera rectificado y posteriormente pulido.
El costo de este tornillo ser de $1250.00.
Eje de salida
El eje de salida solo requiere de un proceso de rectificado con un material SAE 1020; el
proceso final es el maquinado de los cueros para el engrane helicoidal y el de acoplamiento;
es decir 2 cueros.
El costo del eje $280.00
Engrane de acoplamiento.
Este engrane es de uso comercial y produccin en serie por lo que su costo de compra
estara valuado en un precio econmico.
El costo de este engrane es de $420.00
Cremallera
La cremallera tambin es de venta comercial y su costo es de $320 por metro y est hecha
de un acero normalizado.
Los valores estn en pulgadas debido a que muchas empresas an trabajan con sistema
ingls
Artculo

Caractersticas

Procesos o maquinas Costo


empleadas
pesos)

Engrane
helicoidal

Dimetro exterior = 2.5


Dimetro interior de=1.2
Ancho de cara = 5/8
Material
=
acero
cementado
Angulo de penetracin
=20
# dientes =80
Angulo de avance=12
Largo = 3.5
Dimetro
sin
hlice=0.65
Altura de hlice= 0.12

Maquina generadora
de engranes.
Rectificacin
750.00
Generacin
de
dimetro interno
Tratamiento trmico

(en
$

sinfn

Proceso de fundicin
1250.00
rectificacin

113

Automatizacin de un hogar mediante el protocolo X-10


Costos

#de hlices 4
Angulo de Avance =12
Material=
bronce
fosforado
Eje de
Largo = 6
Torneado
y
salida
2 cueros
rectificacin
280.00
Maquina para planos
Engrane
18 dientes
De
Acero normalizado
Venta comercial
acoplamiento Dimetro = 3
420.00
Angulo = 20
1 metro
Angulo=20
Venta comercial
Paso =3
Costo final de transmisin y acoplamiento en 1 cotizacin
Cremallera
(3)

320.00 (3)
$3660.00

2 cotizacion

En esta cotizacin se compraron artculos de valores comerciales y de produccin en serie


que no cumplen con los datos de diseo pero s con valores finales.
En el caso del sinfn sera de 1 sola hlice por lo tanto el engrane helicoidal sera diferente
tambin pero con valores similares.
Los valores estn en pulgadas debido a que muchas empresas an trabajan con sistema
ingls
Artculo

Caractersticas

Procesos o maquinas Costo


empleadas
pesos)

Engrane
helicoidal

Dimetro exterior = 2.5


Dimetro interior de=1.2
Ancho de cara = 3/4
Material = acero en fro
Venta comercial
Angulo de penetracin
=20
# dientes =20
Angulo de avance=15
Largo = 4
Dimetro sin hlice=5/8
#de hlices= 1
Venta comercial
Angulo de Avance =15
Material= bronce

(en
$

sinfin

625.00

820.00

114

Automatizacin de un hogar mediante el protocolo X-10


Costos

Eje de
salida

Largo = 6
Dimetro de =1.25
Acero normalizado

Venta comercial

Engrane
18 dientes
De
acoplamiento Acero normalizado

Venta comercial

210.00

420.00

Dimetro = 3
Angulo = 20
Cremallera
1 metro
(3)
Angulo=20
Venta comercial
Paso =3
Costo final de transmisin y acoplamiento en 2 cotizacin

320.00 (3)
$3335.00

3a. cotizacin

En la tercera cotizacin se estudi el sistema de reduccin como artculo as como


engrane y la cremallera en conjunto.

el

Las caractersticas de la caja de reduccin son la siguientes:


Potencia mxima de entrada =3/4hp
Potencia de salida= 1/2hp
Dimetro del eje de salida = 1.5
Relacin = 20:1
Capaz de soportar hasta 250kg en su eje de salida.
El costo de la caja de reduccin es de $2050.00
La cremallera tiene un costo de $250.00 el metro y el engrane un costo de $280.00
El costo de acoplamiento es de $1030.00
Por lo tanto el costo de esta cotizacin es de $3080.00
Por lo tanto como conclusin correspondiente la tercera cotizacin en la cual se compran la
caja de reduccin y el engrane y cremallera como artculos nicos es la mas econmica, tal
vez no cumplen con los valores de diseo pero s con las necesidades finales
Los datos del motor son los siguientes:
Motor 1/5 hp
6polos
1200rpm 127vca

115

Automatizacin de un hogar mediante el protocolo X-10


Costos

Costo = $1820.00
La siguiente cotizacin ser de los elementos electrnicos empleados para la construccin
del sistema electrnico, as como accesorios.
Artculo
Micro 1885
Tablilla
fenlica
(30x15)
LED
fototransistor
LEDs infrarrojos
capacitores 0.2 mf
CI 555
CI 587
Resistencias 2 k
Resist. 5K
resist 220k
metros de cable
#16
Amplificadores Op.
reguladores 8515
reguladores 8505
Luz de aviso
Costo final electrnico

Cantidad
1
2

Costo c/u
89.50
60.00

Costos totales
89.50
120.00

5
1
2
7
1
1
7
10
3
3

1.50
2.50
2.50
1.30
6.80
12.20
0.50
0.50
0.50
4.00

7.50
2.50
5.00
9.10
6.80
12.20
3.50
5.00
1.50
12.00

2
2
2
1

5.00
6.50
6.50
37.00

10.00
13.00
13.00
37.00
347.9

El costo del garaje automtico tendr un costo que variar en los siguientes precios haciendo
la sumatoria con las 3 diferentes cotizaciones para despus hacerle un incremento del 30%
al costo el cual valdr como el costo de diseo y el costo de ingeniera.

Mecnica
Electrnica
motor
total
30%

Cotizacin 1 ($)
3,660.00
347.90
1,820.00
5,827.90
7,576.27

Cotizacin 2($)
3,335.00
347.90
1,820.00
5,502.90
7,153.77

Cotizacin 3($)
3,080.00
347.90
1,820.00
5,247.90
6,822.27

La tercera cotizacin es la mas econmica por lo tanto incluyndole el costo de diseo y el


tiempo de ingeniera el costo final del proyecto es de $6,822.30 pesos.

116

Automatizacin de un hogar mediante el protocolo X-10


Apndice

Apndice
A.1 Programas de transmisin recepcin de portadora
Programa de transmisin
//Este programa sacar un PWM de 120kHz con un duty time al 50% cuando la lnea
//de alimentacin de 60Hz cruce por cero y presione RB1
//Ese PWM slo durar 1ms
//Simula la salida de 120kHz del X-10
//f=120kHz
//Tpwm=8.333us
//duty time= 4.166us
//Tpwm=(PR2+1)4 Tosc PRtm2
//Tpwm=(41+1)(4)(1/20MHz)(1)=8.4us
//fpwm=1/8.4us=119.047kHz=120KHz
//duty time=CCP Tosc PRtm2
//duty time=83(1/20MHz)(1)=4.15us=4.166us
//Res=[log(20MHz/120KHz)/log 2]=7.39=7bit
//83d=0x53=1010011b O.K.
//En RB0 se conectar una R de 1.2Mohm para evitar daos al PIC
//sta lograr la deteccin de cruce por cero de la onda senoidal
#include "16f877a.h"
#use delay(clock=20000000) //20MHz
#fuses hs,nowdt,noput,nobrownout,nolvp,noprotect
//hs high speed
#use fast_io (b)
#use fast_io (c)
int16 duty_time=0x0053; //4.15us para 50%
int1 cruce_cero=FALSE; //avisa que hubo cruce por cero
int1 flanco=0;
//Si el flanco es 0, la interrupcin ser L_to_H
//Si el flanco es 1, la interrupcin ser H_to_L
void main(void)
{
//configura terminales e/s
set_tris_c(0x00); //todo salida
set_tris_b(0xff); //todo entrada
//configura PWM
setup_timer_2(T2_DIV_BY_1,41,1);
//PR2=41
set_pwm1_duty(duty_time);
//4.15us
//configura interrupcin
enable_interrupts(INT_EXT);
//habilita interrupcin por flanco en RB0
//debe interrumpir en ambos cruces por cero de la onda senoidal
if(flanco==0)EXT_INT_EDGE(L_to_H); //interrumpe cuando pasa de 0 a 1
if(flanco==1)EXT_INT_EDGE(H_to_L); //interrumpe cuando pasa de 1 a 0
enable_interrupts(global);

117

Automatizacin de un hogar mediante el protocolo X-10


Apndice

while(1)
{
if(cruce_cero==TRUE)
{
delay_us(1000); //lo deja activado por 1ms
setup_ccp1(ccp_off); //lo apaga
cruce_cero=FALSE; //avisa que ya lo apag
output_low(pin_c2); //pone a cero ese pin (pwm)
flanco++; //invierte su estado
if(flanco==0)EXT_INT_EDGE(L_to_H); //interrumpe cuando pasa de 0 a 1
if(flanco==1)EXT_INT_EDGE(H_to_L); //interrumpe cuando pasa de 1 a 0
}
}
}
//aqu llega cuando el flanco es detectado
//llega cada 8.333ms, cada cruce por cero
#int_EXT
void cruce_por_cero()
{
if(input(pin_b1)) //si b1 est presionado
{
setup_ccp1(ccp_pwm); //activa pwm inmediatamente
cruce_cero=TRUE; //avisa que ya lo prendi
}
}

Programa de recepcin
//Este programa leer la seal de 120kHz que transmitir otro PIC
//La leer cada cruce por cero de 60Hz
//SI hay un bit transmitido en la seal de 60Hz,
//se activar una salida en RB1
//En RB0 se conectar una R de 1.2Mohm para evitar daos al PIC
//sta lograr la deteccin de cruce por cero de la onda senoidal
#include "16f877a.h"
#use delay(clock=20000000) //20MHz
#fuses hs,nowdt,noput,nobrownout,nolvp,noprotect
//hs high speed
#use fast_io (b)
#use fast_io (c)
int1 cruce_cero=FALSE; //avisa que hubo cruce por cero
int1 flanco=0;
//Si el flanco es 0, la interrupcin ser L_to_H
//Si el flanco es 1, la interrupcin ser H_to_L
void main(void)
{
//configura terminales e/s
set_tris_c(0b00001000); //RC2 out, RC3 in
set_tris_b(0b00000001); //RB0 in, RB1 out

118

Automatizacin de un hogar mediante el protocolo X-10


Apndice

//configura interrupcin
enable_interrupts(INT_EXT);
//habilita interrupcin por flanco en RB0
//debe interrumpir en ambos cruces por cero de la onda senoidal
if(flanco==0)EXT_INT_EDGE(L_to_H); //interrumpe cuando pasa de 0 a 1
if(flanco==1)EXT_INT_EDGE(H_to_L); //interrumpe cuando pasa de 1 a 0
enable_interrupts(global);
while(1)
{
if(cruce_cero==TRUE)
{
cruce_cero=FALSE; //avisa que ya se enter
delay_us(400); //espera 400us
if(input(pin_c3))
output_high(pin_b1); //si hay un 1 enciende RB1
if(input(pin_c3)==FALSE)
output_low(pin_b1); //si hay un 0 apaga RB1
flanco++; //invierte su estado
if(flanco==0)EXT_INT_EDGE(L_to_H); //interrumpe cuando pasa de 0 a 1
if(flanco==1)EXT_INT_EDGE(H_to_L); //interrumpe cuando pasa de 1 a 0
}
}
}
//aqu llega cuando el flanco es detectado
//llega cada 8.333ms, cada cruce por cero
#int_EXT
void cruce_por_cero()
{
cruce_cero=TRUE; //avisa que hubo cruce
}

A.2 Programa del control de iluminacin


//Este programa controla la luminosidad de un foco
//detecta cruce por cero con una R de 1.2M en RB0
//En la LCD muestra el porcentaje de luz
//con 2 botones se sube o baja el porcentaje
//b1 aumenta
//b2 disminuye
// Conexiones de la LCD:
//
D0 enable
//
D1 rs
//
D2 rw
//
D4 D4
//
D5 D5
//
D6 D6
//
D7 D7
//
//
LCD pins D0-D3 are not used and PIC D3 is not used
#include "16f877a.h"
#use delay(clock=20000000) //20MHz
#fuses hs,nowdt,noput,nobrownout,noprotect,nolvp

119

Automatizacin de un hogar mediante el protocolo X-10


Apndice

#include "lcd.c"
#use standard_io (D)
#use fast_io (b)
int1 cruce_cero=FALSE; //avisa que hubo cruce por cero
int1 flanco=0;
//Si el flanco es 0, la interrupcin ser L_to_H
//Si el flanco es 1, la interrupcin ser H_to_L
int8 luminosidad=0; //255 es 100%
float porcentaje=0;
int8 valor_tmr0=0; //el tiempo que tardar en activar triac

//aqu llega cuando el flanco es detectado


//llega cada 8.333ms, cada cruce por cero
#int_EXT
void cruce_por_cero()
{
cruce_cero=TRUE; //avisa
}
//aqu llega cuando el timer0 se desborda
//va a variar el tiempo de desbordamiento con valor_tmr0
#INT_TIMER0
void activa_triac()
{
disable_interrupts(INT_RTCC); //termina espera
output_high(pin_e2); //activa gate del triac
delay_us(100); //un pulso de 100us
output_low(pin_e2);
}
void main(void)
{
set_tris_b(0xff); //todo entrada
set_tris_e(0x00); //todo salida
output_low(pin_e0); //apaga triac
//Rutina LCD
lcd_init(); //Inicia LCD
lcd_putc("\f"); //Limpia LCD
lcd_putc("
Dimmer\n
Santiago");
delay_ms(3000);
lcd_putc("\f");
//configura interrupcin
enable_interrupts(INT_EXT);
//habilita interrupcin por flanco en RB0
//debe interrumpir en ambos cruces por cero de la onda senoidal
if(flanco==0)EXT_INT_EDGE(L_to_H); //interrumpe cuando pasa de 0 a 1
if(flanco==1)EXT_INT_EDGE(H_to_L); //interrumpe cuando pasa de 1 a 0
setup_counters(RTCC_INTERNAL,RTCC_DIV_256);
set_timer0(valor_tmr0); //carga TMR0
enable_interrupts(global);
while(1)
{

120

Automatizacin de un hogar mediante el protocolo X-10


Apndice

if(cruce_cero==TRUE)
{
set_timer0(valor_tmr0); //empieza conteo para activar triac
enable_interrupts(INT_TIMER0);
cruce_cero=FALSE;
flanco++; //invierte su estado
if(flanco==0)EXT_INT_EDGE(L_to_H); //interrumpe cuando pasa de 0 a 1
if(flanco==1)EXT_INT_EDGE(H_to_L); //interrumpe cuando pasa de 1 a 0
//ahora detectar aumento o decremento en luminosidad
if(input(pin_b1)) luminosidad++; //aumento
if(input(pin_b2)) luminosidad--; //disminuye
//ahora calcula el porcentaje de luz para la LCD
porcentaje=luminosidad*0.3921;
//porcentaje=(luminosidad*100)/255;
//ahora convierte luminosidad (8bit) a tiempo para timer0
//la frmula para timer0 es
//t=(256-valor_tmr0)(0.2us)(256)
//
//media onda senoidal dura 8.333ms
//
//para luz cercana al 100%
//t=(256-240)(0.2us)(256)=0.8ms
//tarda en encender slo 0.8ms
//para luz casi apagado 0%
//t=(256-99)(0.2us)(256)=8ms
//tarda en encender 8ms
//
//la pendiente m para relacionar luminosidad con valor_tmr0 es
//m=(240-99)/(255-0)=0.564
//la ecuacin es
//valor_tmr0=(0.564)(luminosidad)+99
//si luminosidad=255, t=0.665ms, luz->100%
//si luminosidad=0, t=8.03ms, luz->0%
valor_tmr0=(0.564*luminosidad)+99; //hasta la prxima media onda
printf(lcd_putc,"\f%03.0f%%",porcentaje); //limpia y escribe
}
}
}

A.3 Programa del control de temperatura


/*
Controla la temperatura de una habitacin mediante una lectura y
control de un ventilador de techo y un calefactor.
La temperatura ser leda con un LM35. En una LCD se mostrar la temperatura
actual y mediante botones + y - el usuario elegir la temperatura deseada.
Habr un tercer botn que apague o encienda el control de temperatura.
La potencia del ventilador ser variable, dependiendo de la temperatura
actual y la deseada.
La diferencia de la temperatura actual y la deseada (dt) generar lo siguiente:
dt<-1
vent=0
calef=1
-1<=dt<=1
vent=0
calef=0
1<dt<5
vent=%
calef=0 aqu la potencia se da con el triac
dt>=5
vent=100%
calef=0

121

Automatizacin de un hogar mediante el protocolo X-10


Apndice

Cada cruce por cero detectar la presin de los botones + y -. Dado que el cruce
por cero es cada 8.3ms, se har un divisor de conteo, ya que si presionara un
segundo el botn, se incrementara 120 veces la temperatura deseada.
El ptimo es un incremento de 5 por segundo. 120/5=24
Cada 24 conteos, se har un cambio en la t deseada.
La entrada analgica ser del LM35. Por cada C, ste entrega 10mV. No se usar
amplificador operacional, ya que en esta aplicacin la resolucin de la lectura
no es crtica. Para convertir la lectura binaria en C se usa la frmula
t actual= medicion(0.488)
res=0.488C/bit
Se detecta el cruce por cero con una R de 1.2M.
En la LCD muestra el porcentaje de luz con 2 botones se sube o baja el
porcentaje.
b1 aumenta
b2 disminuye
Conexiones de la LCD:
D0 enable
D1 rs
D2 rw
D4 D4
D5 D5
D6 D6
D7 D7
LCD pins D0-D3 are not used and PIC D3 is not used
Para el clculo de la %vel del vent...
vel = 25 dt - 25
//la frmula para timer0 es
//t=(256-valor_tmr0)(0.2us)(256)
//media onda senoidal dura 8.333ms
//para velocidad cercana al 100%
//t=(256-240)(0.2us)(256)=0.8ms
//tarda en encender slo 0.8ms
//para luz casi apagado 0%
//t=(256-99)(0.2us)(256)=8ms
//tarda en encender 8ms
//
//la pendiente m para relacionar velocidad con valor_tmr0 es
//m=(240-99)/(255-0)=0.564
//la ecuacin es
//valor_tmr0=(0.564)(velocidad)+99
*/
#include "16f877a.h"
#device adc=10 //Usa resolucin de 10 bits ADC
#use delay(clock=20000000) //20MHz
#fuses hs,nowdt,noput,nobrownout,noprotect,nolvp
#include "lcd.c"
#use standard_io (D)

122

Automatizacin de un hogar mediante el protocolo X-10


Apndice

#use fast_io (b)


#use fast_io (e) //puerto para triacs
int1 cruce_cero=FALSE; //avisa que hubo cruce por cero
int1 flanco=0;
//Si el flanco es 0, la interrupcin ser L_to_H
//Si el flanco es 1, la interrupcin ser H_to_L
int8 velocidad=0; //255 es 100%
float porcentaje=0;
int8 valor_tmr0=0; //el tiempo que tardar en activar triac
int16 medicion; //aqu almacena la medicin del LM35 ADC
float temp_actual; //aqu pone la temperatura actual
float temp_deseada; //aqu se almacena la temp deseada por el usuario
float delta_t; //la diferencia entre ta y td
int8 conteo_24=24; //cuenta 24 presiones de botn
//aqu llega cuando el flanco es detectado
//llega cada 8.333ms, cada cruce por cero
#int_EXT
void cruce_por_cero()
{
cruce_cero=TRUE; //avisa
}
//aqu llega cuando el timer0 se desborda
//va a variar el tiempo de desbordamiento con valor_tmr0
#INT_TIMER0
void activa_triac()
{
disable_interrupts(INT_RTCC); //termina espera
output_high(pin_e1); //activa gate del triac ventilador
delay_us(50); //un pulso de 50us
output_low(pin_e1);
}
void main(void)
{
set_tris_b(0xff); //todo entrada
set_tris_e(0x00); //todo salida
output_low(pin_e1); //apaga triac ventilador
output_low(pin_e2); //apaga triac calefactor
//Rutina LCD
lcd_init(); //Inicia LCD
lcd_putc("\f"); //Limpia LCD
lcd_putc("Control\nTemperatura");
delay_ms(3000);
lcd_putc("\f");
//Realiza primera conversin para basar lectura deseada
//Inicia lectura ADC
setup_adc (adc_clock_div_32); //Enciende ADC
setup_adc_ports (RA0_ANALOG); //RA0 mide, Vref es 5V
set_adc_channel (0); //Elige canal a medir RA0
delay_us (20);
medicion=read_adc (); //Hace conversin
setup_adc (adc_off); //Apaga ADC
temp_actual=medicion*(0.488); //convierte de binario a C
temp_deseada=temp_actual; //copia valor al inicio del programa

123

Automatizacin de un hogar mediante el protocolo X-10


Apndice

//configura interrupcin
enable_interrupts(INT_EXT);
//habilita interrupcin por flanco en RB0
//debe interrumpir en ambos cruces por cero de la onda senoidal
if(flanco==0)EXT_INT_EDGE(L_to_H); //interrumpe cuando pasa de 0 a 1
if(flanco==1)EXT_INT_EDGE(H_to_L); //interrumpe cuando pasa de 1 a 0
setup_counters(RTCC_INTERNAL,RTCC_DIV_256);
enable_interrupts(global);
while(1)
{
if(cruce_cero==TRUE)
{
//Inicia lectura ADC
setup_adc (adc_clock_div_32); //Enciende ADC
setup_adc_ports (RA0_ANALOG); //RA0 mide, Vref es 5V
set_adc_channel (0); //Elige canal a medir RA0
delay_us (20);
medicion=read_adc (); //Hace conversin
setup_adc (adc_off); //Apaga ADC
temp_actual=medicion*(0.488); //convierte de binario a C
//ahora detectar aumento o decremento en t deseada
if(input(pin_b1)) conteo_24++; //aumento
if(input(pin_b2)) conteo_24--; //disminuye
if(conteo_24==48)
{
conteo_24=24;
temp_deseada=temp_deseada+0.5; //incrementa t deseada 0.5C
}
if(conteo_24==0)
{
conteo_24=24;
temp_deseada=temp_deseada-0.5; //disminuye t deseada 0.5C
}
delta_t=temp_actual-temp_deseada; //calcula la diferencia
if(delta_t<-1)
{
output_high(pin_e2); //enciende calefactor
delay_us(50);
output_low(pin_e2); //termina pulso
}
if((delta_t>=-1)&&(delta_t<=1))
{
output_low(pin_e2); //apaga calefactor
output_low(pin_e1); //apaga ventilador
}
if((delta_t>1)&&(delta_t<5))
{
porcentaje=(25*delta_t)-25; //obtiene porcentaje de velocidad
velocidad=porcentaje*2.55; //obtiene velocidad en int8
valor_tmr0=(0.564*velocidad)+99; //ver nota
set_timer0(valor_tmr0); //inicia espera
enable_interrupts(int_timer0); //habilita interrupcin
}

124

Automatizacin de un hogar mediante el protocolo X-10


Apndice

if(delta_t>=5)
{
output_high(pin_e1); //activa ventilador 100%
delay_us(50);
output_low(pin_e1); //termina pulso
}
//muestra datos en LCD
lcd_gotoxy(1,1);
printf(lcd_putc,"Actual
}

%02.0fC\nDeseada

%02.0fC",temp_actual,temp_deseada);

}
}

125

Automatizacin de un hogar mediante el protocolo X-10


Apndice

B.1 Diagrama electrnico de la fuente de 5V sin transformador

126

Automatizacin de un hogar mediante el protocolo X-10


Apndice

B.2 Diagrama electrnico del receptor X10

127

Automatizacin de un hogar mediante el protocolo X-10


Apndice

B.3 Diagrama electrnico del transmisor X10

128

Automatizacin de un hogar mediante el protocolo X-10


Apndice

B.4 Diagrama electrnico del control de iluminacin

129

Automatizacin de un hogar mediante el protocolo X-10


Apndice

B.5 Diagrama electrnico del control de velocidad del ventilador

130

Automatizacin de un hogar mediante el protocolo X-10


Apndice

B.6 Conexionado bsico de un PIC16F877A

131

Automatizacin de un hogar mediante el protocolo X-10


Apndice

B.7 Circuito electrnico del transmisor del sensor de presencia

132

Automatizacin de un hogar mediante el protocolo X-10


Apndice

B.8 Circuito electrnico del receptor del sensor de presencia

133

Automatizacin de un hogar mediante el protocolo X-10


Apndice

B.9 Circuito electrnico del detector de intrusin de ventanas

134

Automatizacin de un hogar mediante el protocolo X-10


Apndice

B.10 Tornillo sinfn del garage

135

Automatizacin de un hogar mediante el protocolo X-10


Apndice

B.11 Medidas del engrane helicoidal y del tornillo sinfn

136

Automatizacin de un hogar mediante el protocolo X-10


Apndice

B.12 Vista general del garage automtico

137

Automatizacin de un hogar mediante el protocolo X-10


Apndice

B.13 Diagrama electrnico del control de la cochera

138

Automatizacin de un hogar mediante el protocolo X-10


Apndice

139

Automatizacin de un hogar mediante el protocolo X-10


Apndice

ndice de figuras
Figura 2.1. Tiempos de sincronizacin de X10 ...................................................................................... 16
Figura 2.2. Envo de direccin a Unidad 2 Casa A................................................................................. 19
Figura 3.1. PWM..................................................................................................................................... 23
Figura 3.2. Diagrama de bloques de la aplicacin .................................................................................. 24
Figura 3.3. Detector de cruce por cero.................................................................................................... 25
Figura 3.4. Diodos de proteccin de un PIC ........................................................................................... 26
Figura 3.5. Detector de seal de 120kHz ................................................................................................ 26
Figura 3.6. Tiempos para la seal de 120kHz......................................................................................... 28
Figura 3.7. Generador de seal de 120kHz............................................................................................. 30
Figura 3.8. Fuente de 5V sin transformador ........................................................................................... 30
Figura 3.9. Sensor de iluminacin .......................................................................................................... 33
Figura 3.10. Ensamble del sensor de humo ............................................................................................ 34
Figura 3.11. Circuito electrnico del sensor de humo ............................................................................ 34
Figura 3.12. Sensor de presencia ............................................................................................................ 35
Figura 3.13. Sensor de temperatura LM35 ............................................................................................. 36
Figura 3.14. Sensor de humedad HM1500LF......................................................................................... 37
Figura 3.15. Conexin del sensor de humedad ....................................................................................... 38
Figura 3.16. Sensor de gas TGS813 de FIGARO ................................................................................... 38
Figura 3.17. Circuito interno del TGS813 .............................................................................................. 39
Figura 3.18. Onda senoidal a 60Hz y 127VCA ...................................................................................... 41
Figura 3.19. Diagrama electrnico de un triac........................................................................................ 42
Figura 3.20. Diagrama electrnico del control de iluminacin............................................................... 42
Figura 3.21. Voltaje interrumpido por triac ............................................................................................ 43
Figura 3.22. Diagrama de flujo del control de iluminacin .................................................................... 44
Figura 3.23. Medicin de la presin esttica de agua ............................................................................. 45
Figura 3.24. Aspersor PGP de Hunter (8m a 12m)................................................................................. 47
Figura 3.25. Aspersor ProSpray de Hunter (3m a 5m) ........................................................................... 47
Figura 3.26. Electrovlvulas SRV de Hunter.......................................................................................... 47
Figura 3.27. Diagrama de flujo del control de riego............................................................................... 49
Figura 3.28. Vista frontal de la puerta .................................................................................................... 51
Figura 3.29. Vista lateral de la puerta ..................................................................................................... 51
Figura 3.30. Cargas aplicadas a la puerta................................................................................................ 53
Figura 3.31. Rueda de la puerta .............................................................................................................. 54
Figura 3.32. Diagrama del motor y la caja de reduccin de velocidad................................................... 57
Figura 3.33. Eje de transmisin de salida ............................................................................................... 57
Figura 3.34. Carretes de la persiana........................................................................................................ 59
Figura 3.35. Vista superior de las poleas ................................................................................................ 60
Figura 3.36. Unin del cordn al carrete ................................................................................................ 61
Figura 3.37. Fuerza involucrada en el carrete......................................................................................... 62
Figura 3.38. Construccin de la persiana................................................................................................ 63
Figura 3.39. Diagrama a bloques del control de temperatura ................................................................. 71
Figura 3.40. Relacin velocidad-temperatura del ventilador de techo ................................................... 73
Figura 3.41. Circuito de disparo del ventilador de techo ........................................................................ 74
Figura 3.42. Diagrama de flujo del control de temperatura .................................................................... 76
140

Automatizacin de un hogar mediante el protocolo X-10


Apndice

Figura 3.43. Diagrama de tubera para sistema hidrulico ..................................................................... 77


Figura 3.44. Diagrama para clculo por teorema de Bernoulli ............................................................... 80
Figura 3.45. Ubicacin de los sensores en el sistema de Tinaco-Cisterna.............................................. 83
Figura 3.46. Diagrama electrnico de los sensores pticos .................................................................... 83
Figura 3.47. Diagramas de flujo del control de la bomba....................................................................... 84
Figura 3.48. Diagrama lgico del control de la bomba........................................................................... 85
Figura 3.49. Diagrama lgico del sistema............................................................................................... 86
Figura 3.50. Diagrama de potencia para la bomba ................................................................................. 86
Figura 3.52. Barrera infrarroja para proteccin de ventanas................................................................... 87
Figura 3.53. Circuito lgico del control de intrusin.............................................................................. 88
Figura 4.1. Sistema centralizado para la automatizacin del hogar........................................................ 91
Figura 4.2. Portadora de X-10................................................................................................................. 92
Figura 4.3. Diagrama de flujo de transmisin y recepcin de portadora ................................................ 93
Figura 4.4. Contrasea de acceso a la computadora central ................................................................... 95
Figura 4.5. Mensaje de error de acceso a Homation ............................................................................... 95
Figura 4.6. Mensaje de error despus de 4 intentos ................................................................................ 96
Figura 4.7. Ventana de bienvenida a Homation...................................................................................... 96
Figura 4.8. Entrada exitosa al sistema Homation.................................................................................... 96
Figura 4.9. Ventana principal del control central.................................................................................... 97
Figura 4.10. Habitacin X10 activa ........................................................................................................ 98
Figura 4.11. Control de iluminacin en Homation ................................................................................. 98
Figura 4.12. Ejemplo de uso del control de iluminacin va computadora central................................. 99
Figura 4.13. Calendario Homation.......................................................................................................... 99
Figura 4.14. Calendario Homation con tareas asignadas ...................................................................... 100
Figura 4.15. Opciones de funcionamiento de elementos X10 .............................................................. 101
Figura 4.16. Tareas del sistema Homation............................................................................................ 102
Figura 4.17. Bsqueda de tareas por fecha ........................................................................................... 102
Figura 4.18. Salida del sistema Homation ............................................................................................ 103
Figura 4.19. Conexionado del puerto paralelo de una PC .................................................................... 104
Figura 4.20. Configuracin del puerto paralelo .................................................................................... 104
Figura 4.21. Circuito de conexin al puerto paralelo para evitar daos ............................................... 105

141

Bibliografa

Editorial Time-Life, El Primer Hombre: Orgenes del Hombre, Netherlands, Time-Life International, 1976

Miguel Angel Montejo Rez, Introduccin a los microcontroladores PIC, leda el 10/sep/2005
<http://www.redeya.com/electronica/tutoriales/pic1.htm>

La historia de X10 por uno de sus pioneros, leda el 04/sep/2005,


<http://www.domotica.net/La_historia_de_X10_por_uno_de_sus_pioneros.htm>

Jos Manuel Huidobro, Edificios Inteligentes y Domtica, leda el 10/sep/2005,


<http://www.monografias.com/trabajos14/domotica/domotica.shtml>

Jon Burroughs, X-10 Home Automation Using the PIC16F877A, 2002,


<http://ww1.microchip.com/downloads/en/AppNotes/00236a.pdf>

CFE - Historia, leda el 11/sep/2005, <http://www.cfe.gob.mx/es/LaEmpresa/queescfe/historia/>

Luis Alberto Garca Castillo, Mxico - Presidencia de la Repblica _ Las Buenas Noticias tambin son Noticia,
leda el 11/sep/2005, <http://www.presidencia.gob.mx/buenasnoticias/?contenido=16627&pagina=97>

X10 Transmission Theory, leda el 11/Ago/2005, <http://www.x10.com/homepage.htm>

Jos M. Angulo Usategui, 2000, Microcontroladores PIC16F87x, Editorial Mc Graw Hill

Stan D'Souza, Transformerless Power Supply, leda el 29/sep/2005,


<http://ww1.microchip.com/downloads/en/AppNotes/91008b.pdf>

Jon Burroughs,2002, X-10 Home Automation Using the PIC16F877A,


<http://ww1.microchip.com/downloads/en/AppNotes/00236a.pdf>

Reston Condit, Transformerless Power Supplies: Resistive and Capacitive, 2004,


<http://ww1.microchip.com/downloads/en/AppNotes/00954A.pdf>

Doug Cox, 1997, Interfacing to AC Lines, <http://ww1.microchip.com/downloads/en/AppNotes/00521c.pdf>

Flash Memory, Wikipedia, leda el 24/oct/2005, <http://en.wikipedia.org/wiki/Flash_memory>

Detector Infrarrojo de Proximidad, leda el 26/oct/2005,


<http://www.pablin.com.ar/electron/circuito/varios/proximid/index.htm>

TGS813 - For the detection of combustible gases, leda el 30/oct/2005,


<http://download.siliconexpert.com/pdfs/2005/02/17/c/2/fig/gas%20sensor/813pdf.pdf>

HUNTER, Manual de Diseo para el Sistema de Riego Residencial, leda el 01/nov/2005,


<http://www.emmsa.com.mx/PDF/Cat-HunterManual.pdf>

HUNTER, Catlogo de productos de riego 2004-2005, leda el 02/nov/2005,


<http://www.hunterindustries.com/Resources/PDFs/Catalog/INT292w.pdf>

Diseo de mquinas, Editorial Mg Graw-Hill, Ao 1980

142

Diseo de mquinas y elementos, Robert L. Norton, Editorial Prentice Hall

Diseo de mquinas y elementos, L. Mott, Editorial Pearson

Santiago Villafuerte, Pgina web de Santiago, <http://mx.geocities.com/migsantiagov/>

Foro de microcontroladores Todopic, <http://www.todopic.foro.st>

143

Vous aimerez peut-être aussi