Académique Documents
Professionnel Documents
Culture Documents
INGENIERIA ELECTRONICA
6º E.
MAQUINAS ELECTRICAS
ALUMNO:
Las FPGAs se utilizan en aplicaciones similares a los ASICs sin embargo son más
lentas, tienen un mayor consumo de potencia y no pueden abarcar sistemas tan
complejos como ellos. A pesar de esto, las FPGAs tienen las ventajas de ser
reprogramables (lo que añade una enorme flexibilidad al flujo de diseño), sus
costes de desarrollo y adquisición son mucho menores para pequeñas cantidades
de dispositivos y el tiempo de desarrollo es también menor.
En nuestro curso el FPGA que utilizamos fue la tarjeta basys 2 y el programa que
se utilizo fue el ISE 10.1 de xilinx.
El programa que se utilizo fue el ISE 10.1 de xilinx.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_SIGNED.ALL;
entity sum_res_beh_cs is
s_r : in std_logic);
end sum_res_beh_cs ;
begin
process (s_r, a, b)
begin
else
end if;
end process;
end Behavioral;
Para esto creamos un archivo .ufc, con ayuda de los manuales de la targeta
asignaremos los pines que utilizaremos para nuestro diseño.
NET "a<1>" LOC = "L3"; # Bank = 3, Pin name = IP, Sch name =
SW1
NET "b<0>" LOC = "G3"; # Bank = 3, Pin name = IP, Sch name =
SW4
NET "b<1>" LOC = "F3"; # Bank = 3, Pin name = IP/VREF_3, Sch name
= SW5
NET "b<3>" LOC = "N3"; # Bank = 3, Pin name = IP, Sch name =
SW7
# salida resultado c
NET "c<2>" LOC = "P7"; # Bank = 3, Pin name = IO_L3N_3, Sch name
= LD2
NET "c<3>" LOC = "P6"; # Bank = 3, Pin name = IO_L3P_3, Sch name =
LD3
# Selección (botón 0)
NET "s_r" LOC = "G12"; # Bank = 2, Pin name = IP, Sch name =
BTN0
Como podemos ver aqui se muestran la asignacion de las patas para los switch de
entradas de solidas , y el boton seleccionador de suma o resta. Ya teniendo los
dos archivos los guardamos en una carpeta donde sea factible encontrarlos para
después usarlos.
Damos next en esta ventana agregaremos al proyecto los dos archivos que
habíamos guardado, el .vhd y el .ufc.
Aquí se muestra como esta cargando para verificar que no haya errores en el
código o en el archivo .ucf
Y para realizar estos proyectos se siguen los mismos pasos que el sumador
explicado anteriormente.