Vous êtes sur la page 1sur 26

Repblica Bolivariana de Venezuela

Universidad Nacional Experimental Politcnica Luis Caballero


Mejas
Vice-Rectorado Luis Caballero Mejas Ncleo Charallave
Catedra-Diseo de Sistemas Lgicos

ALGEBRA DE BOOLE, FUNCIONES LGICAS Y


MINIMIZACIN DE FUNCIONES ALGEBRAICAS MEDIANTE

LOS MAPAS DE KARNAUGH Y QUINE Mc CLUSKEY

Profesora:
Andrs Herrera
Alumno:
Victor Presilla
Exp.2012247040

Charallave Junio 2016

TRABAJO DE LABORATORIO N1
ALGEBRA DE BOOLE, FUNCIONES LGICAS Y
MINIMIZACIN DE FUNCIONES ALGEBRAICAS MEDIANTE

LOS MAPAS DE KARNAUGH Y QUINE Mc CLUSKEY


OBJETIVOS ESPECIFICOS:
1. Aplicar correctamente los Postulados, Leyes y Teoremas
fundamentales del Algebra de Boole en la Minimizacin de funciones
algebraicas.
2. Optimizar funciones algebraicas mediante la aplicacin del Mtodo de
Karnaugh y de Quine McCluskey.
3. Disear Sistemas Lgicos de Control mediante los Postulados,
Teoremas del Algebra Booleana y los Meodos de Optimizacin de
Karnaugh y de Quine-McCluskey.
4. Diferenciar correctamente las Compuertas Lgicas y explicar
detalladamente su funcionamiento.
5. Montar experimentalmente circuitos lgicos y explicar su
funionamiento.

EQUIPOS Y MATERIALES A UTILIZAR:

1. Protoboard

2. Osciloscopio y Generador de A/F. 3. Multmetro Digital

FUNDAMENTOS TERICOS:
CONCEPTOS FUNDAMENTALES
1. COMPUERTAS LGICAS.

Una puerta lgica, o compuerta lgica, es un dispositivo electrnico con


una funcin booleana. Suman, multiplican, niegan o afirman, incluyen o
excluyen segn sus propiedades lgicas. Se pueden aplicar a tecnologa
electrnica, elctrica, mecnica, hidrulica y neumtica. Son circuitos de
conmutacin integrados en un chip.
Claude Elwood Shannon experimentaba con rels o interruptores
electromagnticos para conseguir las condiciones de cada compuerta lgica,
por ejemplo, para la funcin booleana Y (AND) colocaba interruptores en
circuito serie, ya que con uno solo de stos que tuviera la condicin abierto,
la salida de la compuerta Y sera = 0, mientras que para la implementacin de
una compuerta O (OR), la conexin de los interruptores tiene una configuracin
en circuito paralelo.
La tecnologa microelectrnica actual permite la elevada integracin de
transistores actuando como conmutadores en redes lgicas dentro de un
pequeo circuito integrado. El chip de la CPU es una de las mximas
expresiones de este avance tecnolgico.
En nanotecnologa se est desarrollando el uso de una compuerta lgica
molecular, que haga posible la miniaturizacin de circuitos.

COMPUERTA S BUFFER:

La puerta lgica S, realiza la funcin booleana


igualdad. En la prctica se suele utilizar como amplificador de corriente o como
seguidor de tensin, para adaptar impedancias (buffer en ingls). La ecuacin que
describe el comportamiento de la Compuerta S es: F = A.
TABLA DE

ENTRA SALI
DA A DA
F =
A
Smbolo de la funcin lgica S: a) Contactos,
b) Normalizado y c) No normalizado

COMPUERTA AND: La puerta lgica Y, ms conocida


por su nombre en ingls AND
(
), realiza la funcin booleana
de producto lgico. Su smbolo es un punto (), aunque se suele omitir. As, el producto
lgico de las variables A y B se indica como AB, y se lee A y
A
B
F=
B o simplemente A por B. La ecuacin que describe el
AB
0
0 VERDAD
0
TABLA
DE
comportamiento de la puerta AND es:
0
1
0
1
0
0
1
1
1

COMPUERTA

OR

La puerta lgica O, ms conocida por su nombre en ingls OR (


), realiza la
operacin de suma lgica. La ecuacin caracterstica que describe el comportamiento
de la puerta OR es:
TABLA DE
A VERDAD
B
0
0
1
1

0
1
0
1

F=
A+B
0
1
1
1

Smbolo de la funcin lgica O: a)


Contactos, b) Normalizado y c) No
normalizado

COMPUERTA OR-EXCLUSIVA (XOR)


La puerta lgica OR-exclusiva, ms
conocida por su nombre en ingls
XOR, realiza la funcin booleana
A'B+AB'. Su smbolo es
(signo
ms "+" inscrito en un crculo). En
la figura de la derecha pueden
S
A
B
observarse
sus
smbolos
en
mbolo de la funcin lgica O-exclusiva: a)
electrnica.
La
ecuacin
0
0y c) No0normalizado
Contactos, b) Normalizado
caracterstica
que
describe
el
0
1
1
comportamiento de la puerta XOR
1 TABLA
0 DE1
Se
es: puede definir esta puerta como aquella que da
1
1
0
por resultado uno, cuando los valores en las entradas
son distintos. ej: 1 y 0, 0 y 1 (en una compuerta de
dos entradas). Se obtiene cuando ambas entradas
tienen distinto valor.
Si la puerta tuviese tres o ms
entradas,
la XOR
XOR
de tres entradas
tomara la funcin de suma de paridad, cuenta el
nmero de unos a la entrada y si son un nmero
impar, pone un 1 a la salida, para que el nmero de
unos pase a ser par. Esto es as porque la operacin
0
0
0
XOR es asociativa, para tres entradas escribiramos:
0

(B0

C)

o bien

(A
1

B)

C. 0 Su

Salida
0
1

Tabla de

Su tabla de verdad sera:

Desde el punto de vista de la aritmtica mdulo 2, la


puerta XOR implementa la suma mdulo 2, pero mucho
ms simple de ver, la salida tendr un 1 siempre que el
nmero de entradas a 1 sea impar.

COMPUERTA NO (NOT):
La puerta lgica NO (NOT en
funcin booleana de inversin o
variable lgica. Una variable
le
aplica
la
negacin
se
A" o "A negada". La ecuacin
describe el comportamiento de
Se puede definir como
proporciona el estado inverso del
entrada.

TABLA DE
VERDAD
PUERTA NOT

ingls)
realiza
la
negacin
de
una
lgica (A) a la cual se
pronuncia como "no
caracterstica
que
la puerta NOT es:
una
que

puerta
que
est en su

Smbolo de la funcin lgica NO: a)


Contactos, b) Normalizado y c) No
normalizada

COMPUERTA

NO-Y (NAND): La puerta lgica NO-Y, ms conocida por su

nombre en ingls NAND, realiza la operacin de producto lgico negado. En ocasiones


es llamada tambin barra de Sheffer. [1] En la figura de la derecha pueden observarse
sus smbolos en electrnica. La ecuacin caracterstica que describe el comportamiento
de la puerta NAND es:

TABLA DE VERDAD

Podemos definir la puerta NO-Y como aquella que proporciona a su salida un 0 lgico

nicamente cuando todas sus entradas estn a 1

LEYES Y TEOREMAS BSICOS DEL LGEBRA DE BOOLE


Leyes fundamentales El resultado de aplicar cualquiera de las tres operaciones definidas a
variables del sistema booleano resulta en otra variable del sistema, y este resultado es
nico.
Ley de idempotencia: A + A = A y A A = A
Ley de involucin: (A')' = A
Ley conmutativa: A + B = B + A y A B = B A
Ley asociativa: A + (B + C) = (A + B) + C y A (B C) = (A B) C
Ley distributiva: A + B C = (A + B) (A+ C) y A (B + C) = A B + A C
Ley de absorcin: A + A B = A y A (A + B) = A
Ley de De Morgan: (A + B)' = A' B' y (A B)' = A' + B'
Principio de dualidad El concepto de dualidad permite formalizar este hecho: a toda
relacin o ley lgica le corresponder su dual, formada mediante el intercambio de los
operadores unin con los de interseccin, y de los 1 con los 0.

Teorema de Morgan
El teorema de MORGAN sirve para transformar funciones que se SUMAN en funciones
que se MULTIPLICAN o VICEVERSA

MAPA DE KARNAUGH

Ejemplo de mapa de Karnaugh.


Un mapa de Karnaugh (tambin conocido como tabla de Karnaugh o diagrama de
Veitch, abreviado como Mapa-K o Mapa-KV) es un diagrama utilizado para la
simplificacin de funciones algebraicas Booleanas. El mapa de Karnaugh fue inventado
en 1950 por Maurice Karnaugh, un fsico y matemtico de los laboratorios Bell.
Los mapas de Karnaugh reducen la necesidad de hacer clculos extensos para la
simplificacin de expresiones booleanas, aprovechando la capacidad del cerebro
humano para el reconocimiento de patrones y otras formas de expresin analtica,
permitiendo as identificar y eliminar condiciones muy inmensas.
El mapa de Karnaugh consiste en una representacin bidimensional de la tabla de verdad de
la funcin a simplificar. Puesto que la tabla de verdad de una funcin de N variables posee
2N filas, el mapa K correspondiente debe poseer tambin 2 N cuadrados. Las variables de la
expresin son ordenadas en funcin de su peso y siguiendo el cdigo Gray, de manera que
slo una de las variables vara entre celdas adyacentes. La transferencia de los trminos de
la tabla de verdad al mapa de Karnaugh se realiza de forma directa, albergando un 0 un 1,
dependiendo del valor que toma la funcin en cada fila. Las tablas de Karnaugh se pueden
fcilmente realizar a mano con funciones de hasta 6 variables, para funciones de mayor
cantidad de variables es ms eficiente el uso de software especializado.
Dada la siguiente funcin algebraica Booleana representada como el sumatorio de
sus minitrminos, y con las variables Booleanas , , , , la funcin se puede representar con
dos notaciones distintas:
Tabla de verdad
Utilizando los Minterm definidos, se elabora la tabla de verdad:
#

10

11

12

13

14

15

Construccin del mapa-K.


Las variables de entrada pueden combinarse de 16 formas diferentes, por lo que el mapa de
Karnaugh tendr 16 celdas, distribuidas en una cuadrcula de 4 4.
La combinacin de dgitos binarios en el mapa representa el resultado de la funcin por
cada combinacin de entradas. Por ejemplo, la celda en la esquina superior izquierda del
mapa es 0, porque el resultado de la funcin es = 0 cuando A = 0, B = 0, C = 0, D = 0. De
igual manera, la esquina inferior derecha es 10 porque el resultado de la funcin es = 10
cuando A = 1, B = 0, C = 1, D = 0.
Una vez construido el mapa de Karnaugh, la siguiente tarea es la de seleccionar conjunto de
trminos denominados subcubos de manera que se obtenga el menor nmero de
subcubos posible. Estos subcubos se seleccionan formando grupos de rectngulos que

encierren a los unos del mapa, las reas deben ser potencia de 2 (ej. 1, 2, 4, 8, ...) y se debe
tratar de agrupar el mayor nmero de unos posible. En resumen hay que tomar en cuenta al
hacer estos grupos de unos (subcubos) lo siguiente:

Se puede visualizar tambin que los grupos pueden continuar en el lado opuesto como en el
subcubo 1 de la figura dibujado en azul.

Debemos utilizar todos los unos del mapa.

Es mejor crear el menor nmero de grupos.

Los unos pueden estar en varios grupos.

El nmero de unos dentro de un grupo debe de ser cualquier potencia de 2.

Mientras ms grande sea un grupo la simplificacin de la funcin ser mejor.

No es necesario que todos los grupos tengan el mismo tamao.

Qu trminos seleccionar va dependiendo de cmo se quiera realizar la simplificacin,


puesto que esta puede realizarse por minitrminoso por maxitrminos.
Algoritmo QuineMcCluskey
El Algoritmo QuineMcCluskey Es un mtodo de simplificacin de funciones
booleanas desarrollado por Willard Van Orman Quine y Edward J. McCluskey. Es
funcionalmente idntico a la utilizacin del mapa de Karnaugh, pero su forma tabular lo
hace ms eficiente para su implementacin en lenguajes computacionales, y provee un
mtodo determinista de conseguir la mnima expresin de una funcin booleana.
Pasos
El mtodo consta de dos pasos:
1. Encontrar todos los implicantes primos de la funcin.
2. Usar esos implicantes en una tabla de implicantes primos para encontrar los implicantes
primos esenciales, los cuales son necesarios y suficientes para generar la funcin.
PROCEDIMIENTO Y REGISTRO DE DATOS

PROCEDIMIENTO A SEGUIR EN EL LABORATORIO


PROCEDIMIENTO N 1.
i)

Considere la funcin algebraica siguiente

Utilizando los postulados y teoremas F1 = ( A.B.C ) + B.C( C + 1 ) + A.B.C ( A + A )


del Algebra de Boole, y el mtodo de
Quine- McCluskey minimice la funcin F1.
BC
) + BC ( C+
1 )+ A B C ( A + A)

F 1=( A

)
B
F 1=( A
C BC + A B C

C)+(

F 1=(A + B+C)(
B+
A + B+C)
A C+
B B+
B C + B C +C C+
A +B +C
F 1= A B+
C)+
B (1+ ( C+C

B+ C
) )+ A+
F 1= A ( B+

B ) + A( B+
C )+ A
+C
F 1=( B+
C )+ A +C
F 1=1+ A (B+
F 1=1

PROCEDIMIENTO N 2.
El funcionamiento de un Motor elctrico est controlado por cuatro interruptores A, B, C y
D. Cuando tres interruptores estn activados, el motor funciona correctamente. Si se activan
dos interruptores, el motor tambin funciona, pero se enciende una alarma indicando un
mal funcionamiento del motor. El motor deja de funcionar cuando los cuatro interruptores
estn activados o desactivados, pero se enciende una lmpara roja indicando que el motor
esta apagado. Disee tericamente el circuito lgico que permite controlar adecuadamente
el funcionamiento del Motor. Obtenga la funcin optimizada mediante el Mtodo grfico de
Karnaugh. Monte el circuito en un Protoboard y compruebe se funcionamiento.
A

0
0
0
0

0
0
0
0

0
0
1
1

0
1
0
1

MOT
OR
0
0
0
1

ALAR
MA
0
0
0
1

LAMPA
RA
1
0
0
0

0
0
0
0
1
1
1
1
1
1
1
1

1
1
1
1
0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1
0
1
0
1

0
1
1
1
0
1
1
1
1
1
1
0

0
1
1
0
0
1
1
0
1
0
0
0

0
0
0
0
0
0
0
0
0
0
0
1

Mapa K para funcin MOTOR

CD
00
01
11
10

AB 00
0

01

11

10

A
C ) +C ( A
D+ A D
) + D( A
B+ B
A)
Fm=B ( A C+
Mapas K para funcin ALARMA
AB 00
CD
00
01
11
10

01

11

10

C D )+ A B ( C D+
C D )+ A B CD+ AB C D

Fal= A B ( C D+
Mapas K para funcin LAMPARA

AB 00
CD
00
01
11
10

01

11

10

ABCD
FL= A B C D+

PROCEDIMIENTO N 3.
Considere la siguiente Tabla de Verdad mostrada. Obtenga la funcin de salida optimizada
mediante el Mapa de Karnaugh y el Mtodo de Quine-McCluskey. Realice el diagrama del
circuito lgico que permite realizar la operacin contenida en la tabla.

Por el mtodo de Karnaugh se tiene que:


F+
B C ( A
D+ A D
)+ B C
(A+ A
D
)+ B
C
( AD + A
D
E)+ A
E ( D
B+ D F ) +CF( B
D+
A D)
F=C
F + B ( A + D
) )+ B(C
( A D+ A D
)+ C
( AD + A
D
E ) )+ A E
(D
B+ D F
) +CF ( B D+
A
D)
F=C(

PROCEDIMIENTO N 4.

Se dispone de un teclado hexadecimal y un Display ctodo comn. Se desea disear un


Circuito Lgico que permita visualizar en el Displays los caracteres alfanumricos pulsados
en el teclado.
A

0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1

D
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

segA
1
0
1
1
0
1
1
1
1
1
1
0
1
0
1
1

segB
1
1
1
1
1
0
0
1
1
1
1
0
0
1
0
0

SegmentoA
CD 00
01
11
10
AB
00
1
0
1
1
01
0
1
1
1
11
1
0
1
1
10
1
1
1
0

AB
D
Fa=B(C+ A)+ A (B D+ BD)+ A C D+
SegmentoB
CD
AB
00
01
11
10

00

01

11

10

1
0
1
1
0
1
1
1
1
0
1
1
1
1
1
0
( A + D
) + D ( A C+ A C ) + A C
D

Fb= B

SegmentoC
AB
CD
00
01
11
10

00

01

11

10

1
1
1
0

1
1
1
1

0
1
0
0

1
1
1
1

segC
1
1
0
1
1
1
1
1
1
1
1
1
0
1
0
0

segD
1
0
1
1
0
1
1
0
1
0
1
1
1
1
1
1

segE
1
0
1
0
0
0
1
0
1
0
0
1
1
1
1
1

segF
1
0
0
0
1
1
1
0
1
1
1
1
1
0
1
1

segG
0
0
1
1
1
1
1
0
1
1
1
1
0
1
1
1

B + A B+
C D+ A B D
Fc= A C + A
SegmentoD
AB
CD
00
01
11
10

00

01

11

10

1
0
1
1
0
1
1
0
1
0
0
1
1
1
1
1

AB C +B C D
Fd=B D+C
D+C
A B+CA
B+

SegmentoE
AB
CD
00
01
11
10

00

01

11

10

1
0
0
1

0
1
1
0
1
0
0
1
1
1
1
0
C D+
ACD+ B
C
D

Fe= AB+ A

SegmentoF
AB
CD
00
01
11
10

00

01

11

10

1
1
1
1
0
1
0
1
0
0
1
1
0
1
1
1
A B+
AC +B C D

Ff =C D+

SegmentoG
AB
CD
00
01
11
10

00

01

0
0
1
1

1
1
0
1

11

10

0
1
1
1
1
1
1
1
A
B C + A B+
A B C
Fg= AB+C D+

PROCEDIMIENTO N 5.
Se dispone de un teclado hexadecimal y dos Displays nodo comn. Se desea disear un
Circuito Lgico que permita visualizar en los displays dos caracteres alfanumricos
pulsados en el teclado. Esto s, al pulsar dos teclas cualesquiera ambos caracteres deben
visualizarse en los displays.
PROCEDIMIENTO N 6. : ROBOT SIGUE LINEA
Disear un circuito digital, capaz gobernar un Microbot, haciendo que ste siga una lnea
negra pintada sobre un fondo blanco.
Sensores: El microbot est dotado de dos sensores digitales capaces de diferenciar el color
negro del blanco. La salida de estos sensores es 0 cuando leen blanco y 1 cuando leen
negro. Denominaremos a este bit como C. Sensor C : Color Blanco 0 y Color Negro 1.
Motores: Dos motores de corriente continua que son controlados cada uno mediante dos
bits, denominados S y P, descritos mediante la siguiente tabla de verdad:

P
0
0
1

S
0
1
0

MOTOR
Parado
Parado
Giro
Izquierda
Giro
Derecha

El bit P, es el bit de Power. Indica si el motor est conectado o no. El bit S, es el del
sentido de giro. Segn su valor el motor girar a la derecha o a la izquierda
(siempre que el motor est activado, con P = 1). Una vez diseado el circuito lgico de
control. Monte el circuito y compruebe su funcionamiento.
SnI
0
0
1
1

SnD
0
1
0
1

Pi
0
1
0
0

Mapas K funcin Pi
SnD
0
1

0
0
1

1
0
0

Si
0
0
1
1

Pd
0
0
1
0

Sd
0
1
1
1


Pi=SnDSni
Mapas K funcin Si
SnD
0
1

Si= SnI

0
0
0

1
1
1

Mapas K funcin Pd
SnD
0
0
0
1
0

Pd=SnDSnI

1
1
0

Mapas K funcin Sd
SnD
0
1

0
0
1

1
1
1

Sd =SnD+ SnI

PROCEDIMIENTO N 7.: SISTEMA DE VOTACIN.


Un sistema sencillo de votacin secreta utiliza un circuito combinacional, cuyas entradas
estn controladas por interruptores que puedan accionar los miembros del jurado. La salida
del circuito ser 0 o 1 , en funcin de cmo hayan puesto los interruptores la mayora
de los miembros del jurado. El sistema debe contener dos tribunales X e Y. El tribunal X

tiene cuatro miembros (A, B, C y D) y el tribunal Y tiene tres miembros ( E, F y G ). El


veredicto deber ser:
-

El del tribunal X en caso de que en este no se produzcan empate

Si se produce empate en el tribunal X, el veredicto ser el del tribunal Y.

El diagrama en bloques muestra la configuracin del sistema de votacin.

A
B

CIRCUITO

C
D
CIRCUITO

E
F
G

CIRCUITO

Z FSALIDA

Tabla de Verdad
A
B
C
D
0
0
0
0
CDA
00
01
11
0
0
0
1
B
0
0
1
0
01
Dy
000
00
1
01
0
Dy
1
0
1
0
0
10
11
011
1Dy
Dy
10
010
10
1
0
1
1
1
1
0
0
0
1
0
0
1
1
0
1
0
1
0
1
1
1
1
0
0
1
1
0
1
1
1
1
0
1
1
1
1
Mapas K funcin Empate

X
0
10 0
0
0 Dy
Dy0
1 Dy
DyDy
1
0
Dy
Dy
1
Dy
1
1
1

Emp
0
0
0
1
0
1
1
0
0
1
1
0
1
0
0
0

Mapas K funcin X

FX =BD ( C+ A ) + AC (B+ D)

CDA
B
00
01
11
10

00

01

11

10

0
0
1
0

0
1
0
1

1
0
0
0

0
1
0
1

B (C
D+C D
)+ A B
(C
D+C D
)+ A
B
CD+ AB C
D

Fe= A

Tabla de Verdad
E
0
0GEF
0
0
11
1
1
1

F
0
0 00
10
1
00
0
1
1

G
Y
0
0
1 01 0
0 0 0
1
1
1
0
0
1
1
0
1
1
1

Mapas K funcin Y
11

10

1
Fy=E ( F +G ) + FG

Tabla de verdad
X
Emp
0
0
0
0
0
1
0
1
YXEm
1
0 00
1p
0
0
1
1 0
11
1 0

Y
Z
0
0
1
0
0
0
1
1
01
0
1 11
1
1
0
0
0 0
1 1 1 1

Mapas K funcin Z(Veredicto)


10
1
1

FZ=EmpY + X Emp

PROCEDIMIENTO N 8. SISTEMA DE CONTROL DE FUNCIONAMIENTO DE


UN SEMFORO CON PRIORIDAD.

En la figura se muestra la interaccin de una autopista principal con un camino de acceso


secundario. Se colocan sensores de deteccin de vehculos a lo largo de los carriles C y D
(camino principal) y en los carriles A y B (camino de acceso). Las lecturas (o salidas) del
sensor son bajas (0), cuando no pasa ningn vehculo, y alta (1) cuando pasa algn
vehculo.
El semforo del crucero se controlar de acuerdo con la siguiente lgica:
a) El semforo E-W estar en luz verde siempre que los carriles C y D estn ocupados.
b) El semforo E-W estar en luz verde siempre que los carriles C o D estn ocupados pero
A y B no lo estn.
c) El semforo N-S estar en luz verde siempre que los carriles A y B estn ocupados pero
C y D no lo estn.
d) El semforo N-S estar en luz verde cuando A y B estn ocupados en tanto que C y D
estn vacantes.
e) El semforo E-W estar en luz verde cuando no haya vehculos transitando.
f) Cuando aparezca una Ambulancia por cualquiera de los carriles, los semforos debern
ponerse en rojo e intermitentes, indicando una emergencia y asi evitar que algn vehculo
se ponga en marcha cuando est pasando la ambulancia. El momento de aparicin de la
ambulancia ser aleatorio, por lo tanto el sistema de control deber darle prioridad a la
ambulancia por tratarse de una emergencia. Esta seal de aparicin de la ambulancia deber
generarse de acuerdo con los dgitos de su cdula de identidad, mediante un circuito
adicional
A
B00 C 01 D 11 E/ 10 N/S
Utilizando las salidas A, B, C y D del sensor como
W
entradas, disee el Circuito Lgico que permita
0
0
0
0
0
0
controlar el funcionamiento del semforo. Debe
0
0
0
1
0
0
haber 2 salidas, N/S y E/W, que pasen a alto
0
0
1
0
1
0
cuando la luz correspondiente se torne verde.
0
0
1
1
0
0
0
1
0
0
0
0
Tabla de la Verdad
0
1
0
1
0
1
Mapas K para funcin E/W
0
1
1
0
0
1
0
1
1
1
0
0
ACD
F= A B C D+
1
0
0
0
0
1
1
0
0
1
0
1
Mapas K para funcin N/S
1
0
1
0
0
1
1
0
1
1
1
0
CDAB 00
01
11
10
1
1
0
0
0
1
00
0
0
1
0
1
1
0
1
0
1
01
0
1
1
1
1
1
1
0
0
1
11
0
0
0
0
1
1
1
1
1
0
10
0
1
1
1
CD
AB
00
0
0
0
0
01
0
0
0
0
11
0
0
1
1
10
1
0
0
0

Am
b
1
1
0
1
1
0
0
1
1
0
0
0
0
0
0
0

D
C D+C

F=B

CDAB
00
01
11
10

00
1
1
1
0

01
1
0
1
0

11
0
0
0
0

10
1
0
0
0

Mapas K para funcin Ambulancia


( C D+CD

B
A
D
) + B C D+
FAmb= A

PROCEDIMIENTO N 9. RETANDO A LOS CURIOSOS


Considere el circuito mostrado. Analice su funcionamiento e identifique cul es la funcin
que realiza.. Obtenga su Tabla de Verdad y la funcin de salida. Realice su Simulacin en
Proteus. Monte el circuito y verifique su funcionamiento. Puede acoplar en la salida
visualizadores 7 segmentos (Displays ).


B)(
F 0=( A+
C+ D)

F 0= A B C D

B
)( C+ D)
F 2=( A+
BC
D

F 2= A

B)( C+
D)
F 4=( A+
B C D

F 4= A

B)(
C+
D)
F 6=( A+

F 6= A BC D

F 8= D
A

B)(
F 1=( A +
C+ D)

C
D

F 1= A B

B)(
+
C+ D)
F 3=( A
D

F 3=AB C

B)(
+
D)
F 5=( A
C+

F 5= A B C D

B)(
+
C+
D)
F 7=( A

F 7=ABC D

A
F9= D

A
F 9= D+

A
F 8= D+

A
0
0
0
0
0
0
0
0
1
1
1
1
1
1

B
0
0
0
0
1
1
1
1
0
0
0
0
1
1

C
0
0
1
1
0
0
1
1
0
0
1
1
0
0

D
0
1
0
1
0
1
0
1
0
1
0
1
0
1

0
1
0
0
0
0
0
0
0
0
0
0
0
0
0

1
0
0
0
0
0
0
0
0
1
0
0
0
0
0

2
0
0
0
0
1
0
0
0
0
0
0
0
0
0

3
0
0
0
0
0
0
0
0
0
0
0
0
1
0

4
0
0
1
0
0
0
0
0
0
0
0
0
0
0

5
0
0
0
0
0
0
0
0
0
0
1
0
0
0

6
0
0
0
0
0
0
1
0
0
0
0
0
0
0

7
0
0
0
0
0
0
0
0
0
0
0
0
0
0

8
1
0
1
0
1
0
1
0
1
1
1
1
1
1

9
1
1
1
1
1
1
1
1
1
0
1
0
1
0

1
1
1
0
0
0
0
0
0
1
1
1
1
0
0
0
0
0
CONCLUSIONES Y RECOMENDACIONES

0
0

0
0

1
0

1
1

1
0

El mtodo de lgebra de Boole y el mtodo de Karnaugh para la simplificacin de


trminos es una herramienta q mejora la eficiencia del circuitos lgicos, y es posible
reducirlos con poco tiempo e incluso usando solo cierto tipo de recursos.
El mtodo de Quine-McCluskey te permite reducir un circuito lgico de n variables,
a la forma de mayor eficiencia posible, sin embargo es un mtodo un poco
engorroso a la hora de ejecutar si una herramienta computarizada, y para el cual se
necesita un mayor consumo de nuestro tiempo.

Vous aimerez peut-être aussi