Vous êtes sur la page 1sur 79

SKP INSTITUTE OF TECHNOLOGY

Department of ECE
EC2404- ELECTRONIC SYSTEM DESIGN LAB
Semester VII
(BATCH 2012-2016)

LAB MANUAL
NAME

: ____________________________

REG.NO

: _____________________________

DEPT

: _____________________________

SEMESTER

: _____________________________

Faculty Details
Name of the Faculty

A.Vigneswaran A.P/ECE & S.Kumaravel A.P/ECE

Designation

Assistant Professor

Department

Electronics and Communication Engineering

Course Details
Name of the Course

B.E

Branch

Electronics & Communication Engineering

Subject code

EC 2404

Title of the subject

Electronic System Design Laboratory

Semester

VII

Batch

2012- 2016

EC-2404 ELECTRONIC SYSTEM DESIGN LABORATORY


SYLLABUS
1. Design of a 4-20 mA transmitter for a bridge type transducer.
Design the Instrumentation amplifier with the bridge type transducer (Thermistor or any resistance variation
transducers) and convert the amplified voltage from the instrumentation amplifier to 4 20 mA current using
op-amp. Plot the variation of the temperature Vs output current.
2. Design of AC/DC voltage regulator using SCR
Design a phase controlled voltage regulator using full wave rectifier and SCR, vary the conduction angle and
plot the output voltage.
3. Design of process control timer
Design a sequential timer to switch on & off at least 3 relays in a particular sequence using timer IC.
4. Design of AM / FM modulator / demodulator
Design AM signal using multiplier IC for the given carrier frequency and modulation index and demodulate
the AM signal using envelope detector. Design FM signal using VCO IC NE566 for the given carrier
frequency and demodulate the same using PLL NE 565.
5. Design of Wireless data modem.
Design a FSK modulator using 555/XR 2206 and convert it to sine wave using filter and transmit the same
using IR LED and demodulate the same PLL NE 565/XR 2212.
6. PCB layout design using CAD
Drawing the schematic of simple electronic circuit and design of PCB layout using CAD
7. Microcontroller based systems design
Design of microcontroller based system for simple applications like security systems combination lock.
8. DSP based system design
Design a DSP based system for echo cancellation, using TMS/ADSP DSP kit.
9. Psuedo-random Sequence Generator
10. Arithmetic Logic Unit Design
5

LIST OF EXPERIMENTS
1.Design of a 4-20 mA transmitter for a bridge type transducer.
(a) Design an instrumentation amplifier using a bridge type transducer. Using op-amp convert the output
voltage from the instrumentation amplifier to current.
(b) Design a high gain amplifier circuit with Bridge type transducer and Plot the variation of the
temperature Vs output current.
(c) Design a Instrumentation amplifier with the bridge type transducer and convert the amplified voltage
from the instrumentation amplifier to 4 20 mA current using op-amp.
(d) Design a 4 20 mA transmitter for a bridge type transducer.
2. Design of AC/DC voltage regulator using SCR
(a) Design a phase controlled voltage regulator using SCR and full wave rectifier and plot the output
waveforms.
(b) Design a phase controlled voltage regulator using full wave rectifier and SCR, plot the output voltage
characteristics for various conduction angle.
(c) Design and construct AC voltage regulators using Silicon controlled rectifier and vary the conduction
angle and plot the output voltage.
3. Design of process control timer
(a) Design a sequential timer to switch on and off 3 relays using timer IC.
(b) Design a sequential timer to switch on & off for minimum of 3 relays in a particular Sequence using
timer IC.
(c) Using IC555 ,design a process control timer with relays & calculate the ON time of the timer .
(d) Design a process control timer and verify its working.
4. Design of AM / FM modulator / demodulator
(a) Conduct an experiment to amplitude modulate a message signal on a high frequency carrier using a
multiplier IC. Demodulate the AM signal using envelope detector.
(b) Design AM circuit using multiplier IC and demodulate the same using envelope detector.
(c) Conduct an experiment to frequency modulate a message signal on a high frequency carrier using a VCO.
Demodulate the FM signal using PLL.
(d) Construct the circuit for frequency modulation using IC 566 & demodulate the same using 565.
(e) Design a FSK modulator using 555/XR 2206 and convert it to sinusoidal waveform using Filter and
transmit the same using IR LED and demodulate the same PLL NE 565/XR 2212.
(f) Design the system with high frequency carrier signal in which the information signal is impressed on the
amplitude of the carrier signal.

(g) Design a FSK modulator and demodulator using suitable ICs.


8

(h) Design a modulation and demodulation systems in which the information signal varies with the
frequency of the carrier signal.
5. Design of Wireless data modem.
(a) Design a wireless data modem using FSK modulation and demodulation. Use IR LED to transmission.
(b) Design and construct wireless data modem using FSK modulator/demodulator.
6. PCB layout design using CAD
(a) Use CAD software to draw the schematic of any simple electronic circuit and design the PCB layout.
(b) Design a PCB layout for a simple electronic circuit of your choice using CAD software.
(c) Draw the schematic of Astable multivibrator, monostable multivibrator and bistable multivibrator circuit
and design its PCB layout.
(d) Draw the schematic of HWR, FWR circuit using Orcad pspice and design the PCB layout.
7. Microcontroller based systems design
(a) Using a microcontroller, design a system for security applications.
(b) Design a microcontroller based system for home security Systems.
8. DSP based system design
(a) Using a TMS / ADSP DSP kit, design an echo cancellation system.
(b) Write a program to perform echo cancellation and execute it using TMS/ADSP kit.
9. Psuedo-random Sequence Generator
(a)Write a program in Verilog and simulate with Xilinx software to implement a Pseudo random Binary
Sequence generator.
(b) Design and implement a pseudo random binary sequence generator using suitable flipflops and logic
gates.
(c)Design a Psuedo random sequence generator using suitable ICs.
(d) Write and Simulate a program to generate maximum length sequence codes using shift register and XOR
gate.
10. Arithmetic Logic Unit Design
(a) Write a program in Verilog to simulate and implement an ALU using Xilinx.
(b) Design and implement an ALU using suitable ICs and logic gates.
(c) Design a Arithmetic and Logic unit using suitable ICs.
(d) Write a program in verilog to simulate and implement an unit which is used to perform arithmetic and
logical operation.

INDEX
S.No

Date

Experiment

Page.No

10

Mark

Signature

11

1. DESIGN OF AN INSTRUMENTATION
AMPLIFIER
AIM:
To design, construct and test an instrumentation amplifier using IC 741 and vary its
gain from 1 to 100.

.
APPARATUS REQUIRED:

S.NO Name of the Apparatus

Range

Quantity

IC 741

Operational Amplifier
12

Resistor

10k,1k,
150

DRB

4
5

Bread Board & Connecting wires As


required
Dual Power Supply

Rheostat

Multimeter

(0-100)

10,4
1

1
1

THEORY:
Instrumentation amplifier is generally required in any measurement system using
electrical transducers to enhance signal levels often in low voltage less than mV. Also it is
required to provide impedance matching and isolation. When the desired input rides over a
common mode signal special amplifier are needed so that difference signals get amplified to
an acceptable level while the common mode signals get attenuated. The physical quantities
can be converted into electrical quantities by using transducer. The output of the transducer
needs to be amplified to get the meter readings. This amplification is done by using
instrumentation amplifier. The output of instrumentation amplifier drives of indicator or
display system. The important features of an instrumentation amplifier are high gain
accuracy, high CMRR, high gain stability with low temperature co-efficient, low dc offset,
13

low output impedance. Low input impedance may load the signal source heavily. Therefore
high resistance buffer is used preceding each input to avoid this loading effect. For V1 =V2
under common mode condition. If V 2 =V2 and V1 =V1 both the operational amplifiers act
as voltage follower

CIRCUIT DIAGRAM:
BRIDGE CIRCUIT:

14

INSTRUMENTATION AMPLIFIER:

15

V to I CONVERTER:

16

DESIGN:
Output voltage VO = (1 + ( 2R / R)) (V2 -V1)
Differential gain Ad = VO / (V2 - V1)
= 1 + (2R / R)
Choose R = 10k
For Ad max = 100
100 = 1 + (20k/R)
20K
R = --------99
R max = 200
.
17

For Ad min = 10
10 = 1 + (20k/R)
R min = 2.2K.
IL = I1+I2
I1 = (V-(V0/2)) / R
I2 = (V0-(V0/2)) / R
IL=(V-(V0/2)+(V0-(V0/2))/R=(V-V0+V0)/R = V/R
IL is independent of RL. If R is constant then IL V
PIN DIAGRAM:

PROCEDURE:
1. The connections are made as per the circuit diagram.
18

2. The bridge circuit was balanced by varying 100 Rheostat.


3. The output voltage V1 and V2 of balanced circuit were given as input to the op-amp A1
and A2.
4. Varying the resistance R1 the bridge circuit the voltage V1 and V2 were varied.
5. Varying the R the output voltage was measured then the differential gain was calculated
using formula A=20 log (VO/(V2 -V1)).

OUTPUT TABULATION:
S.
NO

Temperatures
(0C)

Output Voltage
(volt)

1
2
3
4
5
6
7
8
9
10

19

Output Current
(MA)

20

RESULT
Thus the physical quantities are converted into electrical quantities and by using
electrical quantities instrumentation amplifier was designed, constructed and outputs were
verified.

21

22

2. AC/DC VOLTAGE REGULATOR USING SCR

AIM:
1. To design, construct and test a AC voltage regulator using SCR.
2. To design, construct and test a DC voltage regulator using SCR.

APPARATUS REQUIRED:
S.NO
1
2
3
4
5
6
7

COMPONENTS
Transformer
SCR
Diode
Resistor
Capacitors
Regulator Ic
Bread Board

RANGE
230V /12V
2P4M
BY 127 ,IN4001
10k,100k,1m,12k
1000f
7812
-

QUANTITY
1
3
2,4
2,2,2,1
2
1
1

THEORY:
If the SCR is connected to ac supply and load, the power flow can be controlled by
varying the rms value of ac voltage applied to the load and this type of power circuit is
caused as ac voltage regulator. Application of ac voltage regulator is in heating on load
transformer for changing light control for power transfer. Ac regulators are those converters
which convert fixed ac voltage directly to variable ac voltage of the same frequency. The
load voltage is regulated by controlling the firing angle of SCRs.ac voltage controllers are
thyristor based devices.
If SCRs are used to convert an ac voltage into dc voltage then they are known as
dc voltage regulators.Eg: Battery changes for high current capacity batteries in dc voltage
control only phase control is used.
The transformer is used to step down the voltage from 230v to 24v.This is given as
input to bridge rectifier. The bridge rectifier converts incoming ac signal to unidirectional
23

wave. Therefore we get full wave rectifier output at the output of bridge rectifier. The gate of
SCR is triggered with firing angle of alpha. during positive half cycle, diode D3 and D4
conducts. The full wave rectifier output is given to capacitive if SCRs are used to convert
into dc voltage then they are known as dc voltage regulator.

PROCEDURE:
AC VOLTAGE REGULATOR
1. Connections are made as shown in the circuit diagram.
2. The supply is given by means of step down transformer.
3. If the transformer output is given to the SCR1 and SCR2.
4. Keep the load resistance make a constant.
5. To vary the gate resistance value output taken at SCR1 and SCR2.

DC VOLTAGE REGULATOR:
1. Connections are made as shown in the circuit diagram.
2. The supply is given by means of step down transformer.
3. If transformer output is given to the bridge circuit, the bridge circuit converts the AC to
DC.
4. The bridge circuit output is given to the SCR, then output of SCR is given to the IC 7812.
5. The output of IC 7812 to maintain the constant of 12v by using the load resistance.
6. The output is taken by the load terminal.

24

CIRCUIT DIAGRAM
AC VOLTAGE REGULATOR:

25

DC VOLTAGE REGULATOR:

PIN DETAILS:

26

MODEL GRAPH:
AC VOLTAGE REGULATOR:

DC VOLTAGE REGULATOR:
TABULATION:
AC VOLTAGE REGULATOR:
S.NO

RESISTANCE VALUE

1
2
3

DC VOLTAGE REGULATOR:
27

CONDUCTION
ANGLE

O/P
VOLTAGE

S.NO

RESISTANCE
VALUE

1
2

28

CONDUCTION
O/P
ANGLE
VOLTAGE

RESULT:
Thus the both AC and DC voltage regulators were designed constructed and the
output waveforms wereobserved.
29

30

3. DESIGN OF PROCESS CONTROL TIMER


AIM:
To determine Sequential timer of the process control timer in which
many timing operations carried out sequentially one by one by using IC 555 and IC 4017.

APPARATUS REQUIRED:
S.NO NAME OF APPARATUS

RANGE

QUANTITY

IC 555

IC 4017

Variable resistor

100k

Resistor

1k

Capacitor

1nf

1000nf

Transformer

230v/6v

Diode

IN4007

LED

As required

DESIGN:
This relay should be energised for 1 sec.
ON Time TH=1.1*R*C
Here we design for 1 sec.
By choosing the value of R=100k
The value of C approximated to C=10f
RA=RB=RC=R=100k
CA=CB=CC=C=10f

THEORY:
31

The analog timer circuit shown in the diagram consists of IC 555 and IC 4017
connected with proper biasing. The relay circuit is designed to operate at operating voltage
Vopr which is given by
Vopr = VCC (1-e-t/RC ) + 2 diode drops
Where VCC supply voltage
t time period
R and C are the values of biasing resistor and capacitor. Also VC = VCC (1-e-t/RC )
When the supply voltage VCC (ranging from 13 to 14V) is given to the circuit, device A
is turned ON. The current flowing through the circuit charges the biasing capacitor upto a
voltage equal to sum of relay operating voltage and the two diode drop of this voltage is
reached. Once this relay lead the switch positions the time taken by the analog timer to
switch from one device to another is calculated, whose theoretical value is 6 sec.

PROCEDURE:
1.

Connections are given as per the circuit diagram.

2. Now supply voltage of 230V AC supply is given.


3. The transformer stepdown the 230v AC / 6v DC supply.
4. Now the 6v DC supply enters in to the circuit.
5. A variable resistor of 100k is used to vary the speed of the LED in the circuit.
6. Time taken to switch ON the LED is noted.
7. A sequence of operation of is decided by the pulse received from the 555 circuit.
8. An Ic 4017 a CMOS Ic is a Jhonson counter carry out the sequence of operation.
9. An LED can be replaced by a relay to carry out an operation.

32

CIRCUIT DIAGRAM:

RESULT:
Thus the analog timer was designed using relay.
Theoretical value of time taken = --------Practical value of time taken = -----------

33

34

4 (a). DESIGN OF AM MODULATION AND DEMODULATION


AIM:
To design the AM modulation using multiplier IC and Demodulate the modulate signal
using same IC.

APPARATUS REQUIRED:
S.NO

Components

Range

Quantity

IC

MC14967&MC1596

Each 1

2
3

Transistor
Resister

4
5
6

Capacitor
FG
CRO &Bread
board

BC107
1K,10K,100K,600,680,6.8K,
50K,3.9K,750,
3.3K,51
0.1micro f
-

2
11,2,1,1,2,2,
2,4,1,
1,3
9
2
Each 2

Connecting
wires

few

THEORY:
Amplitude Modulation:
The
amplitude
of
carrier
wave
is
varied
in
accordance
with the instantaneous values of message signal is called amplitude modulation. The
bandwidth of the AM is twice the bandwidth of the base band signal. The amplitude
modulation wave also produces two side bands (Upper and Lower).
The extent of amplitude variation in AM about un modulated carrier amplitude is
measured in terms of a factor called modulation index defined as the ratio of modulating
signal amplitude to carrier amplitude. This factor also known as depth of modulation, degree
of modulation and modulation factor(ma).
If ma<1 then the modulation is called under modulation, ma>1 then the
modulation is called over modulation, ma=1 then the modulation is called critical
modulation.

35

AM Demodulation:
It is the process of extracting the message signal by using a same carrier that was used
for modulation from the modulated signal.
The most commonly used AM detector is simple diode detector. The signal at the
secondary is half wave rectified by diode D. This diode is the detector diode the resistance
R is the load resistance to rectifier and C is the filter capacitor. In the positive half cycle of the
AM signal diode conducts and current flows through R, where as in negative half cycle,
the diode is reverse biased and no current flows. Therefore only positive half of the AM
signal appears across R. Capacitor reconstructs the original modulating signal and high
frequency carrier is removed.

PROCEDURE:
1. Connections are made as per the circuit diagram.
2. Give the modulating signal to the 10th pin from the FG.
3. Give the carrier signal to the 10th pin through capacitor from another FG.
4. Note down the AM signal from pin no 6.
5. Choose the amplitude level of the convertor keeping frequency constant
modulation was calculated.
6. Give AM signal to pin no 1 of the demodulator circuit.
7. Note down the demodulator signal at pin no 2.

36

depth of

AM MODULATION

MODEL GRAPH

37

AM DEMODULATION

MODEL GRAPH

TABULATION:
Signal
Message signal

Amplitude (volt)

Carrier signal
Modulated signal
Demodulated signal

38

Time (sec)

RESULT:
Thus the AM modulation and demodulation using multiplier IC was successfully done.

39

40

4 (b). DESIGN OF FM MODULATION AND DEMODULATION


AIM:
To design the FM modulation using VCO IC and Demodulate the modulate signal using
NE565.

APPARATUS REQUIRED:
S.NO

Components

Range

IC

XR 2206 & NE 565

Each 1

Resister

Each 1
2

3
4
5

Capacitor
FG
CRO &
Bread board

100K,22K,4.7K,47K,0.7K,10K,
150
,560
100uf,10uf,1uf,0.01uf
-

Connecting
wires

Quantity

1,2,1,2
2
2
few

THEORY:
Frequency Modulation:
Frequency modulation is the process of varying the frequency of a
carrier wave in proportion to the instantaneous amplitude of the modulating signal without
any variation in the amplitude of the carrier wave. Because the amplitude of the wave remains
unchanged, the power associated with an FM wave is constant.
When the modulating signal is zero, the output frequency equals f c
(centre frequency).When the modulating signal reaches its positive peak, the frequency
of the modulated signal is maximum and equals(f c + fm). At negative peaks of the modulating
signal, the frequency of the FM wave becomes minimum and equal to (fc - fm).Thus, the
process of frequency modulation makes the frequency of the FM wave to deviate from its
centre frequency(fc).By an amount ( + or - f) where f is termed as the frequency deviation of
the system.
During this process, the total power in the wave does not change but a part of the carrier
power is transferred to the side bands. There are two types of FM they are
1. Narrow band FM
2. Wide band FM
Fm demodulation:
Frequency demodulation is the process of extracting the original signal from the
modulated FM signal using the same carrier frequency which is used to modulate the message
signal.
41

PROCEDURE:
1. Connections are made as per the circuit diagram.
2. Give the modulating signal to the 5th pin from the FG.
3. Note down the corresponding amplitude and time period of FM

modulated signal

from the output pin no 2.


4. Give the modulated output to the input of demodulated circuit using PLL at pin no 2.
5. Note down the corresponding amplitude and time period of FM
from the output pin no 7.
6. Draw the graph using the tabulated value.

42

demodulated signal

FM MODULATION:

MODEL GRAPH:

43

FM DEMODULATION:

MODEL GRAPH:
Demodulated output

TABULATION:
Signal
Message signal

Amplitude (volt)

Time (sec)

carrier signal
modulated signal
Demodulated signal

44

RESULT:
Thus the design of FM modulation using VCO XR2206 IC and Demodulate the
modulate signal using NE565 was successfully done.

45

46

5. WIRELESS DATA MODEM


AIM:
To design, construct and test wireless data modem using FSK modulator (IC555) and
FSK demodulator (IC555)

APPARATUS REQUIRED:
S.NO

Name of the Apparatus

Range

Quantity

IC

555

1 m,
2.2k,
39k,
220

2
1
2

Resistor

DRB Box

Capacitor

0.1F,
0.01F,
1F

2
1
1

IR Transmitter and Receiver

Function Generator

CRO

47

THEORY:
FREQUENCY SHIFT KEYING:
A digital-to-analog modulation technique. Data is transmitted by shifting between two
close frequencies with ones represented by one frequency and zeroes by the other. The
modem also converts incoming FSK signals to digital low and high states, which the
computer can understand. Whenever the message or information signal rides over the
carrier it is called modulation. In electrical sense the operation of riding over the amplitude
of carrier means to alter the amplitude of carrier. This is called amplitude modulation of the
carrier. Thus the message signal becomes the modulating signal and it is transmitted by
variations in the amplitude of the carrier.
The transmission media suffers three major problems
A. Attenuation
B. Distortion
C. Noise
Due to these inherent problems, it is very difficult to have wide range offrequency in
the signals that are transmitted. Therefore to transmit data over wireless medium, it is
necessary to use a modulator which restore the number of frequency in the transmitted signal
by employing digital modulation techniques like ASK, FSK or PSK. Also Binary PSK with
non-coherent detection can also be employed. A modem is a device that takes the digital
electrical pulses from a terminal or computer and converts them into continuous analog
signal that is used for transmission. The binary FSK technique is employed for modulating
the digital signals. IC 555 timer and transistor acting as switch, when the device acts as
transformer. IC 555 can be used for demodulator.

48

MODULATOR CIRCUIT:

49

DEMODULATOR CIRCUIT:

50

PIN DIAGRAM:

OBSERVATION:
MODULATOR
Amplitude =

ON time TH =

ms

OFF time TL=

ms

Frequency f =

Hz

DEMODULATOR:
Amplitude =

ON time TH = ms
OFF time TL=

ms

Frequency f =

Hz

51

MODEL GRAPH:

PROCEDURE:
1. Connect the fsk modulated circuit (IC555)as per the circuit diagram.
2. And transmit the modulated signal using IR LED.
3. Receive the transmitted signal using by using IR RECEIVER.
4. And demodulate the received signal using demodulator circuit(IC555)
5. Take the reading and plot the graph

52

RESULT:
Thus the construct and test wireless data modem using FSK modulator(555) and FSK
demodulator (555) was verified.
53

54

6. PCB LAYOUT DESIGN USING EXPRESSPCB


AIM:
To design PCB layout for electronic buzzer using ExpressPCB.

SOFTWARE REQUIRED:
EXPRESSPCB
EXPRESSSCH

PROCEDURE :
STEPS INVOLVED IN EXPRESS SCH:
1. Open ExpressSCH icon from the desktop and then Open new file and save the file
with an appropriate name.
2. Click the component tab in the ExpressSCH screen and select the Component &
symbol manager from the drop down list. Click the find tab to select the appropriate
component list from the display box. Once the component has been selected click the
insert into schematic tab to insert the particular component.
3. Place the selected components like resistor, capacitor and transistor in the schematic
screen.
4. Draw the schematic circuit on the screen as given in the circuit diagram with the
necessary components selected.
5. Connect the circuit by using place a wire icon from the schematic screen toolbar
6. Check the error by check schematic for netlist errors in the file drop down list
7. On checking the schematic error it will display the pop up message with no
errors were found. Then save the schematic file with appropriate file name.

STEPS INVOLVED IN EXPRESSPCB:


1. Open expressPCB icon from the desktop. The expressSCH is linked to the
expressPCB by clicking link schematic to PCB in file.
2. Select the components by clicking component tab and then click the component
manager from the drop down list and find for the necessary components from the
find tab and insert the component to the screen.
3. Draw the circuit on the screen as given in the circuit diagram.
55

4. The connections to be made can be found by highlight net connections icon


from the tool bar and then connect the circuit by using the place a wire.
5. Now select the place a rectangle from the tool bar and then place it over the
circuit created to view the circuit clearly.

EXPRESS SCHEMATIC DIAGRAM:

EXPRESS PCB DIAGRAM:

56

RESULT:
Thus the schematic diagram and PCB layout of an electronic buzzer was
designed by using EXPRESSPCB.

57

7. MICROCONTROLLER BASED SYSTEM DESIGN


58

AIM:
To design a traffic light controller to control the traffic system using 8051
microcontroller

APPARATUS REQUIRED:
1. Micro controller 8051 kit
2. Power supply
3. Key board
4. Rs 232 interface bus
5. Traffic control kit
THEORY:
The traffic control in which the signaling lights are simulated by blinking or ON-OFF
control of the light emitting diodes. The signaling lights for pedestrian crossing are
simulated by the ON-OFF control of dual color light emitting diode. A model of four lane is
shown. RE D-STOP,GREEN-GO,YELLOW-READY are the signaling indicators. Twelve
LEDs are used.
PROCEDURE:
1. Connect traffic lignt controller to the micro controller trainer
2. Enter the following program from user RAM address 4100H
3. After checking the program, execute the same.
4. We can see the simulation of traffic lignt control.
5. We can adjust the time delay according to the traffic density.

DIAGRAM:

59

PROGRAM:
ADDRES
S

OPCOD
E

PROGRA
M
60

COMMENT
S

ADDRES
S

OPCOD
E

PROGRA
M
61

COMMENT
S

62

RESULT:
Thus the microcontroller based system has been designed and its output verified

63

8. DSP BASED SYSTEM DESIGN FOR ECHO CANCELLATION


64

AIM
To write and simulate echo-cancellation program by using matlab.

APPARATUS REQUIRED
Matlab software.
Personal computer.

THEORY
ECHO-CANCELLATION:
The term echo cancellation is used in telephony to describe the process of
removing echo from a voice communication in order to improve voice quality on a telephone
call. In addition to improving subjective quality, this process increases the capacity achieved
through silence suppression by preventing echo from traveling across a network.
TYPES OF ECHO:
1. Acoustic echo
2.Hybrid echo
ACOUSTIC ECHO
The acoustic echo occurs due to sound coning from loud speakers. The acoustic echo along
with the original voice signal enters into direct path. The echo signal

will have change in frequencies due to absorption and varied frequencies at different
strengths. To suppress the signal the far end signal is delivered into the room by using a
speaker and delayed to reassembled a near end signal. By subtracting both the signals we will
obtain the echo free signal.
HYBRID ECHO:
Due to reflection of the electrical energy by public switched telephone
networks the hybrid echoes are generated. Because PSTN allows the same frequencies to be
generated so to suppress these echoes we employ full bandwidth cancellation method by
which the original speech signal is reassembled.

65

PROGRAM:
M = 4001;
fs = 8000;
[B,A] = cheby2(4,20,[0.1 0.7]);
Hd = dfilt.df2t([zeros(1,6) B],A);
hFVT = fvtool(Hd); % Analyze the filter
set(hFVT, 'Color', [1 1 1])
H = filter(Hd,log(0.99*rand(1,M)+0.01).* ...
sign(randn(1,M)).*exp(-0.002*(1:M)));
H = H/norm(H)*4;
% Room Impulse Response
plot(0:1/fs:0.5,H);
xlabel('Time [sec]');
ylabel('Amplitude');
title('Room Impulse Response');
set(gcf, 'Color', [1 1 1])
load nearspeech
n = 1:length(v);
t = n/fs;
plot(t,v);
axis([0 33.5 -1 1]);
xlabel('Time [sec]');
ylabel('Amplitude');
title('Near-End Speech Signal');
set(gcf, 'Color', [1 1 1])
p8 = audioplayer(v,fs);
playblocking(p8);
load farspeech
x = x(1:length(x));
dhat = filter(H,1,x);
plot(t,dhat);
axis([0 33.5 -1 1]);
xlabel('Time [sec]');
ylabel('Amplitude');
title('Far-End Echoed Speech Signal');
set(gcf, 'Color', [1 1 1])
p8 = audioplayer(dhat,fs);
playblocking(p8);
d = dhat + v+0.001*randn(length(v),1);
plot(t,d);
axis([0 33.5 -1 1]);
xlabel('Time [sec]');

66

ylabel('Amplitude');
title('Microphone Signal');
set(gcf, 'Color', [1 1 1])
p8 = audioplayer(d,fs);
playblocking(p8);
mu = 0.025;
W0 = zeros(1,2048);
del = 0.01;
lam = 0.98;
x = x(1:length(W0)*floor(length(x)/length(W0)));
d = d(1:length(W0)*floor(length(d)/length(W0)));

% Construct the Frequency-Domain Adaptive Filter


hFDAF = adaptfilt.fdaf(2048,mu,1,del,lam);
[y,e] = filter(hFDAF,x,d);
n = 1:length(e);
t = n/fs;
pos = get(gcf,'Position');
set(gcf,'Position',[pos(1), pos(2)-100,pos(3),
(pos(4)+85)])
subplot(3,1,1);
plot(t,v(n),'g');
axis([0 33.5 -1 1]);
ylabel('Amplitude');
title('Near-End Speech Signal');
subplot(3,1,2);
plot(t,d(n),'b');
axis([0 33.5 -1 1]);
ylabel('Amplitude');
title('Microphone Signal');
subplot(3,1,3);
plot(t,e(n),'r');
axis([0 33.5 -1 1]);
xlabel('Time [sec]');
ylabel('Amplitude');
title('Output of Acoustic Echo Canceller');
set(gcf, 'Color', [1 1 1])
p8 = audioplayer(e/max(abs(e)),fs);playblocking(p8);

67

OUTPUT:

68

RESULT:
Thus the DSP based echo-cancellation program was simulated successfully by
using Matlab.

69

9. PSEUDO RANDOM SEQUENCE GENERATOR


70

AIM:
To design the Pseudo Random sequence Generator circuit to generate the random
sequence using Ic 7474.
Components Required:
S.NO Description of Components
1
IC 7474
2
IC 7486
3
IC Trainer kit &connecting Wires

Qty
3
1
-

THEORY:
Pseudo random binary sequence is essentially a random sequence of binary numbers.
So PRBS generator is nothing but random binary number generator. It is random in a sense
that the value of an element of the sequence is independent of the values of any ofthe other
elements. It is 'pseudo' because it is deterministic and after N elements it startsto repeat itself,
unlike real random sequences.
The implementation of PRBS generator is based on the linear feedback shift register
(LFSR). The PRBS generator produces a predefined sequence of 1's and 0's, with 1 and 0
occurring with the same probability. A sequence of consecutive n*(2^n -1) bits comprise one
data pattern, and this pattern will repeat itself over time.
Now the taps 1, 2, 4 and 15 were taken out and XORed together and then was fed back
to the first bit as an input to the shift register. The output to the PRBS generator was taken
from all the 8- bits of the shift register. Thus the output of the PRBS generator cycles
between 0 to 65535.

CIRCUIT DIAGRAM:

71

IC 7486 PIN DIAGRAM:

IC 7474 Pin Diagram

72

PIN DETAILS Of 7474:

PIN DETAILS
Pin Number

Description

Clear 1 Input

D1 Input

Clock 1 Input

Preset 1 Input

Q1 Output

Complement Q1 Output

Ground

Complement Q2 Output

Q2 Output

10

Preset 2 Input

11

Clock 2 Input

12

D2 Input

13

Clear 2 Input

14

Positive Supply

PROCEDURE:
1.Connect the circuit as per the Circuit diagram.
2.Connect the Input ,CLK and PRESET signals.
3.Connect all the output lines to the LED.
4.Switch on the power supply and input.
5.Tabulate the outputs(D0-D2) for various clock signals.

73

Tabulation:
Din

CLK

PRESET

D0

74

D1

D2

RESULT:
Thus, the Pseudo Random sequence Generator was designed and implemented
successfully.

75

76

10. DESIGN OF ARITHMETIC LOGIC UNIT


AIM:
To design ALU using IC 74181.
APPARATUS REQUIRED:

S.No Description Of Components


1. 74181
2.

Digital Trainer Kit

QUANTITY
1No

1No

THEORY
Arithmetic Logic Unit (ALU) is a digital circuit that performs arithmetic and logical
operations. The ALU is a fundamental building block of the central processing unit (CPU) of
a computer, and even the simplest microprocessors contain one for purposes such as
maintaining timers. An ALU must process numbers using the same format as the rest of the
digital circuit. ALUs for each one of these numeric systems had different designs, and that
influenced the current preference for two's complement, as this is the representation that
makes it easier for the ALUs to calculate additions and subtractions. Most of a processor's
operations are performed by one or more ALUs. An ALU loads data from input registers, an
external Control Unit then tells the ALU what operation to perform on that data, and then the
ALU stores its result into an output register. Other mechanisms move data between these
registers and memory.
Digital design is an amazing and very broad field. The applications of digital design are
present in our daily life, including Computers, calculators, video cameras etc. In fact, there
will be always need for high speed and low power digital products which makes digital
design a future growing business. ALU (Arithmetic logic unit) is a critical component of a
microprocessor and is the core component of central processing unit. Furthermore, it is the
heart of the instruction execution portion of every computer. ALUs comprise the
combinational logic that implements logic operations, such as AND and OR, and arithmetic
operations, such as ADD and SUBTRACT.
PROCEDURE:
1.
2.
3.
4.
5.

The connections are made as per the circuit diagram


By using the truth table status signals are given.
By setting up of status signal arithmetic, logic operations are carried out.
The i/ps are given to the pin number assigned.
The results are noted from the output.
77

PIN DIAGRAM:

CIRCUIT DIAGRAM:

78

TRUTH TABLE:

RESULT:
Thus ALU designed using IC 74181 and its outputs were tested.
79

Vous aimerez peut-être aussi