Vous êtes sur la page 1sur 30

Core 2 Duo

Core 2 Duo Este


Core 2 Duo
microprocesador
implementa 2 MiB de
cach compartida para
ambos ncleos ms un
bus frontal de 667 553
MHz; adems implementa
el juego de instrucciones
SSE3 y mejoras en las
unidades de ejecucin de
SSE y SSE2. Sin
embargo, el desempeo
con enteros es ligeramente
Procesador de doble ncleo.
inferior debido a su cach
con mayor latencia,
adems no es compatible con EM64T por lo que slo trabaja a 32 bits.
El Core Duo contiene 151 millones de transistores, incluyendo a la memoria cach
de 2 MB. El ncleo de ejecucin del procesador contiene un pipeline de 12 etapas
con velocidades previstas de ejecucin entre 1,06 y 2,50 GHz. La comunicacin
entre la cach L2 y los dos ncleos de ejecucin es controlada por un mdulo de
bus rbitro que elimina el trfico de coherencia a travs del bus frontal (FSB), con
el costo de elevar la latencia de la comunicacin de ncleo-a-L2 de 10 ciclos de
reloj (en el Pentium M) a 14 ciclos de reloj. El incremento de la frecuencia de reloj
contrapesa el impacto del incremento en la latencia.

Contenido
[ocultar]

1 Algo de Historia

2 El primer nucleo

3 Mdulos de memoria sncronos

4 Caractersticas y Beneficios

5 Eficiencia Energtica

6 Diferencia entre Core 2 Duo y Dual Core

7 Errores de los chips de Intel

8 Fuentes

Algo de Historia

Intel

Los equipos de desktop equipados con la familia de procesadores Intel Core 2


brindan un desempeo ms rpido, ms eficiencia energtica y un desempeo en
multitareas que brinda mayor capacidad de respuesta, as su compaa podr
lograr ms productividad al combinar velocidades de procesamiento estndar con
caractersticas de ahorro energtico, le permiten hacer ms en menos tiempo,
reduciendo los costos energticos en un promedio del 50 por ciento.
Caractersticas y beneficios Core 2 Duo de Intel es uno de los procesadores ms
elegidos a la hora de comprar una PC; pero no todos saben qu es exactamente.
La idea principal es que al tener 2 ncleos, puede procesar varias cosas al mismo
tiempo, y no estn errados. Pero, muchos nos pueden decir que antes, con un slo
ncleo, tambin se poda procesar dos cosas al mismo tiempo (Firefox y
Photoshop por ejemplo). Sin dudas que pareca que si, pero la realidad es que
antes se aplicaba el concepto de multiprogramacin, en donde el procesador
dedicaba una cantidad de tiempo determinada para la ejecucin de un proceso,
sin que el usuario se entere de esto.

Microprocesador

En cambio, con el Core 2 Duo (o cualquier otro procesador de dos ncleos, como
el X2 de AMD), procesa realmente 2 procesos al mismo tiempo, y cada ncleo,
adems, es capaz de aplicar multiprogramacin. Volviendo a Intel, se ha creado
una pgina muy divertida, que intenta explicar con videos y de la manera ms
sencilla, el por qu nos conviene comprar un Intel Core 2 Duo. La pgina se llama
Duo sin Lmites, y actualmente cuenta con 4 episodios de hora pico elevador; en
los cuales explican de manera muy divertida el funcionamiento de un procesador,
ms especficamente del Core 2 Duo.

El primer nucleo
Intel ya tiene nombre para la nueva serie de procesadores que en cierta forma
suceden a los Core Duo a los que todava no nos hemos terminado de
acostumbrar. Sern los Core 2 Duo, y estarn disponibles en principio para
verano, antes de lo esperado. Con esta denominacin, Intel pone marca (cosa que
ltimamente les gusta mucho) a sus procesadores Conroe ( para sobremesas) y
Merom (para porttiles), los cuales se diferencian a grandes rasgos en el menor
consumo de los destinados a los porttiles. Con esta nueva serie de
procesadores, Intel introduce una novedad significativa: un nmero en cada chip
que informa sobre el consumo que tiene. Por ejemplo un procesador Core 2 Duo
E6800 indicar un consumo de entre 55 y 75 watios, algo considerado normal por
Intel para procesadores de sobremesa mientras que un Core 2 Duo T5400
representara un consumo de entre 25 y 55 watios, estndar de los porttiles.
El primer ncleo de procesador de la marca Intel, Core 2 Duo, nombre en clave
Conroe (cdigo de producto de Intel 80557), fue lanzado el 27 de julio de 2006 en
Fragapalooza, un evento ldico anual en Edmonton, Alberta, Canad. Estos
procesadores fueron fabricados en placas de 300mm usando un proceso de
manufacturacin de 65nm, y optimizados para ordenadores de sobremesa,
reemplazando las CPUs Pentium 4 y Pentium D. Intel ha declarado que el ncleo
Conroe proporciona un 40% ms de potencia con un consumo un 40% menor.
Todos los ncleos Conroe son fabricados con 4 MB de cach de nivel 2(L2), en
cualquier caso debido a defectos de fabricacin o para hacer ms rentable su
comercializacin, las versiones E6300 y E6400 basados en este ncleo, tienen la
mitad de su cach deshabilitada, dejndolos con solo 2 MiB tiles de cach de
nivel 2. Las CPUs E6300 y E6400 basados en el ncleo Conroe tienen el B2 con

stepping (secuenciacin). Los modelos altos de la gama, E6300 (1,86 GHz) y


E6400 (2,13 GHz) ambos con un FSB de 1066 MHz fueron presentados el 27
de julio de 2006. Tradicionalmente, las CPUs de la misma familia con menor cach
simplemente tienen la cach restante deshabilitada, permitiendo su venta un
precio ms bajo debido a estas taras. De este modo las mejoras se reducen a
reemplazarlos por versiones que solo tienen la cach que se necesita en el ncleo
idntico, para abaratar los costes de produccin. En su lanzamiento, el precio de
Intel para los procesadores core 2 Duo E6300 y E6400 fueron de 183 y 224
dlares americanos respectivamente. Las CPUs Conroe tienen mejores
prestaciones sobre los modelos anteriores con velocidades de procesamiento
similares. Segn las revisiones, la mayor cach de 4 MB de nivel 2 contra la menor
cach de 2 MiB L2 a la misma frecuencia y el mayor FSB pueden proveer de un
beneficio de funcionamiento del 0-9% en algunas aplicaciones y del 0-16% para
algunos juegos.[9 Requerimientos de sistema Conroe, Conroe XE y Allendale usan
el Socket LGA775; no obstante, no todas las placas base soportan todos los
procesadores.
Los chipsets soportados son:
Intel: 865PE/G/GV/G, 945P/PL/G/GZ/GC, 965P/G, 975X, P/G/Q965, Q963,
946GZ/PL, P3x, G3x, Q3x, X38, X48, P4x , 5400 Express.
NVIDIA: nForce4 Ultra/SLI X16 para Intel, nForce 570/590 SLI para Intel, nForce
650i Ultra/650i SLI/680i LT SLI/680i SLI y nForce 750i SLI/780i SLI/790i SLI/790i
Ultra SLI.
VIA: P4M800, P4M800PRO, P4M890, P4M900, PT880 Pro/Ultra, PT890.
SiS: 662, 671, 671fx, 672, 672fx
ATI: Radeon Xpress 200 y CrossFire Xpress 3200 para Intel Vea tambin: Lista
de chipsets Intel El actual Yorkfield XE, modelo QX9770 (45 nm con FSB de 1600
MHz) tiene compatibilidad slo con algunos chipsets: con X38, P35 (con
overclocking) y algunos de alto rendimiento como X48 y P45. De manera
escalonada se liberan actualizaciones para BIOS que habilitan el soporte para la
nueva tecnologa Penryn, y el nuevo QX9775 es compatible nicamente con
D5400XS an y su placa base puede manejar dos de ellos. A pesar de que una
placa base posea el chipset necesario para soportar el ncleo Conroe, algunas de
ellas no lo soportan. Esto lo causa el requerimiento de estos procesadores de
energa, que se especifica en el Voltage Regulator-Down (VRD) 11.0. Este
requerimiento es el resultado del menor consumo de los ncleos Conroe,

comparado con los Pentium 4 y D a los que reemplaza. La mayora de las placas
soportan los ncleos Conroe con una simple actualizacin de la BIOS que permita
reconocer el FID (Frequency ID) de los Conroe y el VID (Voltaje ID).

Mdulos de memoria sncronos


Al contrario que los anteriores Pentium 4 y Pentium D, la tecnologa del Core 2
muestra el gran beneficio obtenido al usar memoria sincronizada con el FSB. Esto
significa que para una CPU de tipo Conroe con un FSB a 1066 MHz, la memoria
ideal es una DDR2 PC2-4200 o PC2-8500. En algunas configuraciones, el uso de
una PC2-5300 puede realmente reducir el rendimiento. A pesar de que las
memorias DDR2 con velocidades superiores ofrecen incrementar el rendimiento, la
diferencia real sobre juegos y aplicaciones es apenas notable.

Caractersticas y Beneficios
Con un procesador Intel Core2 Duo acceder a tecnologas con un alto
desempeo, lo que incluye hasta 6 MB de cach L2 compartida, bus frontal de
hasta 1333, ms estas otras tecnologas Intel incorporadas:

El procesamiento multi-core: Ofrece un mejor desempeo multitareas al


combinar dos ncleos de procesador independientes en un encapsulado fsico.

La Ejecucin dinmica ampliada: Mejora el tiempo de ejecucin y la


eficiencia en el uso de la energa con ms instrucciones por ciclo de reloj.

La Funcin para gestin inteligente de la energa: Posibilita un desempeo


ms inteligente y con un uso ms eficiente de la energa.

El Acceso a memoria inteligente: Mejora el desempeo del sistema


mediante la optimizacin del uso del ancho de banda de datos disponible.

Cach inteligente avanzada: Posibilita un mejor desempeo y un


subsistema de cach ms eficiente al optimizar los procesadores multi-core.

Intel Advanced Digital Media Boost: Acelera una amplia gama de


aplicaciones, tales como video, voz e imagen, procesamiento de fotografas,
cifrado, aplicaciones financieras.

Eficiencia Energtica
Los cambios de diseo en los procesadores Intel Core 2 Duo que mejoran el
rendimiento tambin aumentan la eficiencia de energa del procesador operando a
frecuencias ms bajas que requieren menos energa para funcionar.
Para gestin inteligente de la energa, una caracterstica que optimiza el uso de la
energa de los ncleos de procesador, se enciende funciones de computacin
solamente cuando sea necesario. Estos procesadores ms energticamente
eficaces apoyar a las pequeas, ms capaz y ms tranquilo PCs de escritorio para
conservar los recursos crticos de energa.

Diferencia entre Core 2 Duo y Dual Core


Intel es realmente el culpable aqu para una convencin de nombres horribles.
Cualquier medio consciente de marketing debera suicidarse antes de poner un
numeral 2 y la palabra do en el nombre de un producto, especialmente cuando
hay tambin un producto con solo en el nombre. Pero que vamos a poner de lado
y mirar en las especificaciones de cada uno.
Core Duo de Intel es la primera CPU de doble ncleo. Es toda una nueva
arquitectura de Microsoft, con dos ncleos en un solo dado, el cual, sencillamente,
le da dos fichas en un solo paquete. Funcionando a velocidades ms bajas que la
antigua lnea Pentium, Core Duo conserva el poder sustancial vs trinquete de
velocidad de reloj, mientras que lo que se ofrece, en el momento, rcord de
rendimiento. Ahora hay Core 2 Duo. En muchos sentidos Core 2 es el mismo que
el Ncleo: Las fichas se construyen utilizando el mismo proceso de produccin y
ajuste de la misma como tomas chips Core Duo. Dejando a un lado Core 2
Extreme (una versin de lujo de la Core 2 Duo), no ver muchos cambios en las
especificaciones: Ambos chips tienen la misma velocidad de bus frontal de 667
MHz, pero Core 2 Duo est disponible en velocidades de reloj algo ms rpido (la
pc con que escribo esto, se dispone de chips de 2.67GHz). El bus frontal
comunicacin entre la CPU y memoria RAM (y otros componentes), y es un
conocido cuello de botella de rendimiento para ordenadores. El Core 2 tambin
tiene el mismo cach L2 que tiene el ncleo. Sin embargo, hay cambios en la
arquitectura de silicio que le dan a los Core 2 ms sofisticadas capacidades de
procesamiento. Ambos chips existen en versiones de escritorio y porttiles. Los
micros Dual Core de Intel (tambin llamados Core Duo) estn basados en la
arquitectura del conocido Pentium 4 rebautizada como Intel Core, y fueron el

primer intento de la compaa de crear un procesador de bajo coste con doble


ncleo (anteriormente se haba empleado una tcnica de multiproceso en los P4
llamada Hyper-threading que se fundamentaba en procesar dos hilos de ejecucin
al mismo tiempo con un slo ncleo). Estos micros consisten bsicamente en una
modificacin de los ltimos P4 para dotarlos de dos ncleos en lugar de uno y as
obtener una mayor potencia de proceso sin necesidad de elevar la frecuencia de
reloj del circuito y, por lo tanto, disparar el consumo de energa (bajar tan slo un
15% la velocidad del procesador implica un consumo energtico del 50%). El
problema de los Dual Core es que, como os digo, su arquitectura es algo antigua y
por lo tanto van a estar algo lastrados debido a que la tecnologa avanza a pasos
cada vez ms grandes. El hecho de contar tan slo con 2 MB de memoria cach,
un bus de 533 667 MHz y estar construidos con una estructura interna de 32 bits
hace que no rindan tanto como pudiramos pensar de un micro de ltima
generacin (porque de hecho no lo son).

Errores de los chips de Intel


La unidad de manejo de memoria (MMU) de los Core 2 en los procesadores
X6800, E6000 y E4000 no opera en sistemas antiguos que implementen
generaciones de hardware x86. Esto causa problemas, la mayora de ellos de
seguridad y estabilidad, incluso con software operativo disponible. Intel informa
que en los prximos meses se actualizarn los manuales de programacin con
informacin sobre los mtodos recomendados para manejar el TLB (Translation
Lookaside Buffer) de los Core 2 para evitar problemas, y admite que en casos
aislados, los fallos del TLB pueden causar comportamiento impredecible del
sistema, como cuelgues o informacin incorrecta.
Algunos problemas conocidos:
Proteccin contra escritura o bits de no ejecucin ignorados.
Instrucciones de coma flotante incoherentes.
Posibilidad de corromper la memoria fuera de rango permitiendo a un proceso
escribir secuencias comunes de instrucciones. Las erratas de Intel Ax39, Ax43,
Ax65, Ax79, Ax90, Ax99 son particularmente serias. Concretamente, las 39, 43, y
79, que pueden causar comportamiento impredecible del sistema o cuelgue
permanente, se han corregido en recientes pasos. Algunos de los que han
calificado esta errata como particularmente seria son Theo de Raadt de OpenBSD
y Matthew Dillon de DragonFly BSD. Para contrastar las visiones sobre el tema,

Linus Torvalds calific el fallo TLB absolutamente insignificante, a lo que aadi


El mayor problema es que Intel debera haber documentado el comportamiento
del TLB mucho mejor. Microsoft ha elaborado la actualizacin KB936357 para
corregir la errata en el micro cdigo sin prdida de rendimiento.[21] Existen
actualizaciones para BIOS que corrigen este problema.

Intel Core2 Duo son las tecnologa ms antigua de todos los modelos de los que
vamos a hablar y tienen dos ncleos (dual-core), son recomendados los procesadores
antiguos diseados para usuarios avanzados que pretenden trabajar con varias
aplicaciones al mismo tiempo o con programas pesados. Esta tecnologa trabaja a
45nm o 65nm y 65 W de consumo.
La nueva gama de Intel son los Core i, ya que han decidido darle un importante giro a
su estructura de marcas de procesadores. Hasta ahora tenamos los Core Duo, Core
2 Duo, Core 2 Quad, pero ahora ya tenemos la serie Core i3, Core i5 y Core i7.

Intel Core 2 Duo (Socket 775)

Basada en la revolucionaria microarquitectura Intel Core, la extraordinaria familia de procesadores


Intel Core2 Duo se ha diseado para ofrecer un potente rendimiento con ahorro energtico para
que pueda hacer ms al mismo tiempo sin ralentizar su marcha.
Caractersticas y beneficios
Con los procesadores Intel Core 2 Duo equipando sus porttiles y equipos de sobremesa obtendr
al ltimo arsenal de tecnologas ricas en rendimiento, incluyendo hasta 4 MB de cach L2
compartida y un bus del sistema de hasta 1.066 MHz para equipos de sobremesa y de hasta 667
MHz para porttiles. Ahora ya puede disfrutar del futuro de la informtica y slo proviene de Intel:

Intel Wide Dynamic Execution ofrece ms instrucciones por ciclo de reloj que permiten
mejorar el tiempo de ejecucin y el ahorro energtico.

Intel Intelligent Power Capability, diseada para ofrecer ms rendimiento con ahorro
energtico y rendimiento inteligente de la batera en su porttil.

Intel Smart Memory Access, mejora el rendimiento del sistema optimizando el uso del
ancho de banda de datos disponible.

Intel Advanced Smart Cache, ofrece un mayor rendimiento, subsistema de cach ms


eficaz. Optimizada para procesadores de doble ncleo y de varios ncleos

Intel Advanced Digital Media Boost, acelera la amplia gama de aplicaciones, incluyendo
vdeo, voz e imagen, procesamiento fotogrfico, codificacin y aplicaciones financieras, de
ingeniera y cientficas.

Intel Core 2 Extreme

Intel Core 2 Duo

El procesador Intel Core2 Extreme


convence por su revolucionario
rendimiento de doble ncleo, para
aquellos que quieran disfrutar de las
tecnologas de gran rendimiento en las
mejores condiciones.

El procesador de sobremesa Intel


Core2 Duo Desktop convence por su
revolucionario rendimiento de doble
ncleo y una eficiencia pionera en el
consumo de energa.

El procesador Intel Core 2 Extreme est


diseado para jugadores y usuarios de
aplicaciones de gran rendimiento. Sus
caractersticas excepcionales presentan la base
ptima para el desarrollo realista en juegos y el
mejor rendimiento en las aplicaciones digitales
multimedia ms exigentes

El procesador Intel Core 2 Duo est basado


en la innovadora microarquitectura Intel
Core, est pensado para un mundo con un
aumento constante de tecnologas de alta
definicin, orientado a las aplicaciones
multimedia para ofrecer un mayor y ms
rpido acceso.

Especificaciones de procesadores para equipos de sobremesa

Nmero de
modelo

Cach

Velocidad
de reloj

Bus del
sistema

Tecnologa de virtualizacin
Intel (Intel VT)

Arquitectura 65 nm

X6800
(Extreme)

L2 a 4
MB

2,93 GHz

1.066
MHz

E6700

L2 a 4
MB

2,66 GHz

1.066
MHz

E6600

L2 a 4
MB

2,40 GHz

1.066
MHz

E6400

L2 a 2
MB

2,13 GHz

1.066
MHz

E6300

L2 a 2
MB

1,86 GHz

1.066
MHz

Especificaciones de procesadores para equipos porttiles


Nmero de
modelo

Cach

Velocidad de
reloj

Bus del
sistema

Tecnologa de virtualizacin
Intel (Intel VT)

Arquitectura 65 nm

T7600

L2 a 4
MB

2,33 GHz

667 MHz

T7400

L2 a 4
MB

2,16 GHz

667 MHz

T7200

L2 a 4
MB

2 GHz

667 MHz

T5600

L2 a 2
MB

1,83 GHz

667 MHz

T5500

L2 a 2
MB

1,66 GHz

667 MHz

Core 2 (Socket 775)


Ncleo Intel

Coe 2-??? MMX


SSE SSE2 SSE3
(Millville)
(EM64T, NX bit, VT)

N de pines,
bus,
multiplicado y
voltaje

775 bolas
?MHz (?x?)
(Bus de 64 bits
quadpumped)

2007?

?v

Coe 2 Duo-E6300
MMX SSE SSE2
SSE3
(Conroe)

775 bolas
1866MHz (266x7)

(dual coe, EM64T, NX bit, VT)

Socke
t

Cach L1/L2x

32KB datos (8-vas)


32KB
Socket instrucciones (8-vas)
775
1MB on-rea
shared L2 (8-vas)
* 64GB cacheable

2x 32KB datos

(Bus de 64 bits
quadpumped)

Julio 27, 2006 ($183}

1.2v

Coe 2 Duo-E6400

775 bolas

(8-

Transisto
res

? millones
0.065m
ancho
?mm rea

2x 32KB
Socket
instrucciones (8-vas)
775
2MB on-rea
shared L2 (8-vas)
* 64GB cacheable

291
millones
0.065m
ancho
143mm
rea

Socket 2x 32KB datos

291

vas)

(8-

MMX SSE SSE2


SSE3
(Conroe)
(dual coe, EM64T, NX bit, VT)

Julio 27, 2006 ($224}

Coe 2 Duo-E6600
MMX SSE SSE2
SSE3
(Conroe)
(dual coe, EM64T, NX bit, VT)

Julio 27, 2006 ($316}

Coe 2 Duo-E6700
MMX SSE SSE2
SSE3
(Conroe)
(dual coe, EM64T, NX bit, VT)

Julio 27, 2006 ($530}

Coe 2 Duo-???
MMX SSE SSE2
SSE3
(Conroe)
(dual coe, EM64T, NX bit, VT)

2006?

Coe 2 Duo-E4200
MMX SSE SSE2
SSE3
(Allendale)
(dual coe, EM64T)

4Q 2006?

Coe 2 Duo-E4300
MMX SSE SSE2
SSE3
(Allendale)
(dual coe, EM64T)

vas)

2133MHz (266x8)
(Bus de 64 bits
quadpumped)

1.2v

775

2x 32KB
instrucciones (8-vas)
2MB on-rea
shared L2 (8-vas)
* 64GB cacheable
2x 32KB datos

775 bolas
2400MHz (266x9)
(Bus de 64 bits
quadpumped)

1.2v

2x 32KB
Socket instrucciones (8-vas)
775
4MB on-rea
shared L2 (16-vas)
* 64GB cacheable
2x 32KB datos

775 bolas
2666MHz (266x10)
(Bus de 64 bits
quadpumped)

1.2v

(Bus de 64 bits
quadpumped)

1.2v

2x 32KB
Socket
instrucciones (8-vas)
775
4MB on-rea
shared L2 (16-vas)
* 64GB cacheable

(Bus de 64 bits
quadpumped)

?v

775 bolas
1866MHz (266x7)
(Bus de 64 bits
quadpumped)

?v

(8-

vas)

2x 32KB
Socket instrucciones (8-vas)
775
4MB on-rea
shared L2 (16-vas)
* 64GB cacheable

2x 32KB datos
775 bolas
1600MHz (266x6)

(8-

vas)

2x 32KB datos
775 bolas
?MHz (266x?)

(8-

vas)

(8-

vas)

2x 32KB
Socket
instrucciones (8-vas)
775
2MB on-rea
shared L2 (8-vas)
* 64GB cacheable
Socket 2x 32KB datos (8vas)
775
2x 32KB
instrucciones (8-vas)
2MB on-rea
shared L2 (8-vas)

millones
0.065m
ancho
143mm
rea

291
millones
0.065m
ancho
143mm
rea

291
millones
0.065m
ancho
143mm
rea

291
millones
0.065m
ancho
143mm
rea

167
millones
0.065m
ancho
111mm
rea
167
millones
0.065m
ancho
111mm

4Q 2006?

* 64GB cacheable

rea

Coe 2 Duo-E4400
MMX SSE SSE2
SSE3
(Allendale)

2x 32KB datos

167
millones
0.065m
ancho
111mm
rea

(dual coe, EM64T)

4Q 2006?

Coe 2 Duo-E4???
MMX SSE SSE2
SSE3
(Allendale)
(dual coe, EM64T)

2007?

Coe 2 Duo-???
MMX SSE SSE2
SSE3
(Wolfdale)
(dual coe, EM64T, NX bit, VT)

2008?

Coe 2 Duo-???
MMX SSE SSE2
SSE3
(Ridgefield)
(dual coe, EM64T, NX bit, VT)

2008?

Coe 2 Q6600
MMX SSE SSE2
SSE3
(Kentsfield)
(cudruple core, dual rea,
EM64T, NX bit, VT)

775 bolas
2133MHz (266x8)
(Bus de 64 bits
quadpumped)

?v

2x 32KB
Socket instrucciones (8-vas)
775
2MB on-rea
shared L2 (8-vas)
* 64GB cacheable
2x 32KB datos

775 bolas
?MHz (?x?)
(Bus de 64 bits
quadpumped)

?v

(Bus de 64 bits
quadpumped)

?v

2x 32KB
Socket
instrucciones (8-vas)
775
2MB on-rea
shared L2 (8-vas)
* 64GB cacheable

(Bus de 64 bits
quadpumped)

?v

775 bolas
2400MHz (200x12)
(Bus de 64 bits
quadpumped)

?v

167
millones
0.065m
ancho
111mm
rea

(8-

vas)

? millones
2x 32KB
Socket instrucciones (8-vas) 0.045m
775
ancho
3MB on-rea
?mm rea
shared L2 (16-vas)
* 64GB cacheable

2x 32KB datos
775 bolas
?MHz (?x?)

(8-

vas)

2x 32KB datos
775 bolas
?MHz (?x?)

(8-

vas)

(8-

vas)

2x 32KB
Socket
instrucciones (8-vas)
775
6MB on-rea
shared L2 (16-vas)
* 64GB cacheable

? millones
0.045m
ancho
?mm rea

Socket 4x 32KB datos (8vas)


775
4x 32KB
instrucciones (8-vas)
2x 4MB on-rea
shared L2 (16-vas)

? millones
0.065m
ancho
?mm rea

1Q 2007?

Coe 2-??? MMX


SSE SSE2 SSE3
(Yokfield)
(8 coes, quad rea, EM64T,
NX bit, VT)

2008?

Coe 2-??? MMX


SSE SSE2 SSE3
(Bloomfield)
(cudruple core, EM64T)

2008?

Ncleo Intel

Coe 2 Extreme
X6800 MMX SSE
SSE2 SSE3
(Conroe)
(dual coe, EM64T, NX bit, VT)

Julio 27, 2006 {$999}

* 64GB cacheable

8x 32KB datos
775 bolas
?MHz (?x?)
(Bus de 64 bits
quadpumped)

?v

(Bus de 64 bits
quadpumped)

?v

N de pines,
bus,
multiplicado y
voltaje

(Bus de 64 bits
quadpumped)

1.2v

2006?

Coe 2 Extreme-

1.2v

775 bolas

(8-

vas)

4x 32KB
Socket
instrucciones (8-vas)
775
?MB L2 unificada
integrada (?-vas)
* 64GB cacheable

? millones
0.045m
ancho
?mm rea

Socke
t

Transisto
res

Cach L1/L2x

2x 32KB datos
775 bolas
2933MHz (266x11)

Coe 2
Extreme-??? MMX 775 bolas
?MHz (266x?)
SSE SSE2 SSE3
(Bus de 64 bits
quadpumped)
(Conroe)
(dual coe, EM64T, NX bit, VT)

? millones
8x 32KB
Socket instrucciones (8-vas) 0.045m
775
ancho
12MB on-rea
?mm rea
shared L2 (16-vas)
* 64GB cacheable

4x 32KB datos
775 bolas
?MHz (?x?)

(8-

vas)

(8-

vas)

2x 32KB
Socket instrucciones (8-vas)
775
4MB on-rea
shared L2 (16-vas)
* 64GB cacheable
2x 32KB datos

(8-

291
millones
0.065m
ancho
143mm
rea

2x 32KB
Socket
instrucciones (8-vas)
775
4MB on-rea
shared L2 (16-vas)
* 64GB cacheable

291
millones
0.065m
ancho
143mm
rea

Socket 4x 32KB datos

? millones

vas)

(8-

QX6700 MMX SSE


2666MHz (266x10)
SSE2 SSE3
(Bus de 64 bits
(Kentsfield)
quadpumped)

(cudruple core, dual rea,


EM64T, NX bit, VT)

?v

Noviembre, 2006?

Coe 2 ExtremeQX6??? MMX SSE


SSE2 SSE3
(Kentsfield)

775 bolas
?MHz (?x?)

(cudruple core, dual rea,


EM64T, NX bit, VT)

?v

775

4x 32KB
0.065m
instrucciones (8-vas)
ancho
2x 4MB on-rea
?mm rea
shared L2 (16-vas)
* 64GB cacheable
4x 32KB datos

(Bus de 64 bits
quadpumped)

1Q 2007?

775 bolas
?MHz (?x?)

(cudruple core, EM64T, NX


bit, VT)

?v

(8-

vas)

4x 32KB
Socket
instrucciones (8-vas)
775
2x 4MB on-rea
shared L2 (16-vas)
* 64GB cacheable

4x 32KB datos

Coe 2 ExtremeQX???? MMX SSE


SSE2 SSE3
(?)

? millones
0.065m
ancho
?mm rea

(8-

vas)

(Bus de 64 bits
quadpumped)

2007?

Intel Core 2
Intel Core 2
Microprocesador

Produccin

2006 2009

Frecuencia de

1,06 GHz a 3,33 GHz

reloj de CPU

Velocidad

vas)

533 MT/s

4x 32KB
Socket instrucciones (8-vas)
4x ?MB L2
775
unificada
integrada (16-vas)
* 64GB cacheable

? millones
0.045m
ancho
?mm rea

deFSB

Longitud del

a 45 nm

canal MOSFET

Conjunto de

x86, MMX, SSE, SSE2,SSE3, SSSE3, x8

instrucciones

6-64,SSE4.1 (SSE4.1 es solo para


procesadores basados en Penryn,
Wolfdale, y Yorkfield)

Microarquitec

Intel Core Microarchitecture

tura

Zcalo(s)

Socket T (LGA 775)


Socket M (PGA 478)
Socket P (PGA 478)
Micro-FCBGA (BGA 479)

[editar datos en Wikidata]

La marca Intel Core 2 se refiere a una gama de CPU comerciales de Intel de 64 bits de doble
ncleo y CPU 2x2 MCM (Mdulo Multi-Chip) de cuatro ncleos con el conjunto de
instrucciones x86-64, basado en el Core microarchitecture de Intel, derivado del procesador
porttil de doble ncleo de 32 bits Yonah.Nota 1 El CPU 2x2 MCM de cuatro ncleos1 tena dos
matrices separadas de dos ncleos (CPU) -uno junto al otro- en un paquete MCM de cuatro
ncleos. El Core 2 releg la marca Pentium a un mercado de gama medio-bajo, y reunific las
lneas de sobremesa y porttiles, las cuales previamente haban sido divididas en las marcas
Pentium 4, D, y M.
Intel regres a velocidades de CPU bajas y mejor el uso del procesador de ambos ciclos de
velocidad y energa comparados con anteriores NetBurst de los CPU Pentium 4/D2 La
microarquitectura Core provee etapas de decodificacin, unidades de ejecucin, cach y
buses ms eficientes, reduciendo el consumo de energa de CPU Core 2, mientras se
incrementa la capacidad de procesamiento. Los CPU de Intel han variado muy bruscamente
en consumo de energa de acuerdo a velocidad de procesador, arquitectura y procesos de
semiconductor, mostrado en las tablas de disipacin de energa del CPU
La marca Core 2 fue introducida el 27 de julio de 2006,3 abarcando las lneas Solo (un
ncleo), Duo (doble ncleo), Quad (cudruple ncleos), y Extreme (CPU de dos o cuatro

ncleos para entusiastas), durante el 2007.4 Los procesadores Intel Core 2 con tecnologa
vPro (diseados para negocios) incluyen las ramas de doble ncleo y cuatro ncleos. 5
ndice
[ocultar]

1Duo, Quad, y Extreme

2Ncleos
o

2.1Conroe

2.2Conroe XE

2.3Conroe L

2.4Allendale

2.5Merom

2.6Merom XE

2.7Kentsfield

2.8Kentsfield XE

2.9Yorkfield XE

2.10Penryn

2.11Wolfdale

2.12Yorkfield

3Sucesores

4Requerimientos de sistema
o

4.1Compatibilidad con placas base

4.2Mdulos de memoria sncronos

5Errores de los chips de Intel

6Precios

7Nomenclatura y abreviaturas

8Vase tambin

9Notas

10Referencias

11Enlaces externos

Duo, Quad, y Extreme[editar]


Los CPU de marca Core 2 incluyen: doble ncleo (para sobremesas de gama alta y baja ),
"Merom" (doble ncleo para porttiles), "Kentsfield" (cuatro ncleos para sobremesas), y
sus variantes llamadas "Penryn" (doble ncleo para porttiles), "Wolfdale" (doble ncleo para
sobremesas, doble ncleo de gama baja para sobremesas) y "Yorkfield" (cuatro ncleos para
sobremesas).Nota 2
Los procesadores Core 2 poseen la Virtualization Technology -tecnologa de virtualizacin(excepto los modelos T52x0, T5300, T54x0, T55x0 "B2", E2xx0, T2300E, E4x00, E7x00 y
E8190), Execute Disable Bit, y SSE3. Su microarquitectura Core introdujo
tambinSSSE3, Trusted Execution Technology, Enhanced SpeedStep, y Active Management
Technology (iAMT2). Con un Thermal Design Power (TDP) hasta de solo 65 W, el Core
2 Conroe de doble ncleo consumi solo la mitad de la energa de los chips de Pentium
Dmenos capaces pero tambin doble ncleo6 con un TDP hasta de 130 W7 (un TDP alto
requiere enfriamiento adicional que puede ser ruidoso o caro).
Siendo tpico para los CPU, los CPU Core 2 Duo E4000/E6000, Core 2 Quad Q6600, Core 2
Extreme doble ncleo X6800, y cuatro ncleos QX6700 y QX6800 fueron la mitad de la
energa de los chips afectados por errores de software menores8
Familia de procesadores Intel Core 2
Sobremesa
*

Nombre
clave

Porttil

Ncleos

Fecha de
salida

Nombre
clave

Ncleos

Fecha de
salida

Core 2
Duo

Conroe
Allendale
Wolfdale

dual (65
nm)
dual (65
nm)
dual (45
nm)

Ago 2006
Ene 2007
Ene 2008

Merom
Penryn

dual (65
nm)
dual (45
nm)

Jul 2006
Ene 2008

Core 2
Extreme

Conroe
XE

dual (65
nm)

Jul 2006
Nov 2006

Merom
XE

dual (65
nm)

Jul 2003
Ene 2008

Core 2
Quad

Kentsfield
XE
Yorkfield
XE

quad (65
nm)
quad(45
nm)

Kentsfield
Yorkfield

quad (65
nm)
quad (45
nm)

Core 2
Solo

Nov 2007

Penryn
XE
Penryn
XE

dual (45
nm)
quad (45
nm)

Ago 2008

Jan 2007
Mar 2008

Penryn

quad (45
nm)

Ago 2008

Merom
Penryn

solo (65
nm)
solo (45
nm)

Sep 2007
May 2008

Versin de sobremesa no disponible

* Ordenados por fecha de salida


Lista de microprocesadores Intel Core 2

Ncleos[editar]
Conroe[editar]
El primer ncleo de procesador de la mo de producto de Intel 80557, fue lanzado el 27 de julio
de 2006 en Fragapalooza[1], un evento ldico anual en Edmonton, Alberta, Canad. Estos
procesadores fueron fabricados en placas de 300mm usando un proceso de manufacturacin
de 65nm, y optimizados para ordenadores de sobremesa, reemplazando las CPU Pentium
4 y Pentium D. Intel ha declarado que el ncleo Conroe proporciona un 40% ms de potencia
con un consumo un 40% menor. Todos los ncleos Conroe son fabricados con 4 MiB de cach
de nivel 2(L2), en cualquier caso debido a defectos de fabricacin o para hacer ms rentable
su comercializacin, las versiones E6300 y E6400 basados en este ncleo, tienen la mitad de
su cach deshabilitada, dejndolos con solo 2 MiB tiles de cach de nivel 2. Las CPU E6300
y E6400 basados en el ncleo Conroe tienen el B2 con stepping (secuenciacin).
Los modelos altos de la gama, E6300 (1,86 GHz) y E6400 (2,13 GHz) ambos con un FSB de
1066 MHz fueron presentados el 27 de julio de 2006.
Tradicionalmente, las CPU de la misma familia con menor cach simplemente tienen la cach
restante deshabilitada, permitiendo su venta un precio ms bajo debido a estas taras. De este
modo las mejoras se reducen a reemplazarlos por versiones que solo tienen la cach que se
necesita en el ncleo idntico, para abaratar los costes de produccin.
En su lanzamiento, el precio de Intel para los procesadores core 2 Duo E6300 y E6400 fueron
de 183 y 224 dlares americanos respectivamente. Las CPU Conroe tienen mejores
prestaciones sobre los modelos anteriores con velocidades de procesamiento similares.
Segn las revisiones, la mayor cach de 4 MiB de nivel 2 contra la menor cach de 2 MiB L2 a

la misma frecuencia y el mayor FSB pueden proveer de un beneficio de funcionamiento del 09% en algunas aplicaciones y del 0-16% para algunos juegos.9

Procesador Intel Core 2 Duo E6600.

Los modelos Core 2 Duo Conroe de gama alta son los E6600 (2,4 GHz) y E6700 (2,67 GHz).
La familia tiene 1066 MT/s de FSB, 4 MiB de cach L2 y 65 W de consumo. Estos
procesadores se enfrentaron a los procesadores de gama alta disponibles de AMD (Athlon
serie 64 fx) que fueron, antes de la ltima presentacin de Intel, las CPU ms rpidas
disponibles. Los chips Conroe tambin experimentan una temperatura de salida mucho menor
que sus predecesores - un beneficio de la nueva tecnologa de 65 nm y la ms eficiente
microarquitectura. En su lanzamiento, el precio de Intel para los procesadores Core 2 Duo
E6600 y E6700 fueron de 316 y 530 US$ respectivamente.
Las CPU Conroe E6320 y E6420 a 1,86 y 2,13 GHz respectivamente fueron presentadas el 22
de abril de 2007 contando con una cach completa de 4 MiB.
Intel lanz 4 procesadores Core 2 Duo adicionales el 22 de julio de 2007. Este lanzamiento
coincidi con el de los chipsets Intel Bearlake(x3x). Los nuevos procesadores Core 2
Duo fueron llamados E6540, E6550, E6750 y E6850. Los procesadores cuyo nmero de serie
termina en 50 tienen 1333 MT/s de FSB. Todos ellos cuentan con 4 MiB de cach L2.
La frecuencia de reloj es similar a los procesadores ya presentados con los 2 primeros dgitos
iguales (E6600, E6700, X6800). Una parte de Intel confi en la tecnologa de ejecucin y el
soporte vPro. Estos procesadores fueron criticados frente a la lnea de procesadores AMD
Stars y como consecuencia el precio baj en los procesadores con 1066 MB/s de FSB.
Intel ha aclarado que los modelos E6300 y el E6400 son ncleos Conroe con la cach
deshabilitada. El ncleo Allendale es de la serie de las CPU E4xx0.

Conroe XE[editar]
El ncleo Core 2 Extreme fue oficialmente presentado el 29 de julio de 2006. Sin embargo,
algunos minoristas lo presentaron el 13 de julio de 2006 como una mayor primicia. Los
modelos E6x00, los Core 2 Duo menos potentes, fueron programados para ser presentados
simultneamente con el X6800, ambos disponibles en este momento. Potenciados con el
ncleo Conroe XE, reemplazan al ncleo dual de los procesadores de la

edicin Pentium Extreme Edition. Los Core 2 Extreme tienen una velocidad de reloj de 2,93
GHz y 1066 MT/s de FSB a pesar de que inicialmente se esperaba lanzarlos con 3,3 GHz y
1333 MT/s. El consumo de energa para esta familia es de 75 hasta 80 W. Con
SpeedStep[2] habilitado, la temperatura de la CPU en funcionamiento es bsicamente igual a
la temperatura ambiente.
El precio de lanzamiento de Intel para los Core 2 Extreme X6800 fue de US$999 cada uno en
cantidades de 1000. Como la plataforma Core 2 Duo, este tuvo una cach L2 compartida de 4
MiB. Esto significa que la nica diferencia entre el Core 2 Duo y el Core 2 extreme es la
velocidad de reloj y el multiplicador abierto, ventajas normales de la edicin Extreme. El
multiplicador ascendente desbloqueado es solo para entusiastas o profesionales porque
permite al usuario poner la velocidad de reloj ms alta que la carga de la frecuencia sin
modificar el FSB a diferencia de los modelos Core 2 Duo que solo permiten desbloquear el
factor descendiente.

Conroe L[editar]
El Conroe-L Celeron es un procesador de ncleo simple construido con la micro arquitectura
de Intel Core y con una frecuencia de reloj mucho menor a la del Cedar Mill Celeron, pero an
los supera en rendimiento. Est basado en los 65nm del ncleo Conroe-L y usa un modelo de
secuencia de la serie 400, los FSB fueron incrementados de 533 MT/s a 800 MT/s en esta
generacin, y el consumo energtico se decrement de 65 W a 35 W. Tradicionalmente
los Celeron, no poseen el soporte para las instrucciones Intel VT. Todos los modelos Conroe-L
son procesadores de ncleo simple son destinados al segmento de valor de mercado, donde
gustan ms los AMD basados en el ncleo K8-Sempron. Esta lnea de productos fue lanzada
el 5 de junio de 2007.
El 21 de octubre de 2007, Intel present un nuevo procesador para su serie de placas madres
Intel Essential. El nombre completo del procesador es Celeron 220 y esta soldado a una placa
base D201GlY2. Con 1,2 GHz y 512 KiB de cach (L2), posee un consumo energtico de 19
W y puede hacer uso de refrigeracin pasiva. El Celeron 220 es el sucesor del Celeron 215
que est basado en un ncleo Yonah y usado en la placa base D201GlY. Este procesador es
usado exclusivamente en las placas Mini-ITX[3] apuntando al segmento de mercado de
subvalor.10

Allendale[editar]
Haba discusin sobre la disponibilidad del modelo de procesadores Core 2 Duo para
sobremesas (desktop) (E6300 a 1,86 GHz y E6400 a 2,13 GHz ambos con cach de 2 MiB
L2) ambos provistos de un ncleo Allendale. Antes del Q1 de 2007, todos los procesadores
E6300 y E6400 que aparecieron eran ncleos Conroe (4 MiB de cach L2) que tienen la mitad
de su cach de nivel 2 deshabilitada. El ncleo Allendale se fabrica con 2 MiB de cach en
total, ofreciendo un tamao ms pequeo y producciones por lo tanto mayores.
Extracto de The Tech Report: Existen muchas fuentes que afirman que el nombre en cdigo
para los procesadores Intel Core 2 Duo con 2 MiB de cach L2 es Allendale, pero Intel los

llama de otra manera. Estas CPU todava son Conroe, lo cual posee sentido, ya que utilizan
los mismos chips con la mitad de su cach L2 deshabilitada. Intel bien puede trabajar con un
chip Allendale con 2 MiB de cach L2 nativa, pero esto no es lo tpico para este chip. 11
Otra diferencia entre la serie Premium E6000 (ncleo Conroe) y la serie e4000 (ncleo
Allendale) est en la frecuencia de reloj del bus norte. La serie E4000 es capaz de trabajar
con un FSB de 200 MHz quad-pumped (consultar Pumping) a 800 MT/s, mientras que la serie
E6000 trabaja con un bus norte de 266 MHz quad-pumped a 1066 MT/s. La serie E4000 slo
posee una carencia en cuanto al soporte para las instrucciones VT de Intel
El Core 2 Duo E4300 utiliza un ncleo Allendale y fue lanzado el 21 de enero de 2007. Los
procesadores Allendale usan una mscara menor con solo 2 MiB de cach, incrementando el
nmero de transistores por sector.
Los procesadores Allendale son producidos segn el factor de forma LGA775 [4], sobre un
nodo de 65nm. Las CPU E6300 y E6400 se han fabricado sobre la base de un Conroe de 4
MiB de cach y un Allendale de 2 MiB. La secuenciacin es distinta segn el chip usado, los
basados en Conroe usan secuenciacin B2 y los basados en Allendale, usan L2.
El precio por procesador fue inicialmente de 163 dlares americanos para el E4300. El precio
estndar para venta OEM era de 175 dlares americanos, y 189 para el paquete retail. El 22
de abril de 2007 el precio fue rebajado hasta los 133 dlares para el E4400 y 113 dlares para
el E4300. Los procesadores Allendale con media cach L2 deshabilitada fueron lanzados a
mediados de junio de 2007 bajo el nombre Intel Pentium Dual-Core. La cach til fue reducida
a la mitad otra vez cuando el ncleo Allendale fue lanzado bajo el nombre Intel Celeron; el
Celeron E1200 tiene 512 KiB de cach L2 compartida entre sus dos ncleos.
El 22 de julio de 2007, fue lanzado el Allendale E4500, retirando progresivamente al modelo
E4300. Esto fue acompaado de una rebaja en el precio del modelo E4400.

Merom[editar]
Merom, la primera versin porttil del Core 2, fue oficialmente presentada el 27 de julio de
2006 pero silenciosamente comenz a llegar a manos de los fabricantes de PC a mediados de
julio junto al ncleo Conroe.12 Merom se hizo con la primera lnea de Intel de procesadores
para porttiles, con los mismos rasgos de Conroe, pero con ms nfasis sobre el consumo de
electricidad bajo para mejorar la duracin de la batera del porttil. El ncleo Merom basado
en Core 2 Duo proporciona un leve aumento de rendimiento con renderizacin 3D y medios
codificadores, manteniendo la misma duracin de la batera que el ncleo Yonah basado
en Intel Core Duo. Merom es el primer procesador de Intel para porttiles que implementa la
arquitectura Intel 64.
La primera versin del Merom es compatible con la plataforma Napa de Intel Core Duo, siendo
necesaria la actualizacin de la BIOS. Posee un consumo energtico similar de 34 W y un
FSB de 667 MHz. El chip Merom incorpora 4 MiB de cach L2, la mitad de sta desactivada
en la serie T5xx0.

Una versin del Merom con 2 MiB de cache L2 nativos, llamada Merom-2M, fue lanzada al
mercado a principios de 2007. El ncleo Merom-M2 usa secuenciacin L2 y M0; las versiones
con voltaje extremadamente bajo del Core 2 Duo incorporan este ncleo.
Una segunda oleada de microprocesadores Merom que incorporaban un FSB de 800 MHz y
usaban el nuevo Socket P fue lanzada el 9 de mayo de 2007. Estos chips forman parte de la
plataforma Santa Rosa. Versiones de bajo voltaje fueron lanzadas el 9 de mayo de 2007.
El primer Core 2 Solo fue lanzado en el tercer trimestre de 2007; se trataba de los chips
U2100 y U2200, que corren a 1,6 y 1,2 GHz respectivamente. Ambos incorporan un FSB de
533 MHz y forman parte de la familia Intel ULW, consumiendo apenas 5W, y soportan 64 bits,
como el resto de la familia. Fueron lanzados con compatibilidad para la plataforma Napa en
detrimento de la plataforma Santa Rosa debido a trminos de consumos.
Merom es una palabra hebrea que designa un plano superior en existencia al cielo, BaMerom
significa en los cielos. El nombre fue escogido por el equipo de Intel en Haifa, Israel, quienes
disearon este procesador.
Consulte la seccin Merom en la lista de procesadores Intel Core 2.

Merom XE[editar]
El procesador Core 2 Extreme Mobile, basado en el ncleo Merom XE, es un procesador
para porttil de alto rendimiento. Lanzado en dos modelos, el X7900 y el X7800, incorpora
un FSB a 800 MHz. El X7800, lanzado el 16 de julio de 2007,13 corre a 2,6 GHz y cuesta
alrededor de 851 dlares americanos para instalaciones de fbrica. Este procesador incorpora
un consumo energtico de 44 W y est incluido en nueva plataforma Intel Centrino (Santa
Rosa). El X7900, lanzado el 22 de agosto de 2007, corre a 2,8 GHz.
El X7900 fue incorporado en los MacBook de venta al pblico lanzados el 7 de agosto de
2007.

Kentsfield[editar]
El Kentsfield, lanzado el 2 de noviembre de 2006, fue el primer procesador de cuatro ncleos
de Intel para sobremesas, denominado Core 2 Quad(y Xeon, para servidores y estaciones de
trabajo). El tope de gama Kentsfield era un Core 2 Extreme numerado QX6xx0. Todos ellos
incorporaban dos cachs de 4 MiB L2. El buque insignia, en Core 2 Quad Q6600, que corre a
2,4 GHz, fue lanzado el 8 de enero de 2007 al precio de US$ 851 (reducidos a 530 el 7 de
abril de 2007). El 22 de julio de 2007 fue la fecha elegida para el lanzamiento del Q6700 junto
con el Extreme QX6850, ambos del tipo Kentsfield, al precio de US$ 530 y 999
respectivamente, y conjuntamente a una bajada de precio del Q6600 hasta los 267 dlares.
De manera anloga a los ncleos denominados Pentium D, los Kentsfield conjuntaban dos
chips, cada uno de ellos equivalente a un Core 2 Duo, sobre un MCM. Esto repercuta sobre el
precio final, reducindolo, pero con un peor tratamiento de datos sobre el puente norte

comparado con una arquitectura de chips independientes, como es el caso de los AMD Quad
FX. Adems, como pudo predecirse por la configuracin MCM, las potencias mximas de los
Kentsfield (QX6800 130 W, QX6700 130 W,] Q6600 105 W) eran el doble de sus
equivalentes en velocidad Core 2 Duo.
Los mltiples ncleos de los Kentsfield permitan una mejora sobre aplicaciones cuya
descomposicin es ms fcil (como es el caso de la transcodificacin de audio y video,
compresin de datos, edicin de video, renderizado 3D y trazado de rayos). Por concretar un
ejemplo, los videojuegos multitarea como Crysis y Gears of War que deben ejecutar mltiples
tareas simultneas como la inteligencia artificial, audio y fsicas del juego se benefician de los
cuatro ncleos. En muchos casos, la velocidad de proceso puede verse mejorada en funcin
de la disponibilidad de mltiples ncleos. Esto debera ser considerado a la hora de limitar el
nmero de ncleos en los procesadores presuponiendo el nivel de desarrollo del software de
usuario.
Retomando el ejemplo, algunas pruebas han demostrado que Crysis falla al intentar
aprovecharse de ms de dos ncleos simultneamente. Por otra parte, el impacto de esta
caracterstica sobre el rendimiento general del sistema puede verse significativamente
reducido en sistemas que trabajen frecuentemente con tareas no relacionadas entre ellas
como sistemas multiusuario o entornos que ejecuten tareas en segundo plano mientras el
usuario se encuentra activo. Todava existen sobrecargas relacionadas con la ejecucin de
mltiples procesos o tareas y su coordinacin a la hora de distribuir la carga en varias CPU.
Finalmente, a nivel de hardware, existen problemas de comunicacin y acceso a recursos por
ejemplo en la ejecucin de tareas que acceden simultneamente a memoria o a recursos de
entrada y salida.

Kentsfield XE[editar]
El primer Kentsfield XE, denominado Core 2 Extreme QX6700 (cdigo de producto 80562) y
cuya velocidad es de 2,67 GHz, fue lanzado al mercado el 2 de noviembre de 2006 al precio
de US $999.14 Incorpora el ncleo Kentsfield XE, como complemento se lanz el Core 2
Extreme X6800 de doble ncleo basado en el ncleo Conroe XE. Como los dobles ncleos
Extreme, los procesadores con el ncleo Kentsfield XE incorporaban los multiplicadores
desbloqueados.
El Core 2 Extreme QX6800 que corra a 2,93 GHz fue lanzado el 8 de abril de 2007 al precio
de US $1199. Tiene un gasto energtico de 130 W, y est hecho para equipos de gama alta.
El Core 2 Extreme QX6850 que corra a 3 GHz fue lanzado el 22 de julio de 2007 al precio de
US$ 999. Implementa un FSB ms rpido de 1333 MHz- Simultneamente, el anteriormente
disponible Extreme QX6700 fue reducido de precio.

Yorkfield XE[editar]
El 11 de noviembre de 2007, Intel lanz al mercado el primer procesador Yorkfield XE, Core 2
Extreme QX9650. Es el primer procesador de Intel para sobremesas en usar tecnologa de 45
nm y enclaves metlicos. Los Yorkfield incorporan chips duales con dos cachs L2 de 6 MiB

unificadas. Tambin, soporta 1333 MHz de FSB y un reloj interno de 3 GHz. Incorpora adems
instrucciones de tipo SSE4.1 y cuenta con un total de 820 millones de transistores en chips de
2x107 mm.

Penryn[editar]
El sucesor para el ncleo Merom, usado en la serie porttil Core 2 Duo, cuyo nombre en clave
es Penryn, debut en los procesos a 45nm. En abril de 2007 aparecieron muchos detalles
sobre Penryn en el Intel Developer Forum (Foro de Desarrolladores de Intel). Su sucesor se
espera que sea el Nehalem.
Importantes avances15 como la inclusin de instrucciones de tipo SSE4 (tambin conocidas
como Nuevas Instrucciones Penryn, prensentes en toda la serie excepto los T4000), reduccin
de los tiempos de latencia entre ncleos para una mejor y ms eficiente interconexin entre
estos, nuevos materiales para la fabricacin (los ms significativos son los dielctricos de alta
temperatura basados en hafnio), entre otras mejoras de arquitectura.
El Penryn va a la par con la serie Bearlake para sobremesas de Intel de 2007, algunos de los
cuales incrementan su velocidad del bus (conexin con el puente norte, etc.) a 1333 MHz y
soportan DDR SDRAM. En porttiles y otros equipos mviles, Penryn soportaDDR3.
Los nuevos Intel de 45nm basado en Penryn, denominados Core 2 Duo y Core 2 Extreme,
fueron lanzados el 6 de enero de 2008. Los nuevos procesadores consumen slo 35W, y el
modelo T9500 concretamente, fue lanzado para porttiles con compaas como HP, cuyos
primeros modelos fueron puestos a la venta con 2,6 GHz a finales de enero de 2008.
Intel lanz un chip exclusivo para Apple el 28 de abril de 2008 que incrementa la velocidad
hasta 3,06 GHz y el FSB hasta los 1066 MHz, cambiando la cach L2 compartida a 6 MiB.
El acceso a la serie Penryn comienza con los T4000, con 1 MiB de cach L2 y FSB 800 Mhz y
finaliza con la serie T9000, con 6 MiB de cach L2 y FSB que va desde 800 MHz hasta los
1066 MHz.

Wolfdale[editar]

Core 2 Duo Wolfdale E7200.

Wolfdale el nombre en clave para las series Celeron E3000, Pentium E5000 y E6000, y Core 2
Duo E7000 y E8000 para sobremesas, basados en Penryn y superiores a los chips Conroe,
con mejor consumo, menores temperaturas y mayor rendimiento comparados bajo una misma
gama, indistintamente de la velocidad del bus y la cantidad de cach. Lanzados el 20 de enero
de 2008, incorporan dos ncleos de procesamiento fabricados en un soporte de 45nm e
incluyen las extensiones SSE4.1 (excepto la totalidad de Pentium y Celeron, que comprenden
las series E3000, E5000 y E6000). Su primer exponente ha sido el E8400, el cual consta de
una cach de 6 MiB. Esta primera revisin de Wolfdale era conocida como C0. Luego, con la
salida del E8500 y E8600 llegara una revisin mejorada denominada E0, la cual precisa
menos voltaje a una misma frecuencia, permitiendo mejores temperaturas de funcionamiento
y mayor margen de overclock. Al mismo tiempo de la salida de los hermanos mayores de la
familia Wolfdale, llegaban los modelos E7000, corriendo a 2,53 GHz en su exponente ms
bsico, contando con 3 MiB de cach de nivel 2 y 1066 MHz de FSB. Seguidamente
aparecieron los Wolfdale serie E5000, con los que Intel recuper la denominacin Pentium
para nombrar esta serie. Los Wolfdale E5000 carecen de instrucciones SSE4.1, poseen 2 MiB
de cach de nivel 2 y 800 MHz de FSB corriendo a 2,5 GHz en su modelo ms bsico, el
Pentium E5200. Posteriormente Intel lanza bajo la denominacin Celeron la serie E3000, que
son bsicamente Wolfdale que carecen de SSE4.1, con 1MiB de cach de nivel 2 y 800 MHz
de FSB corriendo a 2.4 GHz en su modelo ms bsico, el Celeron E3200.

Yorkfield[editar]
Yorkfield (nombre en clave para las series Q8000, Q9000 y QX9000) incorporan chips duales
de doble ncleo con dos cachs de nivel 2 de 6 MiB unificadas. Versiones ms recientes
fueron lanzadas con dos cachs de nivel 2 de 3 MiB unificadas y con dos cachs de nivel 2 de
2MiB unificadas, pero se desconoce si se trata de cachs de 6 MiB con una parte
deshabilitada o son versiones con 3 MiB y 2 MiB nativos diseados para reducir costos de
produccin. Tambin incorporan soporte para FSB a 1333 MHz. Estos procesadores fueron
puestos a la venta a finales de mayo de 2008, empezando por el Q9300 y Q9450. Las CPU
Yorkfield esperaban ser lanzadas en enero de 2008, pero fueron retrasadas hasta el 15 de
mayo. Inicialmente se atribuy este retraso a un fallo del chip; ms tarde se descubri que se
trataba de asegurar la compatibilidad con las placas de cuatro lminas impresas usadas en
gran parte de las placas. En Intel Developer Forum de 2007, un Yorkfield fue comparado con
un Kentsfield.16 17

Sucesores[editar]
El sucesor para el Penryn, basado en la micro arquitectura Core posterior que incluye
funciones como el retorno de Hyper-Threading, es el "Core i7" basado en la
microarquitectura Nehalem; fue anunciado en el IDF de septiembre de 2007, y su aparicin no
se espera hasta antes de finales de 2008. Los Intel basados en Nehalem-Bloomfield sern
lanzados en septiembre junto con los chipsets X58.
La placa de 32nm del Nehalem se denomina Westmere. Sandy Bridge ser desarrollado sobre
32 nm con una nueva micro arquitectura sobre 2010. En 2011, Intel lanzar el primer
procesador sobre una placa de 22nm. Basndose en el ciclo de Intel de alternar nuevas

arquitecturas y nuevas placas cada dos aos, actualmente est asumido que Sandy
Bridge constituir una nueva plataforma para soporte .

Requerimientos de sistema[editar]
Compatibilidad con placas base[editar]
Conroe, Conroe XE y Allendale usan el Socket LGA775; no obstante, no todas las placas base
soportan todos los procesadores.
Los chipsets soportados son:

Intel: 865PE/G/GV/G, 945P/PL/G/GZ/GC, 965P/G, 975X, P/G/Q965, Q963, 946GZ/PL,


P3x, G3x, Q3x, X38, X48, P4x , 5400 Express

NVIDIA: nForce4 Ultra/SLI X16 para Intel, nForce 570/590 SLI para Intel, nForce610i7050 650i Ultra/650i SLI/680i LT SLI/680i SLI y nForce 750i SLI/780i SLI/790i SLI/790i
Ultra SLI.

VIA: P4M800, P4M800PRO, P4M890, P4M900, PT880 Pro/Ultra, PT890.

SiS: 662, 671, 671fx, 672, 672fx

ATI: Radeon Xpress 200 y CrossFire Xpress 3200 para Intel

Vea tambin: Lista de chipsets Intel


El actual Yorkfield XE, modelo QX9770 (45 nm con FSB de 1600 MHz) tiene compatibilidad
slo con algunos chipsets: con X38, P35 (con overclocking) y algunos de alto rendimiento
como X48 y P45. De manera escalonada se liberan actualizaciones para BIOS que habilitan el
soporte para la nueva tecnologa Penryn, y el nuevo QX9775 es compatible nicamente con
D5400XS an y su placa base puede manejar dos de ellos.
A pesar de que una placa base posea el chipset necesario para soportar el ncleo Conroe,
algunas de ellas no lo soportan. Esto lo causa el requerimiento de estos procesadores de
energa, que se especifica en el Voltage Regulator-Down (VRD) 11.0. Este requerimiento es el
resultado del menor consumo de los ncleos Conroe, comparado con los Pentium 4 y D a los
que reemplaza. La mayora de las placas soportan los ncleos Conroe con una simple
actualizacin de la BIOS que permita reconocer el FID (Frequency ID) de los Conroe y el VID
(Voltaje ID).

Mdulos de memoria sncronos[editar]


Al contrario que los anteriores Pentium 4 y Pentium D, la tecnologa del Core 2 muestra el
gran beneficio obtenido al usar memoria sincronizada con el FSB. Esto significa que para una
CPU de tipo Conroe con un FSB a 1066 MHz, la memoria ideal es una DDR2 PC2-4200 o

PC2-8500. En algunas configuraciones, el uso de una PC2-5300 puede realmente reducir el


rendimiento. A pesar de que las memorias DDR2 con velocidades superiores ofrecen
incrementar el rendimiento, la diferencia real sobre juegos y aplicaciones es apenas notable. 18

Procesadores emparejados e ndices de RAM

Modelo de procesador

Porttiles: T5200, T5300, U2n00,


U7n00

"Front
Side
Bus"

533 MT/s

Sobremesas: E6n00, E6n20,


X6n00, E7n00, Q6n00 and QX6n00
Porttiles: T9400, T9600, X9100,
P7350, P8400, P8600, P9500

1066
MT/s

Porttiles: T5n00, T5n50, T7n00,


L7200, L7400

667 MT/s

Sobremesas: E6n40, E6n50,


E8nn0, Q9nn0, QX6n50, QX9650

Porttiles: T5n70, T7n00 (Socket


P), L7300, L7500, X7n00, T8n00,
T9300, T9500
Sobremesas: E4n00, Pentium
E2nn0, Celeron 4n0

Sobremesas: QX9770, QX9775

Memoria emparejada y ancho


de banda mximo
un canal/ dos canales

DDR1

DDR2

DDR3

PC-2100
(DDR266)

PC2-4200
(DDR2533)

PC3-8500
(DDR31066)

2.133
GB/s /
4.267 GB/s

4.264 GB/s /
8.528 GB/s

8.530 GB/s /
17.060 GB/s

PC2-8500
(DDR21066)
8.500 GB/s /
17.000 GB/s

1333
MT/s

800 MT/s

1600
MT/s

PC-2700
(DDR333)

PC2-5300
(DDR2667)

2.667
GB/s /
5.334 GB/s

5.336 GB/s /
10.672 GB/s

PC-1600
(DDR200)

PC2-3200
(DDR2400)

PC3-6400
(DDR3800)

1.600
GB/s /
3.200 GB/s

3.200 GB/s /
6.400 GB/s

6.400 GB/s /
12.800 GB/s

PC2-6400
(DDR2800)

PC312800
(DDR31600)

PC-3200
(DDR400)
3.200
GB/s /
6.400 GB/s

6.400 GB/s /
12.800 GB/s

PC310600
(DDR31333)
10.670 GB/s /
21.340 GB/s

12.800 GB/s /
25.600 GB/s

En trabajos que requieren grandes montos de acceso a memoria, los procesadores Core 2 de
cuatro ncleos se pueden beneficiar significativamente19 del uso de una memoria PC2-8500, la
cual funciona exactamente al doble de la velocidad del FSB; no es una configuracin
oficialmente soportada, pero un buen nmero de placas base lo ofrecen.
El procesador Core 2 no requiere el uso de memorias DDR2. Mientras que los chipsets Intel
975X y P965 la necesitan, algunas placas y chipsets soportan Core 2 sobre memoria DDR. En
estos casos, el rendimiento puede reducirse debido al bajo ancho de banda de comunicacin
disponible de la memoria.

Errores de los chips de Intel[editar]


La unidad de manejo de memoria (MMU) de los Core 2 en los procesadores X6800, E6000 y
E4000 no opera en sistemas antiguos que implementen generaciones de hardware x86. Esto
causa problemas, la mayora de ellos de seguridad y estabilidad, incluso con software
operativo disponible. Intel informa que en los prximos meses se actualizarn los manuales de
programacin con informacin sobre los mtodos recomendados para manejar el TLB
(Translation Lookaside Buffer) de los Core 2 para evitar problemas, y admite que en casos
aislados, los fallos del TLB pueden causar comportamiento impredecible del sistema, como
cuelgues o informacin incorrecta.20
Algunos problemas conocidos:

Proteccin contra escritura o bits de no ejecucin ignorados.

Instrucciones de coma flotante incoherentes.

Posibilidad de corromper la memoria fuera de rango permitiendo a un proceso escribir


secuencias comunes de instrucciones.

Las erratas de Intel Ax39, Ax43, Ax65, Ax79, Ax90, Ax99 son particularmente serias.
Concretamente, las 39, 43, y 79, que pueden causar comportamiento impredecible del sistema
o cuelgue permanente, se han corregido en recientes pasos.
Algunos de los que han calificado esta errata como particularmente seria son Theo de
Raadt de OpenBSD y Matthew Dillon de DragonFly BSD. Para contrastar las visiones sobre el
tema, Linus Torvalds calific el fallo TLB absolutamente insignificante, a lo que aadi El
mayor problema es que Intel debera haber documentado el comportamiento del TLB mucho
mejor.
Microsoft ha elaborado la actualizacin KB936357 para corregir la errata en el micro cdigo
sin prdida de rendimiento.21 Existen actualizaciones para BIOS que corrigen este problema.

Precios[editar]

Los precios para los varios modelos de Core 2, en su fecha de lanzamiento, se pueden
encontrar en la lista de procesadores Intel Core 2. Cabe aadir que estos precios son vlidos
para fabricantes como Apple Inc., Dell y HP. No hay precios de referencia para venta al
pblico, si bien es cierto que los precios finales normalmente no se alejan del precio para
mayoristas, pero depende de la oferta y la demanda y el margen de beneficio que se reserve
el vendedor.

Nomenclatura y abreviaturas[editar]
Con el lanzamiento del procesador Core 2, la abreviatura C2 se ha vuelto de uso comn, con
sus variantes C2D (el presente Core 2 Duo), y C2Q, C2E para referirse a los Core 2 Quad y
Core 2 Extreme respectivamente. C2QX se refiere a los Extreme-Edition de los Quad
(QX6700, QX6800, QX6850).

Vous aimerez peut-être aussi