Vous êtes sur la page 1sur 1

library BITLIB;

use BITLIB.bit_pack.all;

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.IN_BIT_1164.ALL;

Vous aimerez peut-être aussi