Vous êtes sur la page 1sur 12

IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS, VOL. 11, NO.

4, AUGUST 2015 853

Advanced Features and Industrial Applications


of FPGAsA Review
Juan J. Rodrguez-Andina, Senior Member, IEEE, Mara D. Valds-Pea, Member, IEEE,
and Mara J. Moure, Member, IEEE

AbstractField programmable gate arrays (FPGAs) have associated embedded peripherals, and a parallel (hardware)
established themselves as one of the preferred digital implementa- approach, usually restricted to solve specific parts of problems
tion platforms in a plethora of current industrial applications, and requiring high-performance solutions. Industrial penetration of
extensions and improvements are still continuously being included
in the devices. This paper reviews recent advancements in FPGA this second approach was conditioned by the limited knowledge
technology, emphasizing the novel features that may significantly of the technology and the design tools, lack of maturity of these
contribute to the development of more efficient digital systems tools, price, and lack of some specialized hardware function-
for industrial applications. Special attention is paid to the design alities. One of the major impediments to a wider adoption of
paradigm shift caused by the availability of increasingly power- reconfigurable computing as a new paradigm is the complexity
ful embedded (and soft) processors, which transformed FPGAs
from hardware accelerators to very powerful system-on-chip of programming FPGAs and the need for some hardware design
(SoC) platforms. New analog resources, floating-point operators, expertise to tame them [1].
and hard memory controllers are also described, because of the As FPGAs evolved taking advantage of fabrication technol-
great advantages they provide to designers. Software tools are ogy scaling down, vendors started to develop soft processor
being strongly influenced by the design paradigm shift, which cores that may be implemented from standard FPGA resources,
requires from them a much better support for software developers.
Focusing mainly on this issue, recent advancements in software as well as to integrate embedded (hard) processors in their
resources [intellectual property (IP) cores and design tools] are devices. This trend has seen a tremendous continuous devel-
also reviewed. The impact of new FPGA features in industrial opment, to the extent that current solutions are countless.
applications is analyzed in detail in three main areas, namely Because of this, the past dichotomy of design approaches
digital real-time simulation, advanced control techniques, and resulted in a paradigm shift that constitutes the major cur-
electronic instrumentation, with focus on mechatronics, robotics,
and power systems design. The way digital systems are being cur- rent asset of FPGAs, which cannot be just seen as hardware
rently designed in these areas is comprehensively reviewed, and a accelerators anymore, but as very powerful system-on-chip
critical analysis of how they could significantly benefit from new (SoC) platforms. The combination in a single chip of embedded
FPGA features is presented. (or soft) processors with custom optimized, high-performance
Index TermsAdvanced control, digital real-time simu- hardware peripherals has open the door for the unlimited appli-
lation, electronic instrumentation, embedded systems, field cation of FPGAs in all areas of digital design for industrial
programmable gate arrays (FPGAs), hardware-in-the-loop. applications.
Not surprisingly, the characteristics, design tools and method-
I. I NTRODUCTION ologies, and application areas of these devices have been
extensively analyzed over the past years [2][9], just to mention
A DVANCEMENTS in field programmable gate array
(FPGA) technology are continuously being reported.
High speed and flexibility, possibility of taking advantage
the works specifically focused on industrial systems. However,
being a relatively mature but also still young area, new features
of the inherent parallelism of many systems and algorithms, are continuously being developed. Therefore, in the authors
short time-to-market, good cost-performance tradeoff, large opinion, a review of the most recent advancements in FPGA
amount of embedded resources, and availability of special- technology will be useful for the industrial informatics research
ized intellectual property (IP) cores make FPGAs the preferred community. Thus, the aim of this paper is to provide such
implementation platform in many industrial applications. review together with an analysis of the impact the new features
Until a relatively recent time, there were two separate of current devices may have in the design of digital systems
approaches to design digital systems for industrial con- for industrial applications. The analysis is mainly carried out
trol applications: a sequential (software) approach based on in three areas, namely digital real-time simulation, advanced
either microcontrollers or digital signal processors (DSPs) and control techniques, and electronic instrumentation, with focus
on mechatronics, robotics, and power systems design.
Manuscript received January 30, 2015; revised April 08, 2015; accepted This paper is structured as follows. Novel hardware resources
April 30, 2015. Date of publication May 07, 2015; date of current version July
31, 2015. Paper no. TII-15-0148. currently available in FPGAs are described in Section II.
The authors are with the Department of Electronic Technology, University Section III deals with recent advancements in software
of Vigo, Vigo 36310, Spain (e-mail: jjrdguez@uvigo.es; mvaldes@uvigo.es; resources (IP cores and design tools). Industrial applications
mjmoure@uvigo.es).
where the new hardware resources are being, or expected to be
Color versions of one or more of the figures in this paper are available online
at http://ieeexplore.ieee.org. soon, used are analyzed in Section IV. Finally, conclusions are
Digital Object Identifier 10.1109/TII.2015.2431223 summarized in Section V.
1551-3203 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission.
See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
854 IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS, VOL. 11, NO. 4, AUGUST 2015

Fig. 1. fPLL block (adapted from [11]).

II. H ARDWARE R ESOURCES


Hardware resources in FPGAs greatly differ among manu-
facturers and devices. The resources providing most advantages
to designers were analyzed in [2]: integrated functional blocks,
I/O signal conditioning, partial reconfiguration, IP protection,
and special (e.g., radiation-tolerant) devices. State-of-the-art
fabrication technologies (14-nm, 3-D tri-gate transistors) are
enabling the integration of even more advanced features in
todays FPGAs, which in some cases account for more than Fig. 2. XADC application to motor control (adapted from [14]).
50 million equivalent logic gates and may achieve operat-
ing frequencies in excess of 500 MHz while keeping power
consumption within reasonable limits. In fact, although one
of the problems traditionally associated with FPGAs is their
high power consumption compared to that of microcontrollers,
in complex applications where multiple devices need to be
used, the power consumption of FPGAs may be comparable
to that of the microcontrollers required to implement the same
processing [10].
Among those features, this section focuses on the ones
allowing modern efficient digital systems for industrial applica-
tions (often based in complex algorithms) to be implemented,
because of the high computing power they provide and/or the
low execution time they achieve.

A. Analog Resources
One of the main past limitations of FPGAs was related to
the lack of analog resources, in particular analog-to-digital and
digital-to-analog converters (ADCs and DACs). The main new Fig. 3. SmartFusion ACE block (adapted from [15]).
analog resources in current FPGAs are as follows.
1) Fractional phase-locked loops (fPLLs) from Altera allow
fractional frequency synthesis to be implemented. As to 17 input channels to be accessed, as well as power sup-
shown in Fig. 1, this feature is achieved by placing ply and temperature sensors. A sample application of the
a deltasigma modulator in the feedback loop, which XADC block to motor control is depicted in Fig. 2.
allows the dividing factor to be dynamically modified 3) Analog compute engine (ACE). Microsemi SmartFusion
cycle by cycle, so the resulting equivalent (average) value FPGAs include a so-called ACE block, capable of con-
is not integer. The deltasigma modulator can be con- trolling up to three 12-bit, 600-ksps ADCs with sample
trolled by external signals, adding further flexibility. With and hold, as well as three 24-bit DACs. As shown in
this structure, the performance of reference oscillators Fig. 3, ACE consists of two functional blocks, similar
for digital communications is improved. In many current to simple custom microcontrollers: sample sequencing
industrial systems, high-speed, reliable communication engine (SSE) and postprocessing engine (PPE). Among
interfaces are required, e.g., for control or monitoring other functionalities, SSE allows ADC resolution and
purposes [12] or in digital real-time simulation [13]. sampling period to be configured, as well as an external
2) Analog-to-digital conversion. Xilinx 7 series analog start signal to be used. PPE allows different filters or lin-
mixed signal (AMS) technology provides high-quality ear transforms to be applied to input signals. This block
analog-to-digital conversion and customizable signal con- can be advantageously used for power converters control,
ditioning through the XADC block, which includes two like in [16], where the direct power control of a three-
12-bit, 1-Msps ADCs, two track-and-hold amplifiers phase PWM (Pulse Width Modulator) boost rectifier is
allowing differential sampling, a multiplexer allowing up described.
RODRGUEZ-ANDINA et al.: ADVANCED FEATURES AND INDUSTRIAL APPLICATIONS OF FPGAs 855

Fig. 4. Arria 10 FPGA variable-precision DSP block for floating-point arith-


metic operations (adapted from [11]).

B. Floating Point Arithmetic


Another important past limitation of FPGAs was related to
the very limited amount of resources available to implement
floating-point operations. As a consequence, many designs
were adapted to work in fixed point. Mantissa alignment and Fig. 5. SmartFusion architecture (adapted from [17]).
normalization consume a huge amount of resources in tra-
ditional devices, thus also resulting in slow operation. This
problem is overcome by the availability of new DSP blocks sup-
porting both IEEE 754 single-precision and double-precision
formats, as Altera variable precision (Fig. 4) DSP blocks. IEEE
754-compliant DSP blocks include adders and multipliers capa-
ble of operating at the same (high) clock frequency as their
fixed-point counterparts. Other features of these blocks are
internal registers for coefficients and systolic registers, which
allow vector-based functions (such as Fast Fourier Transforms
or Finite Impulse Response filters) to be implemented by
interconnecting several floating-point DSP blocks that operate
concurrently. Vendors provide sets of floating-point mathematic
functions (many of which comply with specifications such
as OpenCL 1.2) optimized for their implementation in these
blocks.

C. Embedded Processors Fig. 6. Arria 10 hard processor system (adapted from [18]).

FPGA-based SoC design (resulting in the so-called Field


Programmable SoCs, FPSoCs) has experienced an extraordi- in [19]. Xilinx went recently one step beyond by introduc-
nary growth over recent years. Vendors have developed specific ing UltraScale+ devices, featuring a quad-core ARM Cortex
FPSoC architectures that optimize the connection of either A53 and dual-core ARM Cortex R5 processing system, also
soft or embedded processors with hardware peripherals and including an ARM Mali-400 MP2 graphics processor unit.
distributed logic. An application example of embedded processor-based solu-
Soft processors are discussed in Section III-A. Regarding tions is presented in [20], where a fuzzy controller for perma-
embedded processors, Altera, Xilinx, and Microsemi currently nent magnet synchronous machines (PMSMs) is implemented
offer FPSoC solutions including hardware implementations of in a SmartFusion device by combining the Cortex-M3 processor
different ARM processors. Microsemi SmartFusion devices with distributed logic in the FPGA fabric.
include a Cortex-M3 processor, as shown in Fig. 5, whereas
Altera and Xilinx opted for dual-core Cortex-A9 processors for
D. Hard Memory Controllers
their most recent FPSoC families, Arria 10 (Fig. 6), Arria V,
Cyclone V, and Zynq-7000. The dual-core approach is partic- Arria V and 10 families from Altera include dedicated
ularly suitable for real-time applications, where the operating hardware for access control to external DDR/DDR2/DDR3/
system and the main program may run in one of the cores, DDR4 memories (Fig. 7). Spartan-6 and Virtex-6 families
whereas the other is devoted to time-critical functions. In both from Xilinx also include DDR3 hard memory controllers,
Altera and Xilinx devices, the processor and the FPGA fabric enhanced in series 7 families of devices and extended in the
are supplied independently, and it is possible to turn the lat- Ultrascale family to support DDR4 memories. Two types of
ter off to reduce power consumption. In addition, logic can be hard DDR/DDR2/DDR3 memory controllers are available in
fully or partially reconfigured from the processor, as reported Microsemi SmartFusion2 devices (Fig. 5): the MSS controller
856 IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS, VOL. 11, NO. 4, AUGUST 2015

Fig. 7. Arria 10 hard memory controller (adapted from [21]).

allows memory to be accessed from the ARM Cortex-M3 core,


whereas the Fabric controller allows memory to be accessed
from logic implemented in the FPGA fabric. Compared to IP
Fig. 8. Estimated FPGA design cost versus scale of integration (data from
core-based soft solutions, hard controllers achieve lower laten-
[24]).
cies and higher access frequencies. They support different data
widths, reordering of instructions and data for out-of-order exe-
cution, definition of priorities for reduced latency, streaming in an industrial facility. In this case, transitions between dif-
read or write operations for massive data transfer, operation ferent control strategies (open-loop voltage/frequency control,
modes for continuous access to random sequences of memory field-oriented control, direct torque control), associated with
addresses, multiport interfaces, low power consumption modes, different FPGA configurations that are dynamically changed,
user-controlled partial refresh cycles for reduced consumption, must be smooth enough to guarantee a stable behavior of the
or error-correcting algorithms. motor.
The implementation in Virtex-6 and Virtex-7 of a vision sys- Quicklogic focuses on mobile applications with its customer-
tem for moving object detection is reported in [22], where specific standard product (CSSP) solution, supported by the
images are stored in DDR3 memory, which is accessed from PolarPro and ArticLink nonvolatile (antifuse) families of
the FPGAs through a hard controller. devices. CSSP is based on the combination in a single chip
of hardware functional blocks widely used in mobile systems
with configurable logic. Hardware functional blocks are also
E. Application-Specific Devices configurable, allowing services to be customized according to
Differently from vendors targeting application domains as different regulations or user preferences. PolarPro devices are
wide as possible with their devices, some companies have intended to provide connectivity solutions, whereas ArticLink
specialized in application-specific solutions. These are not cur- is oriented to displays and visualization functions.
rently focused on industrial applications, but are mentioned
here as a prospect of what the future may bring in this regard to
industrial systems. III. S OFTWARE R ESOURCES
Tabula proposes a novel configurable architecture called Specific powerful software tools are needed to take advan-
Spacetime in its ABAX2 3PLD family. The concept is based tage of the many different complex hardware features available
on the ability of these devices to be reconfigured at frequencies in FPGAs [2]. The increasing amount of hardware resources
in the GHz range. In this way, resources can be utilized sev- included in current FPGAs results in more and more complex
eral times for different purposes during each cycle of the main systems. As a consequence, increasing design and verification
system clock. The target system is divided in subsystems that efforts are required. Thus, it is usually difficult to take the most
are actually present in the device only during a fraction of the advantage of available resources. The significant increase in
main clock cycle. The process is transparent for the user, who design cost as technology scales down is highlighted in Fig. 8.
is serviced as if all logic had been implemented in the device all The most significant recent advancements in terms of FPGA
the time. The main advantage of this approach is that systems software, namely IP cores and design tools, are analyzed in
can be implemented with less resources and shorter connec- Sections III-A and III-B, respectively.
tions, resulting in shorter delays and, as a consequence, higher
effective operating frequencies. Design is carried out through
Stylus, a tool following the usual configurable system design
flow, which makes transparent to the user the process of fit- A. IP Cores
ting the system into the Spacetime architecture. The limited The availability of suitable IP cores can dramatically sim-
amount of currently available embedded hardware resources plify the design of complex systems. The importance of IP cores
restricts application fields mainly to high-speed communication in modern design can be clearly noticed from the fact that the
systems, such as routers and switches. semiconductor IP market grows two times faster than the over-
Fast dynamic reconfiguration can provide significant advan- all semiconductor market [25]. The FPGA design composition
tages in some industrial applications, for instance to apply trends from 2012 to 2014 are shown in Fig. 9, where it can be
different control strategies optimized for different plant oper- clearly noticed that the relative amount of newly designed logic
ating conditions, as in [23] for the case of electric motors, decreases, whereas IP core usage increases, the trend being the
whose operating conditions may be subject to large variability latter becomes dominant.
RODRGUEZ-ANDINA et al.: ADVANCED FEATURES AND INDUSTRIAL APPLICATIONS OF FPGAs 857

The application domains where IP cores are most widely


used are automotive and transportation, consumer electronics,
cryptography, communications, and medical and healthcare.
Examples of commercially available IPs for industrial applica-
tions are as follows:
1) IP cores for power control (space-vector PWM, unipo-
lar H-bridge PWM, or AC drivers, among others) from
ELMG;
2) PROFINET switch from SoC-e, targeting industrial
automation solutions;
3) SpectraChip from RFEL Ltd., a frequency spectrum ana-
lyzer oriented to low-cost FPGAs;
4) LogicBRICKS from Xylon, which ease the development
Fig. 9. FPGA design composition trends in 20122014 (data from [26]).
of complex video processing systems, such as for video
surveillance multi-head 360 view cameras.
Last but not least, it is worth mentioning organizations aimed
The most widely used IPs for FPGAs are soft proces- at promoting open IP cores, such as Free IP Project or Open
sors. Although other soft processors are available, from the Cores.
comprehensive literature analysis carried out by the authors,
it can be clearly concluded that Xilinx MicroBlaze and Altera
B. Design Tools
Nios II continue to be the most widely used soft processors
in the application domains considered. No major changes have FPGA vendors usually provide proprietary software tools
occurred to them recently, but they have been improved by the allowing designs to be synthesized and implemented in their
addition of new peripherals and instructions. More important, devices. Current vendor-specific design tools are mostly based
memory access has been optimized, e.g., using the advanced on the same core functionalities described in [2], with the addi-
eXtensible interface (AXI). For instance, the latest MicroBlaze tions required to support the new hardware resources analyzed
versions come with new general purpose input (GPI) interrupts, in Section II. For instance, the LogiCORE IP XADC is avail-
improved latency in interrupt routine calls, or programmable able in Xilinx tools to automate the configuration of the XADC
baud rate universal asynchronous receiver/transmitter (UART). block described in Section II-A, and Altera provides IP cores
They also include an AXI System Cache soft-peripheral that and DSP Builder (Simulink) models supporting floating-point
can be used in any design based on a memory controller and operations based on the DSP blocks described in Section II-B.
works as a level 2 cache, improving system performance. The main evolution of design tools comes from the needs
In spite of the widespread use of these FPGA-vendor- arising from the integration of complex processors in FPGA
specific soft processors, there is a current trend in industry devices to create powerful FPSoC platforms. The two areas
toward the utilization of standard processors. Soft ver- where software tools currently focus in this regard are system-
sions of commercial (non-FPGA-vendor-specific) microcon- level design specification and validation.
trollers have been developed for instantiation in FPGA designs. Traditionally, hardware designers used a combination of
Examples include ARM Cortex-M1, Freescale ColdFire V1, high-level synthesis (HLS) tools with IP cores to generate their
or MIPS Technologies MP32. For instance, in [27], an ARM circuits. Embedded and soft processors came with the need for
Cortex-M1 hard processor was implemented in both Xilinx and specific tools to support them, such as Xilinx SDK (formerly
Altera FPGAs to provide designs with an IEEE 754-compatible EDK), Altera SOPC Builder, Cypress PSoC, Microsemi Libero
floating point unit. SoC, or Lattice LatticeMico System.
As discussed in Section II-C, currently FPGA vendors also Because of the paradigm shift caused by the tremendous
include hardware implementations of different ARM proces- development of FPSoC platforms, described in Section I, soft-
sors in their devices, reinforcing the trend toward the use of ware now plays a major role in FPGA-based design, and
standard processors instead of specific ones. These solutions designers profile changed accordingly. Software designers are
(either hard or soft) have the advantages of being FPGA- generally not familiarized with hardware description languages
technology-independent, low-cost, well-known architectures, and HLS tools. As a consequence, FPGA vendors are reori-
supported by many programming tools, compatible with many enting their tools to work at higher abstraction levels (using
operating systems, and allowing code reuse. C, C++ or, more recently, OpenCL), therefore making it eas-
An issue of increasing importance in this context is the con- ier for software developers to design, debug, and use hardware
nectivity of IP cores with the other elements of a design. This is components. Vivado HLS from Xilinx allows direct implemen-
a critical aspect in complex systems, because the advantages tation of a C description without the need for an intermediate
of using IP cores can be compromised by poor connectiv- structural description. However, being a HLS-oriented tool, it
ity. Therefore, current IP cores usually come with standard is more advantageous for hardware designers, capable of ana-
interfaces (such as AXI), which ease integration and avoid con- lyzing the result of the synthesis of a behavioral description in
nectivity errors. An example of such solution is AXI CAN from a parallel structure. In contrast, SDSoC (also from Xilinx) is
Xilinx. totally oriented to programmers, allowing not only the software
858 IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS, VOL. 11, NO. 4, AUGUST 2015

to be executed by the processor (ARM Cortex or NEON) but


also hardware components and interfaces between both parts to
be fully specified in C/C++. SDK for OpenCL from Altera is
based on the free programming model OpenCL. It allows hard-
ware components to be described in C language. In addition,
it includes a set of tools to emulate C code, extract information
about the parallel structure that will be generated from this code
(in the case of hardware components), as well as to compile
the code and to generate the hardware structures. Among other
options, several CPUs (ARM Cortex-A9, IBM Power Series,
x86) and memories (DDR SDRAM, QDR SRAM, FPGA inter-
nal memory) are supported, as well as fixed- and floating-point
operations. The limitations of using OpenCL in FPGA design
are analyzed in [28], where authors evaluate the SDK for
OpenCL tool through the implementation of a multi-FPGA
architecture oriented to high-performance computing. Although
they conclude that the tool allows a significant part of the design
process to be automated, thus greatly reducing design time, they
also identify generic problems related to the translation of C
code to HDL and the integration of the generated HDL code
with other hardware parts of the system.
There also exist a lot of third-party FPGA design tools.
Cadence Design Systems, Mentor Graphics, and Synopsys are
the three main partners of FPGA vendors in the software arena,
providing tools for system-level design (Cadence C-to-Silicon
Compiler, Mentor Graphics HDL Designer, and Synopsys
Model Compiler) and simulation (Cadence Incisive Enterprise
Simulator, Mentor Graphics ModelSim, and Synopsys VCS).
Other third party tools are Riviera-PRO (simulation) and
Active-HDL (verification) from Aldec, Analyze RTL (verifi-
cation) and Create Timing Constraints (constraints generation)
from Blue Pearl, and SpyGlass (RTL verification) and SpyGlass Fig. 10. Traditional versus FPSoC-based digital systems.
Constraints (constraints generation) from Atrenta.
Last but not least, the design of SoCs based on the Cortex many recently published works based on the combination of
family of processors is supported by ARM Development Studio separate digital processing and configurable logic chips [29]
5 (DS-5). [34], as analyzed in detail in the following sections. Migration
It is important to note that FPGA design tools are often a from digital processor-based solutions to FPGA-based ones
source of strong controversy between companies and users, can be done in a relatively easy way by taking advantage of
because there is usually a significant gap between the features embedded or soft processors, where code already validated in
and advantages claimed by companies and the users experi- the previous platforms can be reused. At the same time, time-
ence. By just having a look at the many users forums available critical functionalities can be moved to hardware. When huge
on the web, this fact can be clearly ascertained, being one of amounts of data have to be managed, external DDR or Flash
the main limiting factors for an even more widespread use of memories are required, as described in [35] for a robot audi-
FPGAs. tion application. The hard memory controllers described in
Section II-D can obviously provide significant advantages in
this type of systems. The availability of DSP blocks capable
IV. I NDUSTRIAL A PPLICATIONS
of efficiently implementing floating point operations, as well as
This section analyzes the impact the new hardware features of embedded ADCs and DACs, can also boost applicability of
of current devices may have in the design of digital systems the new FPGA devices in many industrial informatics domains.
for industrial applications. Three main areas are considered, All these features are highlighted in Fig. 10, where the gen-
namely digital real-time simulation, advanced control tech- eral structure of traditional (DSP and/or microcontroller-based)
niques, and electronic instrumentation, applied to mechatron- digital systems can be compared to that of currently available
ics, robotics, and power systems design. This choice is based FPSoCs.
on the fact that in these application domains, there is a current
demand for systems capable of providing fast digital implemen-
A. Digital Real-Time Simulation
tations of complex algorithms, e.g., for switching purposes.
As mentioned in Section I, the major asset of FPGAs is their Hardware-in-the-loop simulation (HILS) has become a very
capability as powerful SoC platforms. However, there are still popular technology, particularly for real-time simulation of
RODRGUEZ-ANDINA et al.: ADVANCED FEATURES AND INDUSTRIAL APPLICATIONS OF FPGAs 859

(complex) power systems, where it allows development time, equations describing the target plant, and an FPGA interfacing
cost, and time to market to be reduced [36]. On one hand, sim- the HILS with the controller hardware under test.
ulations are much shorter than when using software tools like One important issue in FPGA-based HILS is the choice
MATLAB/Simulink. On the other hand, it is possible to check between using fixed- or floating-point arithmetic, which have
the behavior of some components of the system under faulty opposite effects on accuracy and latency. One such analysis
[37] or extreme operating conditions without actually compro- in the case of modeling of electric machines is carried out
mising system integrity. HILS requires very accurate hardware in [44], where floating-point operators are implemented using
models of the target (parts of the) system to be available, as distributed logic and basic embedded arithmetic blocks (multi-
well as suitable algorithms (that may be quite complex) to be pliers or DSP blocks). The use of the new IEEE 754-compatible
used. In many cases, the limiting factor is the simulation time DSP blocks would make floating-point implementations much
step, which must be short enough (even below 1 s) to allow faster and more efficient, eliminating the need for using fixed-
transients to be accurately modeled, especially when dealing point arithmetic to avoid latency problems.
with high-speed power switches. In this context, the ability Two models to determine the optimal resolution to be used
of FPGAs to provide simulation time steps in the ns range, in the representation of state variables of power converters for
together with the availability of memory blocks and complex FPGA-based HILS are proposed in [45], where they are val-
arithmetic blocks, as well as their parallelism and reconfigura- idated for the case of a boost converter. The resolution used
bility, make them very suitable for the development of HILS for state variables has a direct impact on both complexity
platforms. and clock frequency, and hence the importance of an opti-
A hardware platform for digital real-time simulation and con- mal choice. In this work, authors use fixed-point arithmetic,
trol applications, based on DSPs and FPGAs, is introduced since floating-point operators would consume a huge amount
in [13]. The DSPs are used as main processing elements of logic resources in the device used, also impacting operating
(in charge, among others, of floating-point calculations), frequency. This limitation could be avoided by taking advan-
whereas the FPGAs act as communication links and copro- tage of the DSP blocks with double-precision floating-point
cessors. External ADCs and DACs are used to implement capabilities available in current devices.
analog I/O channels. In spite of the good results, authors In spite of the clear advantages of FPGA-based HILS, effi-
state as one limiting factor of their approach the slow cient modeling may be too complicated for nonexperienced
access from the DSP to the FPGA. Another similar DSP- designers. Because of this, some works have been devoted
FPGA platform for digital real-time simulation, targeting in to the development of platforms including graphic interfaces
this case the analysis of a permanent magnet synchronous aimed at simplifying the process and making FPGA design
generator, is described in [29]. Real-time digital simula- and implementation details transparent to users. An ultra-low
tion of PMSMs is also addressed in [38], whereas modular latency digital processor core for simulation of power systems
multilevel converters are targeted in [37] and [39]. These is proposed in [46] and used in [47] to verify the operation of
solutions, based on system-level modeling, are mostly ori- two control algorithms for a voltage source converter (VSC)
ented to a behavioral analysis (waveforms, harmonics, and connected to a smart grid. An electric circuit solver tool that
the like) and use ideal models of switching devices. Going allows simulating in an FPGA any RLC circuit with ideal
one step beyond in terms of the large computational power power switches (IGBTs, MOSFETs, GTOs, SCRs, or diodes)
required, device-level modeling is necessary when addressing is proposed in [48]. The tool includes specialized modules for
the analysis of high frequency effects (device stresses, para- modeling motors and inverters.
sitics, or electromagnetic interference noise issues) and their
suppression [40].
An HILS platform to simulate resonant inverters for induc- B. Advanced Control Techniques
tion heating appliances is proposed in [41]. It consists of an Digital control of power systems is one of the most interest-
FPSoC based on the MicroBlaze soft processor, which is in ing current research topics in industrial electronics. Although
charge of nontime-critical tasks. The design was carried out most digital controllers in this area are still based nowadays
in C language and translated into HDL language using the on microcontrollers or DSPs, these solutions have two main
high-level synthesis tool Vivado from Xilinx, described in drawbacks, related to the execution times of control algorithms
Section III-B. In [42], two FPGAs are used to build an HILS and the lack of flexibility to interface with the analog environ-
system to validate the controller of a three-phase PWM recti- ment (ADCs and DACs). Both drawbacks can be dramatically
fier. The rectifier is emulated in real time with a pure hardware mitigated by the use of modern FPSoCs, which, on the other
implementation in one of the devices, whereas the controller hand, require for this kind of applications a careful partitioning
is implemented in the other as an FPSoC based on an ARM of tasks between hardware and software components. Generic
Cortex-M3 core. partitioning methods are usually based on optimizing area,
HILS platforms are also used in other areas. For instance, the processing time, or power consumption. When dealing with
one presented in [43] addresses Computer Numerical Control power systems, however, other important control parameters
machines. It consists of a host PC, whose main tasks are those must be taken into account, such as bandwidth [49], stability
associated with a graphical user interface for the design, imple- margin [50], or disturbance rejection [51]. Limited amounts
mentation, and execution of the HILS, a DSP that acts as main of specialized embedded resources (such as memory blocks,
computing engine for the numerical solving of the differential complex arithmetic operators, or DSP blocks) may also be a
860 IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS, VOL. 11, NO. 4, AUGUST 2015

problem. In [52], authors propose a hardware/software codesign proposed in [55]. In this work, the control algorithm is executed
method (considering both control and resource restrictions), in a DSP and an FPGA is used to generate the 48 PWM sig-
which is validated in the design of a speed controller for a syn- nals required to act on the multilevel inverter. Similar solutions
chronous motor [based on an extended Kalman filter (EKF)] in terms of DSP-FPGA task distribution is proposed in [56] for
implemented using a MicroBlaze soft processor and FPGA the proportional resonant control of primary voltage-source rec-
fabric. tifiers in traction systems, in [57] for distributed control of a
Accurate identification of the parameters of the target sys- three-stage solid-state transformer, in [33] for controlling mul-
tem is of paramount importance for the development of suitable tilevel modular matrix converters, or in [58] for predictive direct
control algorithms. The FPGA implementation of an observer torque control of PMSMs. In [59], some of the PWM signals for
for the state-of-charge estimation in lithium-polymer batteries controlling a two-level cascaded three-phase bridge inverter are
is presented in [53] aiming at achieving real-time operation generated in a DSP, whereas the required delayed versions of
while taking advantage of FPGA parallelism to manage indi- these are obtained with an FPGA. Aiming at achieving higher
vidual cells or modules in a battery pack. A system combining sampling/switching frequencies in matrix converters, in [60], a
a microcontroller and an FPGA for measuring stator induc- DSP is in charge of the PLL function, the control algorithm, and
tance and resistance in PMSMs is presented in [30]. The (inner) the initial steps of the modulation strategy, whose remaining
current control loop is fully implemented in the FPGA, to steps are accelerated by means of their FPGA implementa-
ensure minimum delays and thus good control performance. tion. In [61], sensorless control of a PMSM is performed by
The required ADC interface is also implemented in the FPGA. a DSP, and an FPGA is used as a coprocessor to accelerate
The less time-sensitive, higher level control loops (speed and execution of a marginalized particle filter (a combination of
position) are implemented in the microcontroller. This sys- Kalman and particle filters) for estimating rotor position in the
tem would greatly benefit from FPSoC architectures and the full speed range. All these systems could be advantageously
availability of embedded ADCs. implemented as FPSoCs, in some cases also benefitting from
Important issues in the implementation of digital controllers the use of embedded ADCs or of embedded hardware arith-
for power systems are also analyzed in [5]. Not surprisingly, metic blocks (to perform some of tasks currently executed in
the hardware implementation of relatively complex arithmetic DSPs, for instance for filtering purposes).
operations (e.g., divisions) is preferred to software solutions. Hard real-time capabilities are a fundamental requirement in
Authors conclude that for systems with strong timing require- many robotics applications, where control loops must exhibit
ments (e.g., switching frequency above 1 MHz), hardware high operating frequency and low latency, while executing
solutions are the only ones viable in practice. The use of over- high-complexity algorithms. DSPs, alone or combined with
sampling techniques (taking advantage of reduced processing FPGAs, have been extensively used in this area. However, their
times) allows a single controller to be used for several subsys- inherent sequential processing results in high latency when exe-
tems and a better synchronization among them to be achieved. cuting complex algorithms. Moreover, system scalability (to
Hardware parallelism is required in high-performance power include additional sensors or actuators) is very limited. In this
segmentation applications, where multiple power channels context, the use of current FPGAs allows better performance
must be concurrently controlled. Very interestingly, some of the and accuracy, as well as real-time or fault-tolerant operation,
most challenging needs identified by the authors of that paper to be achieved [62][64]. Fault tolerance is also a fundamental
(efficient floating point computations and analog/digital inter- requirement of those power systems that must guarantee con-
faces) can now be addressed with the new features available in tinuous operation. In [65], an FPGA-based system is used for
current FPGAs. both control and online fault detection in a power converter. In
A DSP-based algorithm for computing the voltage unbalance the absence of faults, it operates as a conventional back-to-back
factor (VUF) in three-phase systems is presented in [31], clearly six-leg converter. If a fault is detected, the controller is recon-
outperforming the standard method IEC 61000-4-27. In spite of figured for the converter to operate as five leg. The faster the
the good results obtained, authors state that, although VUF tests fault detection and reconfiguration, the safer the operation of
should be run in continuous mode, because of the limitations of the system is. Faster operation could be achieved by replacing
the DSP in terms of both speed and processing power, they can the FPGA used in that work with a more current one including
only be run at time intervals between 0.1 s and 3 min. This prob- advanced computation resources.
lem could be alleviated by the use of FPGAs to concurrently run Modular robotics (e.g., for humanoid robots), where the
some parts of the algorithm. dynamics of each module are managed by a local embedded
Current control of three-phase VSCs is addressed in [54], controller, can increasingly take advantage of the capabilities
where the grid synchronization PLL is implemented in a DSP of current FPGA devices, as in [66], to achieve higher sampling
and the current control in an FPGA. In [32], the use of moving frequencies (and, in turn, better performance) than centralized
average filters implemented in a DSP is proposed for har- systems. The flexibility of FPSoC-based solutions adds to that
monic elimination in H-bridge cascaded STATic synchronous of these modular systems, allowing robot structure to be eas-
COMPensators (STATCOMs). The use of FPGAs is restricted ily modified, extended, or repaired, even in the field. In this
in this case to the generation of 72 PWM signals, whereas fil- context, the availability of FPGAs including two ARM pro-
tering stages could have also been easily implemented on them. cessors contributes to reduced size and weight, as well as
A scheme for balancing the series capacitor voltages in a three- lower processor intercommunication latency. For instance, in
phase cascaded five-level inverter feeding an induction motor is [67], the central processing node in a humanoid robot system
RODRGUEZ-ANDINA et al.: ADVANCED FEATURES AND INDUSTRIAL APPLICATIONS OF FPGAs 861

is implemented in a Zynq-7000 FPGA, which acts as global and DACs available in some current devices open the door
hub for the remaining FPGA processing nodes, each one con- for the development of single-chip controllers. For instance, in
nected to a set of sensors and actuators. In that work, one of [16], the DACs included in the ACE block of a SmartFusion
the ARM cores runs a real-time operating system and executes device are used for the generation of the analog waveform of
time-critical tasks, whereas the other core runs a general pur- the estimated angular position of the voltage vector. In this same
pose operating system and is in charge of tasks for which longer work, the embedded ARM core is used for the implementation
latencies are acceptable, such as the user interface. of the control algorithm. Using these advanced resources, the
The ability to perform floating-point operations is a funda- performance of the resulting system is greatly improved.
mental requirement for improved performance in some appli- The use of FPGAs in micro-electro-mechanical systems
cations, such as in [57], [68], and [69]. Because of the limited (MEMs)-based gyroscopes is proposed in [77]. Common tasks
amount of resources to perform floating-point operations avail- in this kind of applications require the use of ADCs and DACs,
able in the FPGA used, a nonstandard format (simpler than as well as PLLs (for frequency tracking). In the aforementioned
IEEE 754) is implemented in [61], at the expense of accuracy work, all these elements are external to the FPGA, so perfor-
and dynamic range. As indicated in Section II-B, up to recently mance could be improved (and chip count reduced) by using the
resources for floating-point operation were scarcely available in embedded resources of these types available in current devices.
FPGAs. In current advanced FPSoCs, it is possible to imple- This is generally true for many instrumentation and measure-
ment such operations either in embedded or soft processors, ment circuits using FPGAs (like the ones proposed in [78] for
or in dedicated specialized hardware blocks. In [70], the use the characterization of inductive microsensors, in [79] for dc
of software-implemented floating point operations for identifi- leakage current sensors, in [80] for frequency measurement in
cation of the resonant tank impedance of domestic induction MEMs accelerometers, or in [81] and [82] for microgripper
heating systems is presented. Since impedance computation is control) as long as the resolution, sampling rate, etc. of the
not a time-critical task in this case, a MicroBlaze soft processor embedded analog blocks are enough for the target applications.
is used for this purpose. In [71], a linear generalized propor- On the other hand, vision algorithms can be very effi-
tional integral output feedback control scheme is proposed for ciently implemented in FPGAs, which allow excellent per-
load current disturbance attenuation in a multilevel buck con- formance to be achieved in applications such as intelligent
verter system acting as an inverter. In [72], a real-time nonlinear spaces or unmanned ground or (micro) aerial vehicles (UGVs,
hysteretic power transformer model on FPGA is proposed. In UAVs, or MAVs). Current intelligent space applications are
these two last works, pipeline architectures are implemented to usually addressed by using multiple cameras, each of them
optimize system latency, and pure hardware floating-point oper- equipped with an associated distributed processing node [83].
ators (embedded multipliers and distributed logic in the first In UAV/MAV applications, huge amounts of data must be pro-
case; basic DSP blocks in the second) are used to ensure a suit- cessed in real time with low latency, for instance for flight
able dynamic range for signals. Better results could be achieved control, real-time mapping, or obstacle avoidance purposes.
by using the new IEEE 754-compatible DSP blocks. One such application where FPGAs are used as processing ele-
The application of FPGAs in automotive systems is an area ments is described in [62]. Reduced size and weight are also
of increasing interest. In [73], the use of an EKF for vehi- fundamental requirements of these aerial systems. The use of
cle velocity estimation is proposed. Since EKF requires matrix FPSoCs allows chip (or board) count to be reduced, there-
multiplications and inversions to be computed in each iteration, fore contributing to the fulfillment of those requirements. For
a high processing power is necessary to comply with the latency instance, a hardware/software system to process depth images
requirements imposed by the antilock breaking system and the and estimate ego-motion through the combination of visual
electronic stability program. In this case, the EKF algorithm is odometry and an inertial measurement unit (IMU) is presented
implemented in software, using a Nios II soft processor run- in [34]. The system consists of two cameras, an Intel Core2Duo
ning at 100 MHz, and a custom hardware accelerator is used processor board, a Spartan 6 FPGA board, an ARM processor
for single-precision floating-point matrix operations. Also in board, and an IMU. Computation of depth images is carried out
the automotive area, similar problems regarding the efficient in the FPGA, whereas all remaining functionalities are imple-
implementation of matrix operations are addressed in [74] for mented in software, nontime-critical ones in the Core2Duo and
the design of a predictive speed controller in dual-clutch trans- time-critical ones in the ARM. Using one of the new FPGA
mission gearshifts. Much better performance could be obtained devices including ARM Cortex-A9 processors, the number of
in both cases by using current devices, with more powerful required boards (and thus the size and weight of the system)
embedded processors and DSP blocks. can be reduced. An additional advantage may be increased pro-
cessing speed, resulting in better accuracy. In [84], the use of a
Zynq-7000 FPGA is proposed for robust and accurate real-time
C. Electronic Instrumentation
simultaneous localization and mapping (SLAM). The system
The lack of embedded ADCs and DACs affected the features mainly consists of the FPSoC, four cameras, and an IMU. The
and performance, as well as the complexity, of FPGA-based Zynq-7000 device replaces the combination of an FPGA and an
industrial systems proposed in recent years, as in the afore- Intel ATOM processor used in previous prototypes. The hard-
mentioned [13], [57], or in [75]. Vector control of PMSMs is ware part interfaces with the inertial and the image sensors
addressed in [76], where frequency domain multiplexing is used (however, resource usage for image processing is reported in
to reduce the number of required (external) ADCs. The ADCs this paper for the Spartan 6 device used in the earlier prototype,
862 IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS, VOL. 11, NO. 4, AUGUST 2015

which may explain why fixed-point versions of the algorithms area would definitely boost acceptance of the new devices
were used), whereas the ARM core running Linux is in charge among designers, with the corresponding benefit for the result-
of processes such as the SLAM framework or host communi- ing systems. On the other hand, industrial electronics designers
cation. A similar FPSoC including an embedded ARM Cortex are often (and understandably) mainly focused on the details
processor, from Altera in this case, is proposed in [85] to of their specific applications, rather than in the implementation
perform stereo visual odometry for localization of low-cost platforms, so they tend to keep using the same digital devices.
MAVs in global positioning system (GPS)-denied environ- In this sense, this paper can motivate them to evaluate the ben-
ments. The system includes two cameras and an IMU. While efits of upgrading their systems by taking advantage of the new
most of the tasks are implemented in the hardware part, the FPSoC architectures.
used platform would allow the extension of the functionality to
include complex high-level tasks executed in the ARM proces-
sor. This is also the case of the work presented in [86], where a
R EFERENCES
configurable hardware system for traffic sign recognition is pro-
posed, achieving 60 frames per second with 1280 720 pixel [1] W. A. Najjar and P. Ienne, Reconfigurable computing, IEEE Micro,
vol. 34, no. 1, pp. 46, Jan./Feb. 2014.
images. [2] J. J. Rodrguez-Andina, M. J. Moure, and M. D. Valds, Features, design
Improved control performance in industrial laser cladding tools, and application domains of FPGAs, IEEE Trans. Ind. Electron.,
processes has been demonstrated to be achieved by the sys- vol. 54, no. 4, pp. 18101823, Aug. 2007.
[3] E. Monmasson and M. N. Cirstea, FPGA design methodology for indus-
tem presented in [87]. This work proposes an adaptive fuzzy trial control systemsA review, IEEE Trans. Ind. Electron., vol. 54, no. 4,
PI controller that works with data extracted from a real-time pp. 18241842, Aug. 2007.
image-processing system (achieving 100-Hz sampling rate for [4] M.-W. Naouar, E. Monmasson, A. A. Naassani, I. Slama-Belkhodja, and
N. Patin, FPGA-based current controllers for AC machine drivesA
800 600 -pixel images). The whole monitoring and control review, IEEE Trans. Ind. Electron., vol. 54, no. 4, pp. 19071925, Aug.
system is implemented in an FPGA, combining a Nios II soft 2007.
processor with high-performance custom hardware peripherals. [5] E. Monmasson, L. Idkhajine, and M. W. Naouar, FPGA-based con-
trollers, IEEE Ind. Electron. Mag., vol. 5, no. 1, pp. 1426, Mar.
Since the board used does not include a DAC, the analog sig- 2011.
nal required to adjust the power of the laser bean is obtained [6] E. Monmasson et al., FPGAs in industrial control applications, IEEE
by applying a PWM signal to an external low-pass filter. This Trans. Ind. Informat., vol. 7, no. 2, pp. 224243, May 2011.
[7] E. Monmasson and M. Cirstea, Guest editorial special section on indus-
could be simplified by using a device with an embedded DAC. trial control applications of FPGAs, IEEE Trans. Ind. Informat., vol. 9,
In a similar application domain, the computer-based image no. 3, pp. 12501252, Aug. 2013.
processing part of the system proposed in [88] for monitor- [8] L. Gomes and J. J. Rodrguez-Andina, Guest editorial special section
on embedded and reconfigurable systems, IEEE Trans. Ind. Informat.,
ing a laser-welding process could be simplified by using an vol. 9, no. 3, pp. 15881590, Aug. 2013.
FPGA-based system. [9] L. Gomes, E. Monmasson, M. Cirstea, and J. J. Rodrguez-Andina,
Industrial electronic control: FPGAs and embedded systems solutions,
in Proc. 39th Annu. Conf. IEEE Ind. Electron. Soc. (IECON13), 2013,
pp. 6065.
V. C ONCLUSION [10] M. Henrey, S. Edmond, L. Shannon, and C. Menon, Bio-inspired walk-
New features are continuously being added by FPGA ven- ing: A FPGA multicore system for a legged robot, in Proc. 22nd Int.
Conf. Field Programm. Logic Appl. (FPL12), 2012, pp. 105111.
dors to their devices, targeting ever wider application domains. [11] Altera Corporation. (2015). Arria 10 Core Fabric and General Purpose
Some of them are advanced extensions or improvements to I/Os Handbook [Online]. Available: https://www.altera.com/en_US/pdfs/
previously available resources (e.g., embedded and soft proces- literature/hb/arria-10/a10_handbook.pdf
[12] D. Vila, M. Pozo, M. Pacas, and J. J. Rodrguez-Andina, FPGA-
sors), whereas others are new ones aimed at mitigating tradi- based communication module for control of power inverters and
tional limitations (e.g., related to interfacing with the analog drives, in Proc. IEEE 15th Workshop Control Model. Power Electron.
world or to the ability to efficiently perform floating-point oper- (COMPEL14), 2014, pp. 16.
[13] F. Adler, A. Benigni, H. Stagge, A. Monti, and R. W. De Doncker, A new
ations) or at improving performance (e.g., regarding memory versatile hardware platform for digital real-time simulation: Verification
controllers). and evaluation, in Proc. IEEE 13th Workshop Control Model. Power
These new features, as well as recent advancements in soft- Electron. (COMPEL12), 2012, pp. 18.
[14] [Online]. Available: http://www.xilinx.com/products/technology/analog-
ware resourcesIP cores and design toolsare reviewed in the mixed-signal/modals/motor-control.html
first part of this paper. The second part is devoted to analyze the [15] [Online]. Available: http://www.microsemi.com/products/fpga-soc/soc-
impact of new features in current industrial application domains fpga/smartfusion#ds
[16] M. Ben Sad et al., Full System on Programmable Chip solution for DPC
where FPGAs are already being used. From this analysis, it can control of three phase PWM boost rectifier, in Proc. 38th Annu. Conf.
be clearly concluded that, although many industrial applications IEEE Ind. Electron. Soc. (IECON12), 2012, pp. 30673072.
could greatly benefit from them, they are very scarcely used [17] Microsemi Corporation. (2015). SmartFusion2 System-
on-Chip FPGAs Product Brief [Online]. Available:
by designers. For instance, the use of the new FPGA+ARM http://www.microsemi.com/products/fpga-soc/soc-fpga/sf2docs#ds
architectures is reported in very few works. [18] [Online]. Available: https://www.altera.com/products/soc/portfolio/arria-
In the authors opinion, there are two main reasons for 10-soc/arria10-soc-hps.html
[19] M. Al Kadi, P. Rudolph, D. Ghringer, and M. Hbner, Dynamic and
this. On one hand, the characteristics of these architectures partial reconfiguration of Zynq 7000 under Linux, in Proc. Int. Conf.
require from designers good knowledge and expertise in both Reconfig. Comput. FPGAs (ReConFig13), 2013, pp. 15.
the hardware and software domains, as well as in the effi- [20] M. Ashwin and U. Madhava Rao, Performance evaluation of fuzzy
logic speed controller for permanent magnet synchronous motor drives
cient integration of both sides, and the partitioning of tasks on a SoC platform, in Proc. 39th Annu. Conf. IEEE Ind. Electron. Soc.
between them. The development of new design tools in this (IECON13), 2013, pp. 30423047.
RODRGUEZ-ANDINA et al.: ADVANCED FEATURES AND INDUSTRIAL APPLICATIONS OF FPGAs 863

[21] [Online]. Available: http://wl.altera.com/technology/memory/controller/ [44] N. R. Tavana and V. Dinavahi, A general framework for FPGA-
hard-memory/mem-controllers.html based real-time emulation of electrical machines for HIL applica-
[22] T. Kryjak and M. Gorgon, Real-time implementation of the ViBe fore- tions, IEEE Trans. Ind. Electron., vol. 62, no. 4, pp. 20412053, Apr.
ground object segmentation algorithm, in Proc. Fed. Conf. Comput. Sci. 2015.
Inf. Syst. (FedCSIS13), 2013, pp. 591596. [45] O. Goi, A. Snchez, E. Todorovich, and . de Castro, Resolution analy-
[23] O. Buchholz and J. Boecker, FPGA-based dynamically reconfigurable sis of switching converter models for hardware-in-the-loop, IEEE Trans.
control of induction motor drives, in Proc. 39th Annu. Conf. IEEE Ind. Ind. Informat., vol. 10, no. 2, pp. 11621170, May 2014.
Electron. Soc. (IECON13), 2013, pp. 35433548. [46] D. Majstorovic, I. Celanovic, N. D. Teslic, N. Celanovic, and V. A. Katic,
[24] Z. Or-Bach. (2014). FPGAs as ASIC Alternatives: Past and Future Ultralow-latency hardware-in-the-loop platform for rapid validation of
[Online]. Available: http://www.eetimes.com/author.asp?doc_id=1322021 power electronics designs, IEEE Trans. Ind. Electron., vol. 58, no. 10,
[25] [Online]. Available: http://www.marketsandmarkets.com/Market-Re- pp. 47084716, Oct. 2011.
ports/semiconductor-silicon-intellectual-property-ip-market-651.html [47] Z. R. Ivanovic et al., HIL evaluation of power flow control strategies
[26] [Online]. Available: http://blogs.mentor.com/verificationhorizons/blog/ for energy storage connected to smart grid under unbalanced condi-
2015/02/08/ part-1-the-2014-wilson-research-group-functional-verifica- tions, IEEE Trans. Power Electron., vol. 27, no. 11, pp. 46994710, Nov.
tion-study/ 2012.
[27] J. Joven et al., HW-SW implementation of a decoupled FPU for ARM- [48] C. Dufour, S. Cense, T. Ould-Bachir, L.-A. Grgoire, and J. Blanger,
based Cortex-M1 SoCs in FPGAs, in Proc. 6th IEEE Int. Symp. Ind. General-purpose reconfigurable low-latency electric circuit and motor
Embedded Syst. (SIES11), 2011, pp. 18. drive solver on FPGA, in Proc. 38th Annu. Conf. IEEE Ind. Electron.
[28] S. Gao and J. Chritz, Characterization of OpenCL on a scalable Soc. (IECON12), 2012, pp. 30733081.
FPGA architecture, in Proc. Int. Conf. Reconfig. Comput. FPGAs [49] S. Buso and T. Caldognetto, A non-linear wide bandwidth digital current
(ReConFig14), 2014, pp. 16. controller for DC-DC and DC-AC converters, in Proc. 40th Annu. Conf.
[29] A. Hasanzadeh, C. S. Edrington, N. Stroupe, and T. Bevis, Real-time IEEE Ind. Electron. Soc. (IECON14), 2014, pp. 10901096.
emulation of a high-speed microturbine permanent-magnet synchronous [50] H. Youness, M. Moness, and M. Khaled, MPSoCs and multicore micro-
generator using multiplatform hardware-in-the-loop realization, IEEE controllers for embedded PID control: A detailed study, IEEE Trans. Ind.
Trans. Ind. Electron., vol. 61, no. 6, pp. 31093118, Jun. 2014. Informat., vol. 10, no. 4, pp. 21222134, Nov. 2014.
[30] F. Senicar, M. Dopker, A. Bartsch, B. Kruger, and S. Soter, Inverter [51] M. C. Di Piazza, M. Luna, and G. Vitale, Design and FPGA implemen-
based method for measurement of PMSM machine parameters based on tation of an all-digital two-quadrant general pulse-width modulator, in
the elimination of power stage characteristics, in Proc. 40th Annu. Conf. Proc. Int. Symp. Ind. Electron. (ISIE14), 2014, pp. 13281335.
IEEE Ind. Electron. Soc. (IECON14), 2014, pp. 702708. [52] I. Bahri, L. Idkhajine, E. Monmasson, and M. El Amine Benkhelifa,
[31] H. Wen, D. Cheng, Z. Teng, S. Guo, and F. Li, Approximate algorithm Hardware/software codesign guidelines for System on Chip FPGA-
for fast calculating voltage unbalance factor of three-phase power sys- based sensorless AC drive applications, IEEE Trans. Ind. Informat.,
tem, IEEE Trans. Ind. Informat., vol. 10, no. 3, pp. 17991805, Aug. vol. 9, no. 4, pp. 21652176, Nov. 2013.
2014. [53] N. Otero, H. Rahimi-Eichi, J. J. Rodrguez-Andina, and M.-Y. Chow,
[32] Y. Wang, K. Yang, C. He, and G. Chen, A harmonic elimination FPGA implementation of an observer for state of charge estimation
approach based on moving average filter for cascaded DSTATCOM, in lithium-polymer batteries, in Proc. Int. Conf. Mechatron. Control
in Proc. 40th Annu. Conf. IEEE Ind. Electron. Soc. (IECON14), 2014, (ICMC14), 2014, pp. 16461651.
pp. 45084513. [54] V. A. Prabhala, M. Cspedes, and J. Sun, Implementation of DQ domain
[33] Y. Miura, K. Inubushi, M. Ito, and T. Ise, Multilevel modular matrix control in DSP and FPGA, in Proc. 27th Annu. IEEE Appl. Power
converter for high voltage applications. Control, design and experimen- Electron. Conf. Expo. (APEC12), 2012, pp. 14391444.
tal characteristics, in Proc. 40th Annu. Conf. IEEE Ind. Electron. Soc. [55] T. W. Chun, Q. V. Tran, H. H. Lee, H. G. Kim, and E. C. Nho, A simple
(IECON14), 2014, pp. 46904696. capacitor voltage balancing scheme for the cascaded five-level inverter
[34] K. Schmid and H. Hirschmller, Stereo vision and IMU based real-time fed AC machine drive, in Proc. 6th IET Int. Conf. Power Electron. Mach.
ego-motion and depth image computation on a handheld device, in Proc. Drives (PEMD12), pp. 15, 2012.
IEEE Int. Conf. Robot. Autom. (ICRA13), 2013, pp. 46714678. [56] D. Janik, Z. Peroutka, J. Molnar, T. Komrska, and J. Zak, Control
[35] V. Lunati, J. Manhes, and P. Danes, A versatile System-on-a- of primary voltage-source active rectifiers of traction converter with
Programmable-Chip for array processing and binaural robot audition, medium-frequency transformer: Advantages of control unit combining
in Proc. IEEE/RSJ Int. Conf. Intell. Robots. Syst. (IROS12), 2012, DSP and FPGA, in Proc. 15th Int. Power Electron. Motion Control Conf.
pp. 9981003. (EPE/PEMC12), 2012, pp. LS4a.2-1LS4a.2-7.
[36] C. Buccella, C. Cecati, and H. Latafat, Digital control of power convert- [57] S.-H. Hwang, X. Liu, J.-M. Kim, and H. Li, Distributed digital control of
ers A survey, IEEE Trans. Ind. Informat., vol. 8, no. 3, pp. 437447, modular-based solid-state transformer using DSP+FPGA, IEEE Trans.
Aug. 2012. Ind. Electron., vol. 60, no. 2, pp. 670680, Feb. 2013.
[37] Z. Zhu, X. Li, H. Rao, W. Wang, and W. Li, Testing a complete con- [58] R. Morales-Caporal, E. Bonilla-Huerta, C. Hernndez, M. A. Arjona, and
trol and protection system for multi-terminal MMC HVDC links using M. Pacas, Transducerless acquisition of the rotor position for predictive
hardware-in-the-loop simulation, in Proc. 40th Annu. Conf. IEEE Ind. torque controlled PM synchronous machines based on a DSP-FPGA dig-
Electron. Soc. (IECON14), 2014, pp. 44024408. ital system, IEEE Trans. Ind. Informat., vol. 9, no. 2, pp. 799807, May
[38] A. Schmitt, J. Richter, U. Jurkewitz, and M. Braun, FPGA-based 2013.
real-time simulation of nonlinear permanent magnet synchronous [59] Z.-G. Lu, L.-L. Zhao, W.-P. Zhu, C.-J. Wu, and Y.-S. Qin, Research on
machines for power hardware-in-the-loop emulation systems, in Proc. cascaded three-phase-bridge multilevel converter based on CPS-PWM,
40th Annu. Conf. IEEE Ind. Electron. Soc. (IECON14), 2014, IET Power Electron., vol. 6, no. 6, pp. 10881099, Jul. 2013.
pp. 37633769. [60] K. Kobravi, R. Iravani, and H. A. Kojori, Three-leg/four-leg matrix
[39] C. Wang, W. Li, and J. Blanger, Real-time and faster-than-real-time converter generalized modulation strategyPart II: Implementation and
simulation of modular multilevel converters using standard multi-core verification, IEEE Trans. Ind. Electron., vol. 60, no. 3, pp. 860872, Mar.
CPU and FPGA chips, in Proc. 39th Annu. Conf. IEEE Ind. Electron. 2013.
Soc. (IECON13), 2013, pp. 54055411. [61] V. Smidl, R. Nevdev, T. Kosan, and Z. Peroutka, FPGA implementation
[40] W. Wang, Z. Shen, and V. Dinavahi, Physics-based device-level power of marginalized particle filter for sensorless control of PMSM drives,
electronic circuit hardware emulation on FPGA, IEEE Trans. Ind. in Proc. 39th Annu. Conf. IEEE Ind. Electron. Soc. (IECON13), 2013,
Informat., vol. 10, no. 4, pp. 21662179, Nov. 2014. pp. 82278232.
[41] . Jimnez et al., Implementation of an FPGA-based on-line hardware- [62] K. May and N. K. Krougjicof, Moving target detection for sense and
in-the-loop emulator using high-level synthesis tools for resonant power avoid using regional phase correlation, in Proc. IEEE Int. Conf. Robot.
converters applied to induction heating appliances, IEEE Trans. Ind. Autom. (ICRA13), 2013, pp. 47674772.
Electron., vol. 62, no. 4, pp. 22062214, Apr. 2015. [63] T. T. Phuong, K. Ohishi, Y. Yokokura, and C. Mitsantisuk, FPGA-based
[42] M. Dagbagi et al., FPGA-based real-time hardware-in-the-loop valida- high-performance force control system with friction-free and noise-free
tion of a 3-phase PWM rectifier controller, in Proc. 39th Annu. Conf. force observation, IEEE Trans. Ind. Electron., vol. 61, no. 2, pp. 994
IEEE Ind. Electron. Soc. (IECON13), 2013, pp. 53745379. 1008, Feb. 2014.
[43] S. Usenmez et al., A new hardware-in-the-loop simulator for CNC [64] A. Hace and M. Franc, FPGA implementation of sliding-mode-control
machine applications, in Proc. IEEE Int. Conf. Mechatron. (ICM13), algorithm for scaled bilateral teleoperation, IEEE Trans. Ind. Informat.,
2013, pp. 658663. vol. 9, no. 3, pp. 12911300, Aug. 2013.
864 IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS, VOL. 11, NO. 4, AUGUST 2015

[65] M. Shahbazi, P. Poure, S. Saadate, and M. R. Zolghadri, FPGA-based [86] N. Aguirre-Dobernack, H. Guzmn-Miranda, and M. A. Aguirre,
reconfigurable control for fault-tolerant back-to-back converter without Implementation of a machine vision system for real-time traffic sign
redundancy, IEEE Trans. Ind. Electron., vol. 60, no. 8, pp. 33603371, recognition on FPGA, in Proc. 39th Annu. Conf. IEEE Ind. Electron.
Aug. 2013. Soc. (IECON13), 2013, pp. 22852290.
[66] W. Zhu et al., Precision control of modular robot manipulators: The [87] J. Rodrguez-Arajo et al., Industrial laser cladding systems: FPGA-
VDC approach with embedded FPGA, IEEE Trans. Robot., vol. 29, based adaptive control, IEEE Ind. Electron. Mag., vol. 6, no. 4,
no. 5, pp. 11621179, Oct. 2013. pp. 3546, Dec. 2012.
[67] B. Pierce and G. Cheng, Versatile modular electronics for rapid design [88] D. You, X. Gao, and S. Katayama, Multisensor fusion system for mon-
and development of humanoid robotic subsystems, in Proc. IEEE/ASME itoring high-power disk laser welding using support vector machine,
Int. Conf. Adv. Intell. Mechatron. (AIM14), 2014, pp. 735741. IEEE Trans. Ind. Informat., vol. 10, no. 2, pp. 12851295, May 2014.
[68] C. A. Seplveda, J. A. Muoz, J. R. Espinoza, M. E. Figueroa, and
C. R. Baier, FPGA v/s DSP performance comparison for a VSC-based
STATCOM control application, IEEE Trans. Ind. Informat., vol. 9, no. 3,
pp. 13511360, Aug. 2013.
[69] F. Barranco, J. Daz, B. Pino, and E. Ros, Real-time visual saliency
architecture for FPGA with top-down attention modulation, IEEE Trans. Juan J. Rodrguez-Andina (M00SM04) received
Ind. Informat., vol. 10, no. 3, pp. 17261735, Aug. 2014. the M.Sc. degree from the Technical University of
[70] . Jimnez, . Luca, I. Urriza, L. A. Barragn, and D. Navarro, Madrid, Madrid, Spain, in 1990, and the Ph.D. degree
Analysis and implementation of FPGA-based online parametric iden- from the University of Vigo, Vigo, Spain, in 1996,
tification algorithms for resonant power converters, IEEE Trans. Ind. both in electrical engineering.
Informat., vol. 10, no. 2, pp. 11441153, May 2014. He is an Associate Professor with the Department
[71] J. A. Jurez-Abad, J. Linares-Flores, E. Guzmn-Ramrez, and H. Sira- of Electronic Technology, University of Vigo. From
Ramrez, Generalized proportional integral tracking controller for a 2010 to 2011, he was on sabbatical leave as
single-phase multilevel cascade inverter: An FPGA implementation, a Visiting Professor at the Advanced Diagnosis,
IEEE Trans. Ind. Informat., vol. 10, no. 1, pp. 256266, Feb. 2014. Automation, and Control Laboratory, Electrical and
[72] J. Liu and V. Dinavahi, A real-time nonlinear hysteretic power trans- Computer Engineering Department, North Carolina
former transient model on FPGA, IEEE Trans. Ind. Electron., vol. 61, State University, Raleigh, NC, USA. He has authored over 130 journal and
no. 7, pp. 35873597, Jul. 2014. conference articles, and holds several Spanish, European, and U.S. patents.
[73] H. Guo, H. Chen, F. Xu, F. Wang, and G. Lu, Implementation of EKF His research interests include implementation of complex control and process-
for vehicle velocities estimation on FPGA, IEEE Trans. Ind. Electron., ing algorithms in field programmable gate arrays, and concurrent testing of
vol. 60, no. 9, pp. 38233835, Sept. 2013. complex systems, from digital to industrial electronics.
[74] X. Lu, H. Chen, B. Gao, Z. Zhang, and W. Jin, Data-driven predictive Dr. Rodrguez-Andina is currently serving as the Editor-in-Chief for the
gearshift control for dual-clutch transmissions and FPGA implemen- IEEE I NDUSTRIAL E LECTRONICS M AGAZINE, and as an Associate Editor
tation, IEEE Trans. Ind. Electron., vol. 62, no. 1, pp. 599610, Jan. for the IEEE T RANSACTIONS ON I NDUSTRIAL E LECTRONICS and the IEEE
2015. T RANSACTIONS ON I NDUSTRIAL I NFORMATICS .
[75] J. Guzinski and H. Abu-Rub, Speed sensorless induction motor drive
with predictive current controller, IEEE Trans. Ind. Electron., vol. 60,
no. 2, pp. 699709, Feb. 2013.
[76] R. Cordero, W. I. Suemitsu, J. O. P. Pinto, A. M. Soares, and
R. A. Capitanio, Application of frequency domain multiplexing for the
reduction of ADCs in vector control of PMSM, in Proc. 38th Annu. Conf.
IEEE Ind. Electron. Soc. (IECON12), 2012, pp. 16901695. Mara D. Valds-Pea (M05) received the M.Sc.
[77] X. Wang, H. Li, B. Yang, L. Huang, and S. Wang, A prototype of MEMs degree from the Universidad Central de Las Villas,
gyroscope based on digital control, in Proc. Int. Conf. Autom. Control Santa Clara, Cuba, in 1990, and the Ph.D. degree
Artif. Intell. (ACAI2012), 2012, pp. 275278. from the University of Vigo, Vigo, Spain, in 1997,
[78] P. Kchert, J. Flgge, D. Miletic, and H. H. Gatzen, Investigation of an both in electrical engineering.
advanced micro-inductive sensor, in Proc. IEEE Sensors, 2012, pp. 14. She is an Associate Professor with the Department
[79] C.-F. Cheng, R.-S. Li, and J.-R. Chen, Design of the DC leakage cur- of Electronic Technology, University of Vigo. Her
rent sensor with magnetic modulation-based scheme, in Proc. IEEE Int. research interests include the design of system-on-
Symp. Ind. Electron. (ISIE13), 2013, pp. 16. chip systems based on field programmable gate
[80] G.-M. Xia, A.-P. Qiu, Q. Shi, and S. Yan, Test and evaluation of a silicon arrays, signal acquisition and conditioning, signal
resonant accelerometer implemented in SOI technology, in Proc. IEEE processing, and wireless sensors networks for indus-
Sensors, 2013, pp. 14. trial applications.
[81] Q. Xu, Design and smooth position/force switching control of a minia-
ture gripper for automated microhandling, IEEE Trans. Ind. Informat.,
vol. 10, no. 2, pp. 10231032, May 2014.
[82] Q. Xu, Robust impedance control of a compliant microgripper for high-
speed position/force regulation, IEEE Trans. Ind. Electron., vol. 62,
no. 2, pp. 12011209, Feb. 2015.
Mara J. Moure (M99) received the Ph.D. degree
[83] J. Rodrguez-Arajo, J. J. Rodrguez-Andina, J. Faria, and M.-Y. Chow,
in electrical engineering from the University of Vigo,
Field-programmable System-on-Chip for localization of UGVs in an
Vigo, Spain, in 1999.
indoor iSpace, IEEE Trans. Ind. Informat., vol. 10, no. 2, pp. 10331043,
She is an Associate Professor with the Department
May 2014.
of Electronic Technology, University of Vigo. Her
[84] J. Nikolic et al., A synchronized visual-inertial sensor system with
research interests include the design of system-on-
FPGA pre-processing for accurate real-time SLAM, in Proc. IEEE Int.
chip systems based on field programmable gate
Conf. Robot. Autom. (ICRA13), 2014, pp. 431437.
arrays, signal acquisition and conditioning, signal
[85] G. Zhou, J. Ye, W. Ren, T. Wang, and Z. Li, On-board inertial-assisted
processing, and wireless sensors networks for indus-
visual odometer on an embedded system, in Proc. IEEE Int. Conf. Robot.
trial applications.
Autom. (ICRA13), 2014, pp. 26022608.

Vous aimerez peut-être aussi