Vous êtes sur la page 1sur 10

U.N.M.S.

M
FACULTAD DE ING. ELECTRNICA Y
ELCTRICA

APELLIDOS Y NOMBRES MATRICULA

VELITA ESPINOZA ERICK LEONARDO 14190148

CURSO TEMA

LABORATORIO DE CIRCUITOS DIGITALES REGISTRO Y


II TRANSFERENCIA DE DAT

INFORME FECHAS

PREVIO REALIZACIN ENTREGA

NUMERO

26 DE ABRIL DEL 2017 2 DE MAYO DEL 2017


03

GRUPO PROFESOR

6 ING. OSCAR CASIMI


MIERCOLES DE 2 pm 5 pm PARIASCA
Laboratorio No 3
LAB. CIRCUITOS DIGITALES II

REGISTROS Y
TRANSFERENCIA DE DATOS
I. OBJETIVOS

Analizar diferentes tipos de registros con TTL y CMOS.


Analizar la transferencia de datos entre registros de desplazamiento.
Desarrollar aplicaciones prcticas utilizando diferentes tipos de registro.

II. MATERIALES

Protoboard, cables de conexin.


Mdulos EB-205 y TM-01.
CI TTL: 74LS74 (74LS174 o 74LS374), 74LS76 (74LS112), 74LS164,
74HC165, 74LS194, u otros.
Resistencias: 120 OHM, Watt; Leds.
Fuente c.c. +5 voltios; VOM; ORC; Generador de Pulsos.

III. CUESTIONARIO PREVIO

1) Qu es un registro? Explicar la caracterstica de funcionamiento de los


registros:
(a) de almacenamiento (b) de desplazamiento (c) universal

Un registro electrnico es un dispositivo lgico secuencial capaz de almacenar varios


bits de informacin. El formato de esta informacin puede ser de dos tipos:
Serie: los bits se transfieren uno a continuacin del otro por una misma lnea.
Paralelo: se intercambian todos los bits al mismo tiempo, utilizando un nmero de
lneas de transferencia igual al nmero de bits.

a) Registro de almacenamiento:
Su funcin es bsicamente la de almacenar una informacin. Un registro de
almacenamiento se usa solamente para el almacenamiento temporal de la
informacin binaria. Esta informacin no puede ser alterada cuando se transfiere
hacia adentro y afuera del registro. Una unidad de memoria es una coleccin de
registros de almacenamiento conjuntamente con los circuitos asociados necesarios
par a transferir informacin hacia adentro y afuera de los registros. Los registros de
almacenamiento en una unidad de memoria se llaman registros de memoria.

2
Laboratorio No 3
LAB. CIRCUITOS DIGITALES II

b) Registro de desplazamiento:
Un registro de desplazamiento es un circuito digital secuencial (es decir, que los
valores de sus salidas dependen de sus entradas y de los valores anteriores)
consistente en una serie de biestables, generalmente de tipo D, conectados en
cascada, que basculan de forma sncrona con la misma seal de reloj. Segn la
conexin de la distinta bscula, se tiene un desplazamiento a la izquierda o a la
derecha de la informacin almacenada, bits, en las bsculas. Es de sealar que un
desplazamiento a la izquierda de un conjunto de bits, multiplica por 2, mientras que
uno a la derecha, divide entre 2. Existen registros de desplazamiento bidireccionales,
que pueden funcionar en ambos sentidos. Los registros universales, adems de
bidireccionales permiten la carga en paralelo.

Tipos de registros de desplazamiento:

Dependiendo del tipo de entradas y salidas, los registros de desplazamiento se


clasifican como:

Serie-Serie: slo la entrada del primer flip-flop y la salida del ltimo son
accesibles externamente. Se emplean como lneas de retardo digitales y en tareas
de sincronizacin.

Paralelo-Serie: son accesibles las entradas de todos los flip-flops, pero slo la
salida del ltimo. Normalmente tambin existe una entrada serie, que slo altera el
contenido del primer flip-flop, pudiendo funcionar como los del grupo anterior.

Serie-Paralelo: son accesibles las salidas de todos los flip-flops, pero slo la
entrada del primero. Este tipo y el anterior se emplean para convertir datos serie
en paralelo y viceversa, por ejemplo para conexiones serie como el RS232.

Paralelo-Paralelo: tanto las entradas como las salidas son accesibles. Se usan
para clculos aritmticos.

Un registro de desplazamiento muy utilizado, que es universal (se llama as porque


puede utilizarse en cualquiera de las cuatro configuraciones anteriormente descritas)
y bidireccional (porque puede desplazar los bits en un sentido u otro) es el 74HC194,
de cuatro bits de datos.
Otros registros de desplazamiento conocidos, fabricados tambin con la tecnologa
CMOS, son el 74HC165 (entrada paralela, salida serie) y 74HC164 (entrada serie,
salida paralelo).

c) Registro universal:

Es el que vamos a estudiar al final de la introduccin; existe un circuito en el mercado


que se denomina Registro Universal XX194, ya que permite todos los tipos de
registros de desplazamiento que hemos estudiado. Para seleccionar el modo de
funcionamiento, dispone de dos lneas de control que van conectadas a un
multiplexor, estas lneas de control seleccionan la forma de conectar los biestables y
as funcionar de una forma u otra.

3
Laboratorio No 3
LAB. CIRCUITOS DIGITALES II

2) Por qu se consideran los registros de desplazamiento dispositivos


bsicos de memoria? Cul es la capacidad de almacenamiento de un
registro que puede contener 2 bytes de datos?

Consideraremos brevemente otra forma de agrupar conjuntos de registros


consistentes en apilarlos (cada uno encima del anterior) formando una columna de
ellos; el acceso a los registros ser secuencial: habr que leer y escribir en ellos segn
el orden de la columna.
La agrupacin de varios registros en vertical, de manera que reciban la informacin
por las entradas del primero de ellos y la devuelvan por las salidas del ltimo registro,
da lugar a una pila; el conjunto equivale a una memoria de desplazamiento, capaz
de almacenar secuencialmente varias palabras binarias y devolverlas en el mismo
orden en que las ha recibido: pila FIFO (first in, first out), la primera palabra en entrar
ser tambin la primera en salir.
El desplazamiento se produce a travs de los sucesivos registros; en t al sentido, una
pila FIFO de n registros de m bits puede construirse con m registros de
desplazamiento de n bits cada uno de ellos, orientados verticalmente y colocados
unos al lado de otros:

Cul es la capacidad de almacenamiento de un registro que puede contener 2


bytes de datos?

1 byte = 8 bits de datos


2 bytes = 16 bites de datos

Posicionamiento de un bloque RAM:


Dado un circuito integrado RAM de 2K registros, se desea situarlo a partir de la
posicin 5800H del mapa de memoria.

5 8 0 0 H = 0101 1000 0000 0000

Un bloque de 2 K requiere 11 lneas de direccionamiento a10 - a0 para los 2048


registros que contiene (2K = 2 x 210), de forma que ocupar las posiciones de
memoria:

A15 A14 A13 A12 A11 A10 A9 A8 A7 A6 A5 A4 A3 A2 A1 A0


01011000000000005800H
01011111111111115FFFH

Es decir, todas las posiciones correspondientes a los nmeros binarios:

0 1 0 1 1 - - - - - - - - - - - 5800 5FFF

Donde el signo representa la posibilidad de ambos valores boleanos 0, 1.

4
Laboratorio No 3
LAB. CIRCUITOS DIGITALES II

Para situar los registros en dichos nmeros ser preciso habilitar el bloque con:
A15 A14 A13 A12 A11
0 1 0 1 1 A15 = 0, A14 = 1, A13 = 0, A12 = 1 y A11 = 1
CE = A15. A14. A13. A12. A11. DIRV

Adems, las lneas de direccionamiento a10 a0 han de conectarse a las


correspondientes del bus de direcciones: A10 A0, la habilitacin de escritura debe
producirse cuando R/W = 0 y DATV = 1 y la de lectura cuando R/W = 1:

a10 - a0 = A10 - A0; WE = R /W. DATV; OE = R/W.

3) Explique la diferencia entre la puesta a cero mediante un reset y la carga


de una entrada de todos ceros en un registro.

En un registro de desplazamiento, la diferencia de poner cero en las entradas (carga


de entrada sea de ceros) y poniendo ceros mediante el reset es la velocidad de
colocar todas las salidas a cero. Esto permite limpiar rpidamente el registro, en este
caso de desplazamiento.
La diferencia es que si el reset est activado nos producir una seal siempre en cero,
es decir; se mantendrn en cero las salidas si no desactivamos el reset. Por otra parte,
si hacemos entrar puros ceros en un registro si es posible la variacin de las salidas.

4) Para el circuito mostrado en la figura, dibujar las formas de ondas de las


seales de control SC1, SC2, SC3 y la de CLK, para que la informacin
digital de 3 bits disponibles en las salidas de los registros A, B o C sea
transferencia a los registros D. explique el funcionamiento del circuito.

5
Laboratorio No 3
LAB. CIRCUITOS DIGITALES II

5) Explicar el modo de funcionamiento del CI 74LS164. Explique el uso de


las entradas serie A y B. indique una aplicacin de este registro de
entrada serial y salida paralelo. Dibujar las formas de onda de cada una
de las salidas Qa, Qb, Qh para las entradas mostradas:

El CI 74LS164 es un registro de desplazamiento de ocho bits tipo entrada en


serie/salida en paralelo, en la cual la salida de cada FF es accesible desde el exterior.
En vez de una sola entrada en serie, una compuerta AND combina las entradas A y B
para producir la seal para el flip flop QA.
La operacin de desplazamiento ocurre en el flanco de subida de la entrada de reloj.

La entrada Master Reset ( ) proporciona el restablecimiento asncrono de todos los


flip flops, para que queden en nivel bajo.

Una aplicacin para este registro sera el siguiente circuito:

6
Laboratorio No 3
LAB. CIRCUITOS DIGITALES II

Cuya tabla de estados es la siguiente:

# de Estad QA QB QC QD QE QF QG QH
pulsos os

0 0 0 0 0 0 0 0 0 0

1 1 1 0 0 0 0 0 0 0

2 3 1 1 0 0 0 0 0 0

3 7 1 1 1 0 0 0 0 0

4 15 1 1 1 1 0 0 0 0

5 31 1 1 1 1 1 0 0 0

6 63 1 1 1 1 1 1 0 0

7 127 1 1 1 1 1 1 1 0

Si conectamos leds en las salidas del circuito observamos que cada LED se va
encendiendo segn pasan los pulsos del Clock y se mantiene encendido. Luego que
todos los LEDs se encuentren encendidos, al siguiente pulso de reloj todos los LEDs
se apagan y se repite el ciclo.
Las formas de onda de las salidas son las siguientes:

7
Laboratorio No 3
LAB. CIRCUITOS DIGITALES II

6) Cules son los modos de operacin del registro de desplazamiento


Universal bidireccional 74LS194? Explicar las caractersticas de
funcionamiento de este registro.

Este registro bidireccional est diseado para incorporar virtualmente todas las
caractersticas que se pueden realizar en un registro de desplazamiento. Para ello
disponemos de dos entradas (S0 y S1) que seleccionan el modo de funcionamiento.
Contiene entradas y salidas tanto en serie como en paralelo, entradas en serie tanto
desde la derecha como de la izquierda a travs de entradas de control, y entrada de
reset. La carga en paralelo se realiza aplicando nivel alto a las entradas S0 y S1, y
luego ingresando los datos a las cuatro entradas (A, B, C, D).
El desplazamiento hacia la derecha se realiza cuando S0 est a nivel alto y S1 a nivel
bajo. Los datos en serie para este modo se ejecutan el desplazamiento derecho de
entrada de datos. Cuando S0 est a nivel bajo y S1 est a nivel alto, el
desplazamiento de los datos se realiza desde la izquierda.

8
Laboratorio No 3
LAB. CIRCUITOS DIGITALES II

Cuando SO y S1 se encuentran en nivel bajo los estados de las salidas no cambian,


es decir; ocurre el estado memoria.
La funcin de cada pin del integrado 74LS194 se explica a continuacin:
CLOCK: Entrada de seal de reloj activa en el flanco de subida
CLEAR: Entrada a puesta a cero activa a nivel bajo.
SERIAL RIGHT SERIAL INPUT: Entrada de datos, desplazamiento a hacia la
derecha, para carga serie.
SERIAL LEFT SERIAL INPUT: Entrada de datos, desplazamiento hacia la izquierda,
para carga serie.
A, B, C, D: Entrada de datos para carga en paralelo.
QA, QB, QC, QD: Salidas de datos.
S0: En carga paralelo, hace el desplazamiento hacia la derecha.
S1: En carga paralelo, hace el desplazamiento hacia la izquierda.

7) Explique las diferentes formas de transferencia de datos entre dos


registros de desplazamiento (74LS194):

a) Desplazamiento a la derecha los datos en ambos registros de


desplazamiento.

Desplazando a la derecha los datos en ambos registros de desplazamiento:


Para lograr ello se conectan los selectores en S1S0= 01. Luego se conecta la salida
Q4 del primer registro a la entrada SIR del segundo registro. Conectar los Clock en
forma comn a igual que los Clear. Se puede usar el SIR del primer registro para
entrada serial de datos y as se desplacen a ala derecha a travs de los 2 registros
por cada pulso de reloj. Inicialmente se pueden cargar los datos en forma paralela
mediante las entradas ABCD, Pero inicialmente Con S1S0=11, Para luego de esto
cambiar a S1S0=01, y as desplazar a la derecha los datos a travs de los 2
registros.

9
Laboratorio No 3
LAB. CIRCUITOS DIGITALES II

b) Desplazamiento a la izquierda los datos en ambos registros de


desplazamiento.

Desplazando a la izquierda los datos en ambos registros de desplazamiento:


Para lograr esto se conectan los selectores en S1S0=10.
Luego se conecta la salida Q1 del segundo registro a la entrada SIL del primer
registro.
Conectar los Clock en forma comn a igual que los Clear.
Se puede usar el SIL del segundo registro para entrada serial de datos y as se
desplacen a la izquierda a travs de los 2 registros por cada pulso del Clock.
Inicialmente se puede cargar los datos en forma paralela mediante las entradas
ABCD, pero inicialmente con S1S0=11, para luego de esto cambiar a S1S0=10, y
as desplazar a la izquierda los datos a travs de los 2 registros.

8) Para el circuito experimental, los datos contenidos en el registro R1


(74LS194) deben transferirse al registro R3(74LS194) en 4 pulsos. Cul
de las salidas de R1 conectara a la entrada SIL del registro R3?

Como se observa en la pregunta 7, se trata de un desplazamiento hacia la izquierda


entre registros, por lo tanto, se conectara la salida Q1 del primer registro (R1) hacia el
SIL del otro registro (R3), para que se desplace a la izquierda y as luego de 4 pulsos
los datos se transfieran de registro en registro.

IV. BIBLIOGRAFA

Sistemas digitales. Principios y aplicaciones. Dcima edicin Ronald J. Tocci,


Neal S. Widner, Gregory L. Moss

www.datasheetcatalog.org

10

Vous aimerez peut-être aussi