Vous êtes sur la page 1sur 13

628 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO.

4, APRIL 2017

Probabilistic Wire Resistance Degradation


Due to Electromigration in Power Grids
Vivek Mishra, Student Member, IEEE, and Sachin S. Sapatnekar, Fellow, IEEE

AbstractElectromigration (EM) is a growing concern in While there has been intensive research on understanding
on-chip interconnects, particularly in the computing and auto- the physics and modeling of EM at the level of a single
motive domains. EM can cause wire resistances in a circuit to interconnect, there is a large gap between what is known
increase, which may result in circuit performance failure within
the lifetime of a product. Classical circuit-level EM models have at this physical level and the knowledge that is used at the
two drawbacks: first, they do not accurately capture the physics circuit level. Traditional EM analysis is based on failure cri-
of degradation in modern copper dual-damascene (Cu DD) met- teria measured under accelerated aging conditions through
allization and second, they fail to model the inherent resilience in the application of temperature and current stress in a set of
a circuit that may allow it to continue to function even after some interconnect test structures. Under such conditions, failure is
wires fail. This paper overcomes both limitations, and develops a
method to analyze the effect of EM on the resistance of wires in a deemed to occur when the interconnect resistance crosses a
circuit. For a single wire, our probabilistic analysis encapsulates predetermined threshold, and the time at which this occurs is
known realities about Cu DD wires, e.g., that some regions of defined as its time-to-failure (TTF). The TTF parameters from
these wires are more susceptible to EM than others, and that accelerated aging conditions (of the order of hours) are extrap-
void evolution shows statistical behavior. We develop a new cri- olated to normal operating conditions (of the order of years)
terion for identifying mortal wires based on this analysis. One
part of the criterion incorporates the achievement of steady state using Blacks equation [6].
and provides a result that is slightly tighter than the traditional For a specific circuit, EM failure criteria may be derived by
Blech criterion, while another part is tied to the lifetime of the a circuit designer. In the traditional design flow, some wires
system. We apply these ideas to the analysis of on-chip power in a circuit may be considered immortal, i.e., immune to EM.
grids and demonstrate the inherent robustness of these grids that The root cause of immortality is related to a back-stress that is
maintains supply integrity under some EM failures.
created after the movement of atoms due to EM, which induces
Index TermsCopper (Cu), electromigration (EM), lognormal, a back-flow of atoms to counteract the forward EM-induced
power grid, probabilistic, redundancy, resiliency, voids. atomic flow: when these two balance, the wire is immortal.
The Blech criterion [7] states that for a wire with current den-
sity j and length L, if the product ( jL) is below a threshold,
I. I NTRODUCTION then it is immortal. For mortal wires that do not satisfy the
LECTROMIGRATION (EM) in interconnects occurs due Blech criterion, Blacks equation is applied to derive maxi-
E to the movement of metal atoms, activated by momen-
tum transfer from collisions with free electrons [2]. When
mum current density limit rules, declaring a wire as having
failed if it does not adhere to the limit.
bounded by a blocking boundary, such as an encapsulat- There are several problems with such an approach. First, in a
ing barrier layer that prevents metal diffusion in copper real circuit, the impact of such failures is context-dependent.
dual-damascene (Cu DD) wires, this movement causes a deple- In some cases, small changes in resistance may cause per-
tion of atoms at the cathode, which eventually leads to void formance failures in the circuit even before the resistance
nucleation and subsequent growth [3], [4]. Cu DD structures crosses a large threshold, e.g., on critical paths in a clock and
are particularly susceptible to EM since the critical stress for data networks in circuits [8]. In other cases, a large failure
void nucleation in such wires is observed to be very small [5], may be tolerated due to the inherent resilience in the circuit,
and voids may form early in the lifetime of a design. e.g., due to redundancy in a power grid, where the failure of
one wire may be compensated by current flow through other
Manuscript received September 23, 2015; revised January 22, 2016 and paths, which results in a more resilient performance of the
April 18, 2016; accepted June 5, 2016. Date of publication June 23, 2016; circuit [1], [9]. Therefore, the use of a single threshold for the
date of current version March 17, 2017. This work was supported in part resistance change may either be excessively conservative, or
by the National Science Foundation under Award CCF-1421606 and Award
CCF-1162267, in part by the SRC under Contract 2012-TJ-2234, and in part not conservative enough, depending on how the threshold is
by the Doctoral Dissertation Fellowship, University of Minnesota. A prelimi- chosen and on the sensitivity of circuit failure to a resistance
nary version of this paper was presented in [1]. This paper was recommended change in a specific interconnect. Second, for Cu DD intercon-
by Associate Editor T. Kim.
The authors are with the Department of Electrical and Computer nects, complexities in the manufacturing process imply that a
Engineering, University of Minnesota, Minneapolis, MN 55455 USA (e-mail: straightforward extension of prior EM approaches is untenable.
vivek@umn.edu). A previous study has indicated that the Blech criterion-based
Color versions of one or more of the figures in this paper are available
online at http://ieeexplore.ieee.org. approach, which was originally derived for aluminum intercon-
Digital Object Identifier 10.1109/TCAD.2016.2584054 nects, is not valid for copper interconnects, and that some lines
0278-0070 c 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission.
See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
MISHRA AND SAPATNEKAR: PROBABILISTIC WIRE RESISTANCE DEGRADATION DUE TO EM IN POWER GRIDS 629

fail probabilistically even if they satisfy the Blech criterion on atoms from the cathode end of the wire to the anode. This force
the value of their jL product [5], [10]. is caused by transfer of momentum from moving electrons to
These peculiarities for Cu DD wires indicate the need to the atoms as current flows [6]. The electron wind force, Fe , is
develop EM models that incorporate the physics specific to a function of the electric field and material properties of the
such interconnects, and to enable probabilistic circuit analy- interconnect and has the form
sis in a context-sensitive manner. The probabilistic viewpoint  
reflects both the fact that EM mechanisms are stochastic, and Fe = eZeff E = eZeff j (1)
that the number of interconnects on a chip is large enough that where e is the elementary electron charge, Zeff  is a con-
these effects may be manifested over the chip. stant that represents the apparent effective charge number, and
There have been few prior publications that have explored E = j is the electric field, where is the resistivity of Cu
these directions. The work in [11] built up on [12] to consider and, as before, j is the current density through the wire. The
some EM issues beyond the conventional Blacks equation, electron wind force makes it possible for thermally-activated
but it was partly based on the traditional Blech criterion. The metal atoms to be displaced from their lattice sites and to
resilience of power grids to EM due to structural redundan- move in the direction of electron flow through one or more
cies has been utilized in the industry, and has been pointed diffusion pathways, producing regions of uneven atomic con-
out in the precursor to this paper [1], which is based on a centration, i.e., depletion and accumulation. The drift velocity,
physics-based statistical method. The idea of accounting for vd , of atoms moving due to the electron wind is
redundancy in power grids while analyzing EM was subse-
quently also used in [13] and [14], but these methods were vd = e Fe (2)
primarily based on Blacks equation. The work in [9] uses a
physics-based model that incorporates the role of mechanical where e is the mobility of metal atoms. The atomic flux due
stress. The authors indicate that the variation in EM lifetimes to the electron wind force from the cathode to the anode is
is caused due to the variation in the structure of the metal given by [2]
interconnect at the microscopic level, i.e., its microstructure.
Nvd = Ne Fe (3)
Their consideration of the statistical nature of EM focuses on
evaluating the mean of the EM-induced circuit performance where N is the atomic density. Further, using the
shift, and the variation in the circuit performance shift due to NernstEinstein relation as discussed in [15], we have
probabilistic EM-induced degradation is not captured.
Deff
We propose a probabilistic EM analysis framework that e = (4)
incorporates the impact of variation in the material properties kB T
of the interconnect on EM performance of a circuit. Starting where Deff is the effective diffusivity for EM, kB
from the known distributions of parameters related to the is Boltzmanns constant, and T is the temperature.
microstructure, such as activation energy and grain size, our Using (1), (2), and (4), the drift velocity can be rewritten as
framework uses an analytical model to predict the distribution
Deff   
of EM-induced void growth and consequently, the EM-induced vd = eZeff j (5)
resistance change in the wire. A new mortality criterion is kB T
developed, based on the underlying statistics that drive EM. and the atomic flux due to electron wind in (3) becomes
Based on the distribution of the change in the wire resistance,  
Deff   
we calculate the circuit performance degradation by observing N eZeff j . (6)
the IR drop variation in standard power grid benchmarks. kB T
Next, we overview the physics of EM in Section II, wherein The diffusion of atoms causes metal depletion at the cath-
we discuss the material, circuit, and environmental parameters ode, resulting in tensile stress, and accumulation at the anode,
that influence the EM-induced void dynamics. Our EM model, resulting in compressive stress. This stress gradient results in
described in Section III, incorporates the variation in intercon- a stress-induced backflow of atoms, from the anode (site of
nect microstructure parameters that influence void dynamics, compressive stress) to the cathode (site of tensile stress), i.e.,
and thereby predicts the probabilistic evolution of EM-induced in a direction that opposes the flux due to the electron wind [7].
voids and the consequent probabilistic change in resistance. The magnitude of this reverse atomic flux is given as
Our new mortality criterion is presented here. The impact of    
Deff  H 
EM-induced wire resistance change on circuit performance N   (7)
parameters is observed by performing Monte Carlo (MC) cir- kB T x 
cuit simulation, as discussed in Section IV. In Section V, where  is the atomic volume, H is the hydrostatic stress,
we numerically evaluate our approach, and then conclude this and |(H /x)| represents the stress gradient along the line
paper in Section VI. as a result of mass transfer from cathode to anode. Therefore,
combining (6) and (7), the net atomic flux due to the combined
effect of electron wind and back-stress is formulated as
II. EM M ODELS   
Deff  H 
The fundamental phenomenon responsible for EM in metal Jflux = N
EM  
eZeff j   . (8)
interconnects consists of the electron wind force that drives k T
B x 
630 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 4, APRIL 2017

microstructure, additional terms can be omitted. For instance,


the grain boundary component can be neglected in intercon-
nects that have a bamboo-like grain structure wherein the grain
boundaries are perpendicular to the width of the wire [15] and
there is no continuous path for EM-induced atomic diffusion.
For previous technology nodes, the Cu microstructure was
composed of bamboo-like grains, rendering surface diffu-
sivity as the dominant EM diffusion mechanism. However,
recently it is observed that the Cu microstructure has become
increasingly polygranular, with a mixture of bamboo-like and
polycrystalline microstructure. At present technology nodes,
Fig. 1. Cu DD interconnect cross section showing possible atomic diffusion the Cu microstructure is dominated by fine grains, resulting
paths. in a largely polycrystalline structure [17]. This polycrystalline
structure introduces a large number of grain boundaries,
adding more potential paths for grain boundary diffusion.
A. EM Diffusion in Cu DD
Additionally, process enhancements such as the introduction
We begin by outlining a typical Cu DD process. At the posi- of CoWP as an alternative material to SiX NY , have retarded
tion where the wire is to be located, a trench is first etched the EM diffusion along the capping surface [18][20].
into the interlayer dielectric (ILD). Next, a Ta-based barrier Therefore, for advanced technologies, the primary diffusion
is deposited therein, and the Cu used to construct the inter- path for EM is along the grain boundaries.
connect is then deposited within the trench. The role of the The correct expression to be used for EM modeling depends
barrier is to prevent Cu from diffusing into the ILD. Finally, on the context with respect to the microstructure of the wire.
the lines are capped above by a silicon nitride (SiX NY ) layer. In this paper, we compare our results against the 3-D EM
The structure of a Cu DD interconnect is key to under- model-based simulation work in [4] in Section V-A that per-
standing the effective diffusivity, Deff , an important parameter forms statistical EM analysis for single Cu DD interconnects,
in (8) that affects EM-induced performance degradation. This using finite element analysis (FEA) for simulating void nucle-
parameter can be considered as the sum of the contribu- ation, supplemented with a compact modeling approach for
tions of atomic transport along various diffusion paths. These void growth. For comparison with the FEA results in [4],
diffusion paths are a function of the microstructure of the inter- we assume surface diffusion is the dominant component since
connect, which is the arrangement of grains, i.e., regions of those simulations are performed on wires with a bamboo-like
similar physical properties that are visible at microscopic lev- grain structure. In contrast, for our power grid simulations in
els of magnification. Fig. 1 is a schematic that illustrates the Section V-B, we assume the grain boundary diffusion as the
cross section of a Cu DD interconnect, showing grains within dominant component in order to be consistent with the pro-
the metal wire and the regions of intersection of the grains, cess conditions in state-of-the-art technologies, where as noted
known as the grain boundaries (GBs). The diffusion pathways above, the microstructure is observed to be polygranular.
available for the migration of metal atoms are as follows:
Along the grain boundaries. B. EM-Induced Void Evolution
Through the bulk volume (BV). There are multiple approaches that can be used for model-
Through the nonideal Ta barrier interface (I). ing the physics of EM in circuits. The most popular approach
Through the capping surface (S). is based on the work from Korhonen et al. [3]. Recent
For a line of height h and width w, Deff can be considered works in power grid analysis have proposed EM modeling
as a sum of contributions of atomic transport along these four approaches based on evolution of vacancy and plated atom
pathways, and can be modeled as [16] concentrations, e.g., the model used in [9]. In this paper,
    we will use the model from [3], commonly referred to as
2 1 1
Deff = DI I + + DS S the Korhonen model.
w h h
  The Korhonen model uses the atomic flux relation in (8)
1 in conjunction with the continuity equation to represent the
+ DGB GB + nBV DBV (9)
d dynamics of EM atomic flow in interconnects. The dynamic
where the subscripts correspond to the diffusion pathway, DI , interplay between electron wind and back-stress forces is
DS , DGB , and DB are diffusion constants, I , S , and GB denote described by a differential equation, given as
  
the effective thicknesses, d is the grain size along the longitu- H H
dinal direction (Fig. 1 shows di which is the grain size of the = +G (10)
t x x
ith grain), and nBV is the fraction of atoms diffusing through
eZ  j
the bulk volume. where = DeffkBBT  , G = eff , and B is the effective bulk
Typically, it has been observed that the bulk and interface modulus for the Cu and ILD system; other terms have been
diffusion contribution can be neglected compared to diffu- defined previously in Section II.
sion along the capping surface and grain boundaries [15]. The work in [3] also proposes solutions to this differential
Furthermore, depending on the properties of the interconnect equations under appropriate boundary conditions, the first one
MISHRA AND SAPATNEKAR: PROBABILISTIC WIRE RESISTANCE DEGRADATION DUE TO EM IN POWER GRIDS 631

corresponding to a semi-infinite approximation of a wire, and


the other corresponding to a finite length wire. The result-
ing analytical formulas can help to predict the conditions for
formation and growth of EM-induced voids in a wire, and
constitute the foundation for EM physical models in older
Al and current-day Cu interconnect metallization technolo-
gies [4], [12]. For both Al and Cu, experiments indicate that
EM failure in Cu interconnects occurs in two phases, in agree-
ment with the Korhonen model [4], [21]. According to this,
voids evolve in two phases.
1) Void Nucleation: Under EM, the depletion of atoms at
the cathode creates a tensile stress. Once this exceeds a
critical stress threshold value, the void nucleates.
2) Void Growth: After nucleation, further movement of
metal atoms from the void results in void growth, result- Fig. 2. Mechanisms of void evolution for the (a) via-above and (b) via-below
ing in increased wire resistance since the void effectively cases (microstructure not shown for simplicity).
reduces the cross section available for current flow.
For void nucleation, we choose the solution from [3] cor-
responding to the semi-infinite wire. We make this choice in the void size is due to drift under an electron wind force,
because the semi-infinite solution has a simple form which with a constant drift velocity vd [4], [24].
is easy to compute, is guaranteed-pessimistic, and closely If the void nucleates at time tn , then at an observation
matches the accurate stress solution in the region of inter- time to , the void has been growing for a length of time, to tn .
est for power grids, where wire lengths can go up to hundreds The length of the void increases due to drift, as given by
of micrometer. The analytical solution from [3] states that the  
Deff 
time, tn , at which a void nucleates Lvoid (to ) = vd (to tn ) = eZeff j (to tn ) (13)
kB T
Ktn where the second equality follows from (5).
tn = (11)
Deff The set of equations for EM dynamics are computationally

c2  kB T efficient, and the stress predicted is pessimistic [3]. This has


where Ktn =   (12) been the basis of its usage in other circuit analysis works such
4 eZ  j 2 B
eff as [11] and [12]. Although, these equations are valid for single
where c is the effective critical stress for void nucleation. isolated interconnects, in power grid circuits the interconnects
After nucleation, the void begins to grow. Various void growth are connected through vias. In Section III-D, we illustrate that
scenarios have been observed in Cu DD structures, depending the impact of current flowing through neighboring wires can
on the direction of the current [12]. The scenario where the be modeled using the idea of current divergence.
electron flow is downward, shown in Fig. 2(a) corresponds to
the via-above case (i.e., the via is located above the void), and C. Effect of Temperature
results in potential void formations at the via or in the wire, At first sight, if we observe the temperature dependence of
as illustrated. For the case where the electron flow is upward, the nucleation time, tn , drift velocity, vd , and void length, Lvoid ,
shown in Fig. 2(b), an upstream void is potentially formed in (5), (11), and (13), we notice that tn appears to increase,
in the upper wire, typically at a corner of the wire or within while vd and Lvoid reduce as temperature increases. However,
the wire, as shown (i.e., the via is located below the void). accelerated temperature EM experiments indicate the opposite
Through process enhancements, voids inside the via trench trends. This apparent anomaly is explained by examining the
have been resolved [22] and are not considered here. exponential dependence of EM diffusivity on temperature [12]
The mechanics of void formation in each casespanning  
Ea
growth (for both the via-above and via-below cases), when Deff = D0 exp (14)
the void spans the entire interconnect, and slit growth, where kB T
it forms along the via (for the via-above case)is different where D0 depends on the microstructure of the wire, as dis-
and necessitates different modeling approaches. Although slit cussed in Section II-A, and Ea is the activation energy for EM
voids tend to form earlier than spanning voids, it is easy to diffusion, which signifies the amount of energy that a ther-
build redundancy into the power grid to guard against slit mally activated metal atom must gain in order to dislocate
voids by inserting redundant vias; in fact, this is often imple- from its lattice site and migrate in the direction of elec-
mented during the wire routing stage. Moreover, recent process tron flow along the dominant diffusion path(s). Alternatively,
enhancements in Cu DD metallization technology, such as the Ea represents the energy barrier that a thermally activated
introduction of new barrier technologies [23] completely miti- metal atom needs to overcome in order to dislocate and move
gate the possibility of slit void formation. Therefore, we focus in the direction of electron flow.
our attention on the impact of spanning voids. For spanning Observing the form of (14), it is clear that as the
voids, once a void is formed, the primary mechanism of growth temperature increases, there is an exponential increase in
632 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 4, APRIL 2017

effective diffusivity, thus resolving the apparent anomaly Clearly, ( jL)crit is a property of the material and the fabrication
in (5), (11), and (13) reported above, and showing that EM process. However, as noted in Section I, it has been observed
causes more damage at higher temperatures. that the immortality property does not always hold for Cu DD
In fact, the above argument shows that small perturbations interconnects. This can be attributed to the observation of
in T can offset EM calculations significantly, and using an lower value of critical stress, c for Cu DD compared to Al
accurate temperature value is of utmost importance in order interconnects, which results in voids being formed easily, and
to accurately analyze performance degradation due to EM. lines are apt to show probabilistic behavior [5], [10]. In effect,
In a circuit context, current flow in a wire can result in every line has a nonzero probability of failure, regardless of
Joule heating, which causes an increase in the temperature its jL value and it is possible for voids to nucleate early, before
and thereby accelerates EM. Therefore, to quantify the perfor- providing the opportunity for opposing back-stress forces to
mance impact of EM accurately, the temperature increase due build up.
to Joule heating must be accounted for. This nonzero failure probability is our motivation for using
We use the model described in [25] to evaluate the inter- an analytical model for probabilistic EM. Void evolution char-
connect temperature due to Joule heating given as acteristics, which are required to calculate the EM-induced
probabilistic resistance increase, are imported from the nucle-
T = Tref +
TJ (15) ation and growth model formulas [3], [26] discussed in
where Tref is the reference temperature of the interconnect and Section II-B. Unlike the Blech criterion, these formulations

TJ depends on the RMS current through the wire [25] consider the transient as well as the steady state for stress.
We construct our model by attributing the causes of

TJ = Irms
2
R R (16) variation to fundamental material parameters that impact
the EM-induced void nucleation and growth, characterized
where R is the wire resistance and Irms is the RMS current by (11) and (13). In the remainder of this section, we will
flowing through the interconnect. In our case, since the logical derive the formulas that predict the statistics of EM-induced
blocks which drive current are assumed to have steady state void evolution as a result of the possible sources of variation.
dc currents, therefore, the RMS current flowing through the This analysis will allow us to evaluate the resistance change
wire is taken as the corresponding dc current. The parameter, as a result of nucleation and growth of voids.
R , represents the thermal impedance and is given by
tins A. Role of Microstructure in Probabilistic EM Behavior
R = (17)
Kins Lwire (w + 0.88tins ) Recent works have observed that EM failure is correlated to
where tins is the thickness of the dielectric below the intercon- uncertainties in the microstructure parameters of an intercon-
nect and is a function of the metal layer occupied; Kins is the nect, which relate to the statistical distribution of the activation
thermal conductivity; Lwire and w denote the length and the energy [4] and/or grain size [27].
width of the wire, respectively. 1) Activation Energy Variation: As discussed in
Section II-C, the activation energy, Ea , is a property of
III. P ROBABILISTIC M ODELING OF EM FAILURE the microstructure. It has been experimentally observed that
Ea can vary within the wire depending on the grain boundary
The conventional explanation of EM in Al interconnects
orientation. For instance, EM activation energy can vary
was predicated on the interaction between the electron wind
between grains depending on the orientation of the grain
force and the back-stress force. For some interconnects, with
with respect to each other and with respect to the interfacial
low current and/or small length, the two forces could be in
layer [28], [29]. Therefore, we work with the idea of the
equilibrium in the steady state so that the critical stress c for
effective activation energy for each wire, which is an averaged
void nucleation is never reached, implying that these wires are
activation energy value for that wire. In the rest of this paper,
immortal to EM effects. For a wire of length L with current
we use Ea to refer to the effective activation energy for each
density j, the Blech criterion for immortality can be derived
wire, taken at a macroscopic level. This parameter has been
from (8) by considering the steady state with respect to stress
experimentally found to be normally distributed, and we
where the stress does not change with respect to time and the
model it as an independent Gaussian random variable; further,
forward and backward flux are in equilibrium. In this state,
it is reasonable to assume that the effective activation energy
it can be shown from (10) that the stress profile settles to
is same for a wire and varies only between the wires [4],
a linear relationship where H /x = 2peak /L. Here, peak
[28], [30][33].
denotes the stress at the cathode when steady state is achieved.
2) Grain Size Variation: Experiments in [27] have shown a
A wire is immortal at equilibrium if peak c , which implies
correlation between the experimental EM lifetimes and another
that the stress is below the critical stress for void nucleation.
 j 2 /L [7], that is microstructure property, the effective grain size, defined as the
From (8), this occurs when eZeff c
grain size value averaged over all grains in a wire.
jL ( jL)crit (18)
B. Statistical Models for Void Dimensions
where
Based on the assumptions mentioned in the previous section

( jL)crit = 2c /(eZeff ). (19) about Ea and d, we will now present a probabilistic framework
MISHRA AND SAPATNEKAR: PROBABILISTIC WIRE RESISTANCE DEGRADATION DUE TO EM IN POWER GRIDS 633

that will enable us to obtain the EM-induced void character- where c1 = (eZeff  jt )/k T and c = c /t . The first term,
o B 2 1 o
istics and the corresponding resistance change as a result of c1 Deff,g , is lognormal, since c1 is a deterministic constant and
void nucleation and growth. Deff,g is lognormal, and the product of a lognormal random
In our discussion below, for a distribution Z = N(, ) with variable with a scalar results in another lognormal random
the mean and standard deviation , we denote a lognormal variable; the second term, c2 tn Deff,g is a scaled product of
X = eZ as LogN(, ). lognormals, which is also a lognormal.
1) Effective Diffusivity: The effective diffusivity, Deff , plays Therefore, Lvoid (to ) is a difference of two correlated log-
a key role in the EM degradation of Cu DD wires, as discussed normals, c1 Deff,g and c2 tn Deff,g . The difference of two log-
in Section II-A, and affects the physics of void nucleation and normal random variables is approximated by a lognormal
growth, as indicated in (11) and (13), respectively. Therefore, using a moment matching approach, similar to the widely-
we investigate the statistics of Deff . used Wilkinson approximation [34], which has been used to
As indicated in Section II-A, the primary diffusion mech- approximate the sum of two correlated lognormal random vari-
anism in modern Cu DD interconnects is grain boundary ables. The correlation between the random variables c1 Deff,g
diffusion. Due to this, the parameter D0 in (14) is deter- and c2 tn Deff,g is required for evaluating the statistics, and the
mined by this mechanism. Neglecting the other mechanisms correlation coefficient r can be evaluated as
and considering the dominant term corresponding to the grain  
mean(tn )variance Deff,g
boundary (GB) mechanism in (9), we have r = c1 c2    
  variance c1 Deff,g variance c2 Deff,g tn
GB
D0 = D0GB
d where the mean() and variance() for the corresponding lognor-
or log D0 = log(D0GB GB ) log d (20) mal variables. From (21) and (22), which provide the mean
where D0GB is a temperature independent constant. This shows and variance of the underlying Gaussians, it is easy to compute
that D0 is a random variable that depends on the effective these quantities. Specifically, if X , X (Y , Y ) are the mean
grain size, d, which follows a lognormal distribution [27], and standard deviation of the underlying normal distribution
i.e., d = LogN(d , d ), where d and d represent the for c1 Deff,g (c2 tn Deff,g ) then we have
mean and standard deviation of the underlying Gaussian, log d.
X = log c1 + Deff,n X = Deff,n
Specifically, D0 = LogN(D0 , D0 ), where the mean, D0 =
log(D0GB GB ) d and the standard deviation, D0 = d . Y = log c2 + Deff,g + tn Y = D2 eff,g + t2n .
Therefore, to model the variation in Deff , we use the above,
and the fact that Ea for a wire follows a Gaussian distribution. In order to find the analytical expression for the distribution
From (14), it is obvious that Deff is the product of two lognor- of void length, Lvoid (to ) = LogN(Lvoid (to ) , Lvoid (to ) ), we can
mals, one attributable to D0 (via d) and the other attributable use the above set of equations to compute the parameters of the
to Ea , and such a product results in a lognormal distribution. distribution by applying the moment matching approach used
If Ea = N(Ea , Ea ), then Deff = LogN(Deff , Deff ), where in Wilkinson approximation. This will lead to the following
Ea set of equations:
Deff = log(D0GB GB ) d    
kB T X +X2 /2 Y +Y2 /2
  u1 = e e
   
Ea 2 +2X2
+ e 2Y +2Y
2
Deff = d +
2 2
. (21) u2 = e 2X
kB T  2 

X +Y2 +2rX Y
X +Y + 2
The diffusion pathways during nucleation and growth may
2e
be different [4]. We refer to the effective diffusivity for the
nucleation and growth phases as Deff,n and Deff,g , respectively, Lvoid (to ) = 2 log(u1 ) log(u2 )/2
and next, we consider the impact of each of these individually. L2void (to ) = log(u2 ) 2 log(u1 ).
2) Nucleation: The expression for nucleation time tn was
provided in (11). From this, it is clear that tn = LogN(tn , tn ), C. Statistics of the EM-Induced Resistance Change
where
We will now use the void length distribution to evaluate the
tn = log(Ktn ) Deff,n distribution of resistance change due to EM-induced growth of
tn = Deff,n (22) a spanning void. For the case of the spanning void, the change
in resistance,
R, is given as [24]
the above result relies on the observation that the distribu-  
tion of a reciprocal of a lognormal LogN(, ) is another
R Ta ACu Lvoid (to )
= 1 . (24)
lognormal characterized as LogN(, ). R0 Cu ATa Lwire
3) Growth: During void growth, the length of a void
Here, Cu and Ta are, respectively, the resistivities of cop-
evolves with time according to (13). Grouping together all
per and tantalum, R0 = Cu Lwire /ACu is the resistance of the
deterministic parameters in this equation, if a void nucleates,
interconnect wire segment, which is assumed to have length
then from (13), its length at given observation time, to , has
Lwire and cross-sectional area ACu , and ATa is the cumulative
the form
cross-sectional area of the tantalum barrier. Recall that the
Lvoid (to ) = c1 Deff,g c2 tn Deff,g (23) void length at time to , Lvoid (to ), was shown in Section III-B
634 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 4, APRIL 2017

to be lognormally distributed after nucleation. If we introduce


a new symbol, kR , defined as
 
Ta ACu R0
kR = 1 (25)
Cu ATa Lwire
we can rewrite (24) as Fig. 3. Via-tree structure where the effective current density is more than
the density of current flowing through the wire.

R = kR Lvoid (to ). (26)
Since kR is constant in (26), it can be seen that for a span- and directions of currents in neighboring wires. The effec-
ning void, for both the via-above and via-below cases,
R tive current density for a wire is computed in terms of the
is lognormal, since it is the product of a lognormal random flux-divergence criterion, similar to the work in [35]. This is
variable with a scalar. The resistance change distribution can illustrated in Fig. 3, which shows two wires on metal layers
then be expressed as
R = LogN(
R ,
R ), where
R and Mx and Mx+1 connected by a via. A via in a Cu DD intercon-

R are the mean and standard deviation of the underlying nect structure acts as a blocking layer so that metal atoms are
Gaussian, given by not permitted to migrate through it. Therefore, any flux that
would have gone to the via is transmitted to a neighboring

R = Lvoid (to ) + log kR
wire.

R = Lvoid (to ) . (27) In this example, the current on both segments of the wire on
We summarize the conditions that must be satisfied to layer Mx flows toward the via, i.e., the electron flow direction
achieve a resistance change of
R at an observation time to . is away from the via for both segments. Assuming equal cur-
First, a void must nucleate, and then this nucleated void must rent densities j on each segment, this implies that there is an
grow to the point where the wire resistance increases by
R. effective divergence, which can eventually lead to void nucle-
Using these notions, we can now determine the probability ation and growth, equivalent to a current density of 2j on both
that a given wire will have a resistance change
R as wires. In other words, as compared to the case where the left-
hand segment is missing and the right-hand segment has the
Pr(
R | nuc) Pr(nuc) if
R > 0 same current density of j, the expected rate of atomic transfer
Pr(
R) = (28) is doubled at this node. Using the via node vector notion [35],
1 Pr(nuc) if
R = 0.
an effective current density of 2j is used for this wire instead
The first case corresponds to a nonzero resistance change, i.e., of the actual current density of j.
the product of Pr(
R | nuc), the probability of a resistance
change given that nucleation has occurred, and the probabil- E. Mortal Wire Prediction
ity of nucleation, Pr(nuc). The probability distribution for
R The Blech criterion, outlined in Section III, is predicated
for a nucleated void is given by LogN(
R ,
R ) as derived on the achievement of a steady state between the electron
above, with the mean and standard deviation given by (27). wind and back-stress forces, so that the stress gradient set-
The nucleation probability is given by LogN(tn , tn ) in (22), tles to a linear trend in space. The achievement of steady state
and Pr(nuc) corresponds to the probability that the nucleation requires significant mass transfer from the cathode to anode
time, tn , is less than the observation time, to , i.e., the cumu- to balance the forward EM flux, and strictly speaking, immor-
lative distribution function (CDF) of tn , evaluated at time to . tality prediction by the Blech criterion cannot be guaranteed
The second case corresponds to the scenario where the void unless this steady state is reached soon. The observation that
does not nucleate, with a probability of 1 Pr(nuc). a finite time, which may be comparable to circuit lifetime, is
elapsed before the achievement of steady state, can be backed
D. Incorporating the Effect of Current Divergence up by experimental data, based on extrapolating experimen-
The conventional approach to estimating EM failure is based tal EM and back-stress results from [37], which observe the
on a current density-based model. Under this model, for two time elapsed for achievement of back-stress, in Cu wires of
wires of equal length, the one with the larger current density multiple wire lengths.
should have a shorter mean time to failure. However, the work According to [3], the approximate time taken to reach steady
in [35] demonstrated experimentally that this is not always the state can be written as
case, by showing test circuit where one wire has twice the L2
current density as another, but experiences consistently later tss = (29)
4
failures. This is consistent with other reported work where the
where = (Deff B /kB T), as in (10). For wires where the
current divergence effect comes into play: for example, [36]
steady state may not be achieved, we propose an alternate
shows fabricated test structures where the failure rates on a
void-nucleation-based mortality criterion, considering three
wire segment depends not only on the current density on the
cases.
segment, but also on those on adjacent segments that share
Case 1: A wire can be EM-mortal if it does not satisfy the
via(s) with this segment.
Blech criterion ( jL < ( jL)crit ). For mortal wires, we have
We model adjacent segments by computing the effective
current density on a wire, which is based on the magnitude jL > ( jL)crit . (30)
MISHRA AND SAPATNEKAR: PROBABILISTIC WIRE RESISTANCE DEGRADATION DUE TO EM IN POWER GRIDS 635

Case 2: A wire can be EM-mortal if a void can nucleate Algorithm 1 Power Grid MC Simulation
before steady-state is achieved, i.e., tn < tss = L2 /4. Since 1: Solve power grid to obtain nominal j for each wire
= (Deff B /kB T), from (11) and (12) 2: Calculate effective current density (Section III-D)
  3: Filter immortal wires that are EM-safe (Section III-E)
c2  kB T L2 kB T
 j)2 BD < (31) 4: for each mortal wire do
4 (eZeff eff 4 Deff B  5: Use the resistance evolution model to obtain

lognormal PDFs of wire resistance (Section III-C)
that is jL > ( jL)crit (32)
2 6: end for
where ( jL)crit is the conventional critical jL value from the 7: for each Monte Carlo iteration do
Blech criterion, given by (19). Note that in the first inequality, 8: for each mortal wire do
both the left and right hand sides are statistical quantities. 9: Sample the wire resistance using IS (Section IV)
However, both depend on Deff , which cancels out, resulting in 10: end for
a deterministic criterion. 11: Build a circuit sample for the power grid using the
Case 3: Let the earliest reasonable void nucleation time of a above set of wire resistances
wire, taken to be the 3 point of the underlying Gaussian 12: Solve the sample power grid and tabulate the IR drop
3 13: end for
of the nucleation time, tn , be denoted as tn . A wire is effec-
14: Report statistics (mean, standard deviation)
tively EM-immortal if this earliest nucleation time is beyond
the projected lifetime, tlife , of the circuit. In other words, it is
EM-mortal if
most (and possibly, for a small set of samples, no) wires.
tn3 < tlife . (33) Most importantly, such an approach would see a large num-
ber of samples go to waste as they provide little meaningful
Using (22), this inequality can be written as
information.
tn3 = etn 3tn = elog Ktn Deff,n 3Deff,n < tlife To overcome this, we use the notion of importance sam-
Deff,n +3Deff,n pling (IS), which biases the distribution, but unbiases it
that is Ktn < tlife e . (34)
as it interprets the results of sampling. IS is an MC method
Substituting Ktn from (12) and rearranging a few terms, that computes the expected value of a function f (x) of a ran-
this translates to the following mortality criterion for a wire dom variable x, which is defined over a set of values , and
carrying current j: specified in terms of a distribution p(x). This method is partic-
ularly useful when p(x) is skewed or unevenly distributed, i.e.,
c  kB T some values of x have a low probability of occurrence and are
j>  . (35)
eZeff 4 B to eDeff,n +3Deff,n not sampled frequently enough, causing sampling errors. IS
resolves this by sampling according to a function q(x) that is
For a wire to be EM-mortal,
the criteria under all three cases uniformly distributed over the range of x, and then correcting
must be satisfied. Since /2 0.89 < 1, the criterion for
the error due to sampling from this different distribution by
case 1 is automatically satisfied by case 2. Therefore, the EM-
adding appropriate weights to f (x). For example, the expecta-
mortality criterion is given by (32) and (35). Further, for both
tion of f (x) under the distribution p(x), denoted Ep [ f (x)], is
inequalities (32) and (35), all parameters on the right-hand
computed as
side of the inequality are fundamental parameters of the tech-  
nology; in particular, from (21), the statistics of Deff,n can be Ep [ f (x)] = f (x)p(x)dx = f (x)p(x).q(x)/q(x)dx
seen to be based on the underlying process, and can be cheaply 
precomputed for a technology, without the need for any MC
= w(x)q(x)dx = Eq [w(x)]
simulations. We apply the mortality criteria (32) and (35) to
filter out wires that are safe with respect to EM, during the
where w(x) = f (x)p(x)/q(x) and Ep [ f (x)] is the expectation
product lifetime. For the mortal wires, we perform MC circuit
of f (x) under the new distribution q(x). The pseudocode for
simulations to analyze the impact on the power grid.
our framework is shown in Algorithm 1.
In this paper, we use a sampling distribution q(x), which is
IV. M ONTE C ARLO S IMULATION F RAMEWORK a uniform distribution that stretches from 0 to the tail of the
We use our probabilistic resistance model to perform MC lognormal distribution of
R: the values of this lognormal
analysis of power grids in the presence of probabilistic resis- range from
R = 0 to the ( + 3 ) point of the underlying
tance variations. Our probability distribution function (PDF) Gaussian, log(
R), where and represent, respectively, the
for the resistance change, derived in Section III-C builds a sim- mean and the standard deviation of the underlying Gaussian.
ple circuit-level abstraction for complex physical phenomena, If K is the span of this distribution from
R = 0 to the ( +
facilitating simplified analysis at the circuit level by consid- 3 ) point of the underlying Gaussian, then every point within
ering
R as a random variable. However, given that EM is the span has a uniform probability of 1/K under q(x). The
(and should be) a relatively unlikely event, it is essential for method samples points on this distribution, feeds them into a
our MC analysis to be biased appropriately: a truly random power grid simulator based on dc modified nodal analysis, and
set of samples would probably see no resistance change in determines the voltage distribution at each node. The voltages
636 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 4, APRIL 2017

TABLE I TABLE II
EM PARAMETERS U SED FOR THE S INGLE W IRE C ASE VOID N UCLEATION T IME (tn ) AND G ROWTH T IME (tg )
C OMPARISON : A NALYTICAL M ETHOD V ERSUS [4]

0
the numerical simulations in the FEA approach give an accu-
rate estimate of the EM dynamics. However, due to the large
simulation runtime, even for a single wire, the usage of such
models for the statistical analysis of a multimillion-wire power
are then translated back to the original distribution by scaling grid system is unrealistic. Our work presents an approach that
them to the original lognormal using the w(x) factor. enables this level of scalability.
Under the assumptions in [4], failure is defined as the time
V. R ESULTS when Lvoid = Lvia , and the TTF is the sum of the nucleation
time, tn , and the growth time, tg . We apply the Wilkinson
A. Failures in Single Wire
approximation [34] to obtain TTF as the sum of lognormal
To calibrate the correctness of our probabilistic model, we distributions of tn and tg . The TTF values for the 0.3%ile,
first work under assumptions similar to [4], which computes tn , 50%ile, and 99.7%ile points under accelerated aging are 12.7
the time elapsed before a void nucleates in a wire, and tg , the h, 15.6 h, and 19.1 h, respectively. These numbers indicate that
time elapsed from nucleation up to the instant at which length every wire has a nonzero probability of failure, regardless of
of the void, Lvoid becomes equal to Lvia , i.e., allowing the void the value of its jL product that is traditionally used to screen
to grow until it spans across the length of the via. Our pre- out wires that are immortal under the Blech criterion. Indeed,
dicted values are then compared against the published FEA wires that satisfy the Blech criterion will fail, as observed
simulations in [4]. For evaluating the corresponding values of experimentally in [5] and [10]. However, below a lifetime of
time elapsed for void nucleation and growth, we use the prob- 12.7 h, there is very low probability that a specific wire will
abilistic framework derived in Section III under accelerated fail in any manufactured part, and wires that fall below this
aging conditions for temperature and current stress. threshold can effectively be considered immortal.
1) Calibration of Correctness Under Accelerated Aging: 2) Single-Wire Simulation at Chip Operating Conditions:
The material parameters for accelerated aging are set to ensure Note that we have compared our statistics with published work
a fair comparison, drawing parameter values from [4] where under accelerated aging conditions, we revert to performing
available. Table I lists the EM parameters along with their our remaining evaluations under normal operating conditions.
description, values, and the corresponding reference from We use a similar setup as described in Section V-A1, but we
where the values are imported. Some parameters that were perform this analysis at room temperature, using a current
unavailable were extracted from the literature. Specifically, density value of 1 1010 A/m2 . As expected, the reduction
B = 1GPa was used from [38]. in temperature reduces the rate of EM degradation, and the
The standard deviation for the normally distributed Ea is TTF is now of the order of several years, as against accel-
obtained from [28], by using the 3 value in Ea , which is erated aging, where it is of the order of several hours. We
specified as 0.11eV. Here, denotes the standard deviation for also perform MC simulations at these conditions to validate
Ea , and is obtained as 0.037eV. For our calibration simulations, our approach using 106 samples on a normal distribution of
we used the value for effective diffusivity and activation energy activation energy.
corresponding to the capping surface diffusion to align with the We evaluate the resistance change ratio,
R/R, of a wire
simulation setup in [4], which uses bamboo-like grains where according to our probabilistic formulation in (28), for the
the capping surface diffusion is the dominant EM mechanism, observation time, to = 12 years. Fig. 4 shows the compar-
as discussed briefly in Section II-A. ison for the PDF of
R/R as predicted by our formulation
Table II lists the expected value, , of the lognormal and against a plot for the same PDF obtained from MC simu-
standard deviation, , for the underlying Gaussian of the log- lations. This figure demonstrates a close match between our
normal for the failure parameters, tn and tg , obtained by our analytical approximation with MC simulation
model, against the corresponding values obtained from FEA,
mentioned in [4]. The values show a good level of consistency,
despite some differences in the underlying assumptions. The B. Power Grid Simulation
discrepancies are attributed to factors such as the unavailability Moving beyond a single wire, we now focus on analyzing
of some parameters in [4], and differences in the setup between the impact of EM on a set of power grid benchmark circuits
the fully 3-D numerical simulations in the FEA approach described in [39]. Table III lists the power grid benchmarks
against our analytical approach. Clearly, our method is much that were evaluated for our EM analysis. For each power grid,
faster than the FEA approach since it merely involves the eval- the table lists the name, total number of wires, number of
uation of an analytical expression. The 3-D models used by metal layers occupied, and the percentage nominal IR drop of
MISHRA AND SAPATNEKAR: PROBABILISTIC WIRE RESISTANCE DEGRADATION DUE TO EM IN POWER GRIDS 637

TABLE IV
EM PARAMETERS U SED IN P OWER G RID S IMULATION

Fig. 4. PDF of
R/R for a wire under normal operating conditions.
TABLE V
E XPECTED RUNTIME VALUES FOR MC P OWER G RID E VALUATION
BASED ON S INGLE I TERATION RUNTIMES F ROM [42]
TABLE III
P OWER G RID B ENCHMARKS E VALUATED IN T HIS PAPER

We perform MC circuit simulation using samples from


the EM-induced resistance increase,
R, for every wire in
the power grid, defined as the IR drop value of the node with the power grid using a statistical IS approach, described in
the largest value of IR drop among all the nodes expressed as Section IV. We realize our implementation using C++ and
a percentage of supply voltage, evaluated at time 0, i.e., prior MATLAB. For a confidence of Ptarget that the worst-case IR
to EM degradation. drop predicted from our MC simulation does not cross the
For power grids PG1 and PG2, it was observed that the nom- 99.7%ile value predicted by our method, it can be shown
inal IR drop for the original benchmarks, as described in [39], that the number of simulations, NMC , can be evaluated as
was abnormally high (>20% of the supply voltage). Similar NMC = ((log(1 Ptarget ))/log(0.997)). We choose Ptarget =
to [9], we scale the original values of the current loads for 95%, which provides NMC = 997, and for convenience, we
these benchmarks such that the worst-case IR drop at nominal round this upward to 1000 simulations.
conditions is below 15% of the supply voltage. In our implementation, for convenience, we have used the
For these benchmarks, we analyze the interconnects for EM matrix solver from MATLAB. However, to demonstrate that it
risk in the power grid and simulate the distribution of the IR is realistic to run an MC simulation with 1000 simulations on
drop by solving the power grid to obtain the node voltage these power grids, we use the runtime per iteration from [42],
at every node for a given circuit lifetime, tlife . We assume listed in Table V, to estimate realistic runtimes if a special-
constant current sources drawing current from the power grid, ized power grid simulator were to be used instead. This table
which consists of only resistive elements. indicates that the MC-based evaluation is computationally
For the accelerated aging simulations described in reasonable.
Section V-A1, the capping surface diffusion was considered as
the primary EM diffusion mechanism to align with the FEA
simulations from [4]. However, for power grid simulations, we C. Mortal Wire Prediction
choose the EM process parameters corresponding to the grain We now evaluate our new mortality criterion from
boundary diffusion mechanism, since EM diffusion through Section III-E. We identify the number of mortal wires
the grain boundaries is indicated as the primary EM diffusion predicted from our proposed mortality criterion with those
mechanism for advanced technology Cu DD interconnects, from the traditional deterministic Blech criterion, and list the
as indicated in [18], and briefly discussed in Section II-A. number of such wires for each benchmark in Fig. 5. It can
Table IV lists the values of the process parameters used in be seen that our implementation indicates that a larger num-
our simulations. We remind the reader again that for the log- ber of wires must be considered mortal under our probabilistic
normals, we provide mean and variance values that refer to formulation. As derived in Section III-E, the mortal wires iden-
the underlying Gaussians. Therefore, a negative mean value tified by our approach is a superset of those that are mortal
for the Gaussian is permissible since it translates to a positive under the traditional criterion, and therefore the number of
mean for the lognormal. mortal wires is larger than the traditional number.
638 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 4, APRIL 2017

Fig. 6. Effect of Joule heating on IR drop.


Fig. 5. Mortal wire comparison: our criterion versus traditional Blech
criterion.
For the first set, clearly, the spread is zero since no varia-
TABLE VI
I MPACT OF Ea AND d VARIATION ON THE N ORMALIZED 99.7% ILE tion is assumed. For the second and third set, the normalized
VALUE AND N ORMALIZED S PREAD OF IR D ROP maximum IR drop increases and there is a clear spread in the
IR drop. The spread due to variation in both Ea and d varia-
tion, in the third simulation set, is observed to be marginally
more than the spread due to variation in only Ea , in the sec-
ond set. This indicates that the variation in Ea has a relatively
larger impact on the overall variation in IR drop. This can be
attributed to the actual values chosen for mean and standard
deviation of the process parameters which have been extracted
from literature. Besides showing the relative contributions of
process parameter variations on the IR drop of power grids,
we also want to emphasize the fact that, under statistical vari-
ation of process parameters, the IR drop is not a fixed value
but follows a distribution.
D. EM-Induced IR Drop Degradation 2) Impact of Temperature Variation Due to Joule Heating:
Next, for the power grid benchmarks, we discuss the In order to quantify the impact of temperature rise due to
statistics of performance degradation from part to part. This Joule heating we repeat the third set in the previous circuit
variation is a result of EM-induced increases in wire resis- simulation, but this time we artificially force temperature rise
tances due to probabilistic variations in Ea and d, which leads due to Joule heating,
TJ , in (15) to zero in our simulation.
to statistical shifts in the IR drop, and is computed through an Fig. 6 shows the normalized maximum IR drop for the eight
MC simulation on each power grid. benchmarks, and demonstrates that the maximum IR drop val-
For each MC sample, we tabulate the IR drop value of ues for each benchmark is lower when Joule heating is not
power grid, defined previously as the IR drop value of the incorporated. The percentage error in the IR drop, relative to
node which has the largest IR drop, since it is this node which its correct value after considering
TJ , varies from 6% (for
will decide the overall performance of the circuit. Based on PG2) to 40% (for PG4). These variations across benchmarks
this distribution of IR drop values, we define the maximum can be attributed to multiple factors that vary between the
IR drop value, Vmax , as the 99.7%ile point of this distri- power grids, such as the RMS current and the ILD thickness,
bution, and the spread,
V, in the IR drop values, as the which depends on the metal layers used.
difference between the 99.7%ile and 0.3%ile values. The max- 3) Impact of Current Redistribution: The flow of current
imum IR drop is normalized as a percentage of the supply in the power grid drives EM, which induces probabilistic wire
voltage, Vdd , and the spread as a function of the maximum resistance changes. These changes, in turn, affect the distri-
IR drop. bution of current through the wires, thereby impacting further
1) Impact of Ea and d on IR Drop: In order to quantify the EM-induced degradation. In order to capture the evolution of
impact on IR drop due to variations in activation energy, Ea , IR drop, our MC circuit simulation are performed using an
and grain size, d, we perform three sets of circuit simulations, iterative approach where the wire resistance and the current
for a specific lifetime tlife = 10 years. In the first set, we do not density value are updated after incremental steps leading to
consider any variation in both activation energy, Ea , and grain the circuit lifetime. For the power grid benchmarks, when we
size, d, and we choose fixed values for Ea and d, which corre- compare this iterative approach with a noniterative method,
spond to the mean values of their corresponding distributions. where the currents are not updated after t = 0, we observe that
For the second set of simulations, we consider variations in the noniterative approach predicts a larger IR drop compared to
the activation energy and a constant grain size, and in the third the iterative approach for all benchmarks. Fig. 7 illustrates the
set, we assume variation in both Ea and d. Table VI shows normalized maximum IR drop for a representative benchmark,
the normalized maximum IR drop value and the normalized PG1, as a function of time. The lower IR drop values in the
spread, as defined previously, for every benchmark for each iterative approach can be attributed to the current redistribution
of the three sets of simulations. in the redundant paths of the power grid. This results in lower
MISHRA AND SAPATNEKAR: PROBABILISTIC WIRE RESISTANCE DEGRADATION DUE TO EM IN POWER GRIDS 639

voids nucleate and grow over this longer period, thereby result-
ing in larger EM-induced IR drop degradation. Thus, for long
lifetime applications, a significant fraction of samples will be
EM-affected if the IR drop threshold is chosen as 10%. On the
other hand, if the design specifications are changed so that the
IR drop threshold criterion is relaxed from 10% to 12%, there
is a 98% likelihood that the power grid will remain functional
up to 20 years for the modified threshold. We also observe
Fig. 7. IR drop evolution of PG1 (iterative versus noniterative). the worst resistance increase corresponding to tlife = 10 years
and tlife = 20 years to be 124% and 287%, respectively. Thus,
even though the EM-induced wire resistance change increases
by 2.3 between tlife = 10 years to tlife = 20 years, the IR
drop threshold changes by only 2% in order to maintain a
significantly large (98%) probability for correct circuit func-
tioning. This reemphasizes the resiliency of the power grid to
EM-induced wire resistance change.
Note that for a well-designed power grid the fraction of
samples crossing the IR drop threshold, thereby resulting in
functional failure, should be a low value. Depending on the
product lifetime this value can be of the order 10001ppm
Fig. 8. CDF plots for IR drop of PG1 for different circuit lifetimes, tlife . depending on the product lifetime [43]. However, the failure
fraction is significantly larger than 1000ppm. This is due to the
fact the power grid benchmarks are not completely optimized.
current flow through the EM-affected wires, thereby attenu- Nevertheless, the designer may encounter them during design
ating further EM-induced resistance degradation. In contrast, iterations, and it is important to analyze them to inform the
for the noniterative case, the current stress for the EM-affected designer that the grid has EM problems. The designer can then
wires remains constant predicting a relatively larger damage reduce the failure rate, for example, by adjusting the current
than is really seen. For PG1 the error in the IR drop at circuit densities through wire width tuning, and such optimization
lifetime, tlife = 10 years, is observed to be 9% of the IR drop would be informed by the analysis of the type proposed here.
value at that instant.
4) Statistics of the Worst-Case IR Drop for the Power Grid: VI. C ONCLUSION
In order to study the time evolution of variation of the IR We have developed a method for EM analysis of power grids
drop, we observe the CDF of the IR drop corresponding to taking into account two effects that were neglected in past
the node with the largest value, according to our definition of work: first, that EM is a probabilistic phenomenon for Cu DD
Vmax , for power grid PG1. The data is generated for various interconnects and second, that the power grid has inherent
values of the lifetime, tlife , using our iterative MC approach. resilience to EM failures. Our results indicate that both effects
Fig. 8 shows the CDF of the IR drop at tlife = 5, 10, and 20 are substantial. Further, we perform an analysis that determines
years, corresponding to the typical product lifetime values for an improved immortality criterion that accounts for steady-
mobile, computing, and automotive applications, respectively. state behavior. In addition to microstructural variations, the
For a given threshold value, e.g., 10% as indicated by a variation in EM process parameters, such as critical stress can
vertical line on the x-axis, the CDF corresponding to tlife = 5 also influence the performance. Our methodology can provide
years lies completely to the left of this threshold. This implies a framework to address these additional variations, and this
that for short lifetime applications (e.g., mobile), the power constitutes a part of our future work.
grid will remain functional since the IR drop is below the
threshold for all the samples. In contrast, the worst percentage ACKNOWLEDGMENT
resistance degradation in PG1 at tlife = 5 years is 48%, which
The authors would like to thank the Minnesota
is above the typical 10%20% resistance increase criterion that
Supercomputing Institute, University of Minnesota, for
is typically used by designers today. This demonstrates that the
providing resources that contributed to the results reported
circuit lifetime, which corresponds to the time during which
within this paper.
the IR drop is satisfactory, can be longer than the lifetime of
any of its component wires. In other words, the power grid is
R EFERENCES
robust to some EM failures in individual wires.
The probability that the IR drop is larger than 10% increases [1] V. Mishra and S. S. Sapatnekar, The impact of electromigration in
copper interconnects on power grid integrity, in Proc. ACM/EDAC/IEEE
with lifetime since a larger fraction of the samples crosses Design Autom. Conf., Austin, TX, USA, 2013, pp. 16.
the chosen threshold for larger values of tlife . For the CDF [2] C. M. Tan, Electromigration in ULSI Interconnections. Singapore:
corresponding to tlife = 10 years, 9% of the samples cross World Sci., 2010.
[3] M. A. Korhonen, P. Borgesen, K. N. Tu, and C.-Y. Li, Stress evolution
the threshold, resulting in functional failure, and this value due to electromigration in confined metal lines, J. Appl. Phys., vol. 73,
increases to a value of 33% for tlife = 20 years as more no. 8, pp. 37903799, 1993.
640 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 4, APRIL 2017

[4] R. L. de Orio, H. Ceric, and S. Selberherr, A compact model for [30] L. Doyen et al., Electromigration multistress pattern technique for cop-
early electromigration failures of copper dual-damascene interconnects, per drift velocity and Blacks parameters extraction, in Proc. IEEE
Microelectron. Rel., vol. 51, nos. 911, pp. 15731577, 2011. Integr. Rel. Workshop Final Rep., South Lake Tahoe, CA, USA, 2007,
[5] S. P. Hau-Riege, Probabilistic immortality of Cu damascene intercon- pp. 7478.
nects, J. Appl. Phys., vol. 91, no. 4, pp. 20142022, 2002. [31] V. M. Dwyer, Modeling the electromigration failure time distribu-
[6] J. R. Black, Electromigration failure modes in aluminum metallization tion in short copper interconnects, J. Appl. Phys., vol. 104, no. 5,
for semiconductor devices, Proc. IEEE, vol. 57, no. 9, pp. 15871594, pp. 053708-1053708-6, 2008.
Sep. 1969. [32] J. R. Lloyd and J. Kitchin, The electromigration failure distribution,
[7] I. A. Blech, Electromigration in thin aluminum films on titanium J. Appl. Phys., vol. 69, no. 4, pp. 21172127, 1991.
nitride, J. Appl. Phys., vol. 47, no. 4, pp. 12031208, 1976. [33] B. H. Jo and R. W. Vook, In-situ ultra-high vacuum studies of elec-
[8] V. Mishra and S. S. Sapatnekar, Circuit delay variability due to wire tromigration in copper films, Thin Solid Films, vol. 262, nos. 12,
resistance evolution under AC electromigration, in Proc. IEEE Int. Rel. pp. 129134, 1995.
Phys. Symp., Monterey, CA, USA, 2015, pp. 3D.3.13D.3.7. [34] A. A. Abu-Dayya and N. C. Beaulieu, Comparison of methods of com-
[9] X. Huang, T. Yu, V. Sukharev, and S. X.-D. Tan, Physics-based puting correlated lognormal sum distributions and outages for digital
electromigration assessment for power grid networks, in Proc. wireless applications, in Proc. IEEE Veh. Technol. Conf., Stockholm,
ACM/EDAC/IEEE Design Autom. Conf., San Francisco, CA, USA, 2014, Sweden, 1994, pp. 175179.
pp. 16. [35] Y.-J. Park, P. Jain, and S. Krishnan, New electromigration validation:
Via node vector method, in Proc. IEEE Int. Rel. Phys. Symp., Anaheim,
[10] J. W. Pyun et al., Effect of barrier thickness on electromigration reli-
CA, USA, 2010, pp. 698704.
ability of Cu/porous low k interconnects, Appl. Phys. Lett., vol. 87,
[36] C. L. Gan, C. V. Thompson, K. L. Pey, and W. K. Choi, Experimental
no. 6, pp. 061907-1061907-3, 2005.
characterization and modeling of the reliability of three-terminal dual-
[11] D.-A. Li and M. Marek-Sadowska, Variation-aware electromigration damascene Cu interconnect trees, J. Appl. Phys., vol. 94, no. 2,
analysis of power/ground networks, in Proc. IEEE/ACM Int. Conf. pp. 12221228, 2003.
Comput.-Aided Design, San Jose, CA, USA, 2011, pp. 571576. [37] K. L. Lee, C.-K. Hu, and K. N. Tu, In situ scanning electron
[12] S. M. Alam, C. L. Gan, F. L. Wei, C. V. Thompson, and D. E. Troxel, microscope comparison studies on electromigration of Cu and Cu(Sn)
Circuit-level reliability requirements for Cu metallization, IEEE Trans. alloys for advanced chip interconnects, J. Appl. Phys., vol. 78, no. 7,
Devices Mater. Rel., vol. 5, no. 3, pp. 522531, Sep. 2005. pp. 44284437, 1995.
[13] M. Fawaz, S. Chatterjee, and F. N. Najm, A vectorless framework for [38] S. P. Hau-Riege and C. V. Thompson, The effects of the mechanical
power grid electromigration checking, in Proc. IEEE/ACM Int. Conf. properties of the confinement material on electromigration in metallic
Comput.-Aided Design, San Jose, CA, USA, 2013, pp. 553560. interconnects, J. Mater. Res., vol. 15, no. 8, pp. 17971802, 2000.
[14] S. Chatterjee, M. Fawaz, and F. N. Najm, Redundancy-aware electromi- [39] S. R. Nassif, Power grid analysis benchmarks, in Proc. Asia South
gration checking for mesh power grids, in Proc. IEEE/ACM Int. Conf. Pac. Design Autom. Conf., Seoul, South Korea, 2008, pp. 376381.
Comput.-Aided Design, San Jose, CA, USA, 2013, pp. 540547. [40] P. Jain, S. S. Sapatnekar, and J. Cortadella, A retargetable and accurate
[15] C.-K. Hu, R. Rosenberg, and K. Y. Lee, Electromigration path in Cu methodology for logic-IP-internal electromigration assessment, in Proc.
thin-film lines, Appl. Phys. Lett., vol. 74, no. 20, pp. 29452947, 1999. Asia South Pac. Design Autom. Conf., Chiba, Japan, 2015, pp. 346351.
[16] E. Liniger, L. Gignac, C.-K. Hu, and S. Kaldor, In situ study of void [41] J. C. Fisher, Calculation of diffusion penetration curves for surface
growth kinetics in electroplated Cu lines, J. Appl. Phys., vol. 92, no. 4, and grain boundary diffusion, J. Appl. Phys., vol. 22, no. 1, pp. 7477,
pp. 18031810, 2002. 1951.
[17] B. Li, C. Christiansen, D. Badami, and C.-C. Yang, Electromigration [42] Z. Zeng, T. Xu, Z. Feng, and P. Li, Fast static analysis of power
challenges for advanced on-chip Cu interconnects, Microelectron. Rel., grids: Algorithms and implementations, in Proc. IEEE/ACM Int. Conf.
vol. 54, no. 4, pp. 712724, 2014. Comput.-Aided Design, San Jose, CA, USA, 2011, pp. 488493.
[18] (Aug. 2015). International Technology Roadmap for Semiconductors. [43] C. Yuan, D. Tipple, and J. Warner, Optimizing standard cell design
[Online]. Available: http://www.itrs.net/Links/2013ITRS/2013Chapters/ for quality, in Proc. SPIE Design Process Technol. Co-Optim. Manuf.,
2013Interconnect.pdf San Jose, CA, USA, 2014, pp. 90530O-190530O-8.
[19] C.-K. Hu et al., Microstructure, impurity and metal cap effects on Cu
electromigration, in Proc. AIP Conf., vol. 1601. Kyoto, Japan, 2014, Vivek Mishra (S12) received the B.Tech. and
pp. 6778. M.Tech. degrees from the Indian Institute of
[20] C. Christiansen et al., Electromigration-resistance enhancement with Technology Bombay, Mumbai, India, in 2008. He
CoWP or CuMn for advanced Cu interconnects, in Proc. IEEE Int. is currently pursuing the Ph.D. degree with the
Rel. Phys. Symp., Monterey, CA, USA, 2011, pp. 3E.3.13E.3.5. Department of Electrical and Computer Engineering,
[21] J. Lloyd, Blacks law revisitedNucleation and growth in electromi- University of Minnesota, Minneapolis, MN, USA.
gration failure, Microelectron. Rel., vol. 47, no. 9, pp. 14681472, He was an ASIC Design Engineer with Taiwan
2007. Semiconductor Manufacturing Company, Hsinchu,
[22] W. Liu et al., Study of upstream electromigration bimodality and its Taiwan, from 2008 to 2011. His current research
improvement in Cu low-k interconnects, in Proc. IEEE Int. Rel. Phys. interests include circuit modeling and development
Symp., Anaheim, CA, USA, 2010, pp. 906910. of efficient algorithms to analyze the circuit impact
[23] S. Ogawa et al., Amorphous Ru/polycrystalline Ru highly reliable of interconnect performance degradation due to electromigration and develop-
stacked layer barrier technology, in Proc. IEEE Int. Interconnect ment of CAD techniques for timing and power analysis of integrated circuits.
Technol. Conf., Burlingame, CA, USA, 2008, pp. 102104. Mr. Mishra is a recipient of the 201516 Doctoral Dissertation Fellowship
from the University of Minnesota.
[24] M. Hauschildt et al., Statistical analysis of electromigration lifetimes
and void evolution, J. Appl. Phys., vol. 101, no. 4, pp. 682687, 2007. Sachin S. Sapatnekar (S86M93F03) received
[25] K. Banerjee and A. Mehrotra, Global (interconnect) warming, IEEE the B.Tech. degree from the Indian Institute of
Circuits Devices Mag., vol. 17, no. 5, pp. 1632, Sep. 2001. Technology Bombay, Mumbai, India, the M.S.
[26] A. Heryanto et al., The effect of stress migration on electromigration degree from Syracuse University, Syracuse, NY,
in dual damascene copper interconnects, J. Appl. Phys., vol. 109, no. 1, USA, and the Ph.D. degree from the University
pp. 013716-1013716-9, 2011. of Illinois at UrbanaChampaign, Champaign,
[27] M. Hauschildt, Statistical analysis of electromigration lifetimes and IL, USA.
void evolution in Cu interconnects, Ph.D. dissertation, Dept. Mater. He was with Iowa State University, Ames, IA,
Sci. Eng., Univ. Texas Austin, Austin, TX, USA, 2005. USA, from 1992 to 1997. He has been with
[28] Z.-S. Choi, R. Mnig, and C. V. Thompson, Activation energy and the University of Minnesota, Minneapolis, MN,
prefactor for surface electromigration and void drift in Cu interconnects, USA, since 1997, where he holds the Distinguished
J. Appl. Phys., vol. 102, no. 8, pp. 083509-1083509-4, 2007. McKnight University Professorship and the Robert and Marjorie Henle Chair.
[29] R. L. de Orio, H. Ceric, J. Cervenka, and S. Selberherr, The effect of Dr. Sapatnekar is a recipient of seven conference Best Paper awards, a Best
copper grain size statistics on the electromigration lifetime distribution, Poster award, an ICCAD 10-year Retrospective Most Influential Paper award,
in Proc. Int. Conf. Simulat. Semicond. Process. Devices, San Diego, CA, the SRC Technical Excellence award, and the SIA University Researcher
USA, 2009, pp. 14. award.

Vous aimerez peut-être aussi