Vous êtes sur la page 1sur 293

Autipari kommunikcis rendszerek

Dr. Fodor, Dnes

Dr. Szalay, Zsolt

Szerzi jog 2014 Pannon Egyetem

A tananyag a TMOP-4.1.2.A/1-11/1-2011-0042 azonost szm


Mechatronikai mrnk MSc tananyagfejleszts projekt keretben kszlt. A
tananyagfejleszts az Eurpai Uni tmogatsval s az Eurpai Szocilis Alap
trsfinanszrozsval valsult meg.

Kzirat lezrva: 2014 februr

Kzremkdk: Dr. Aradi Petra, Speiser Ferenc, Weisz Rbert, Mrton Zoltn,
Nagy Klaudia

A kiadsrt felel a(z): Pannon Egyetem

Felels szerkeszt: Pannon Egyetem

2014
Autipari kommunikcis rendszerek
Tartalomjegyzk

BETSZAVAK .................................................................................................................. 8

FOGALMAK ................................................................................................................... 16

1 BEVEZETS .......................................................................................................... 22
1.1 Kzpontostott szablyoz rendszer ....................................................................... 22
1.2 Elosztott szablyoz rendszer ................................................................................. 23
1.3 Az ISO/OSI referencia modell ................................................................................ 24
1.3.1 Alkalmazsi rteg .................................................................................................... 27
1.3.2 Megjelentsi rteg .................................................................................................. 27
1.3.3 Viszonyrteg ............................................................................................................ 27
1.3.4 Szlltsi rteg ......................................................................................................... 28
1.3.5 Hlzati rteg .......................................................................................................... 28
1.3.6 Adatkapcsolati rteg ................................................................................................ 29
1.3.7 Fizikai rteg ............................................................................................................. 30
1.4 Digitlis adattvitel .................................................................................................. 30
1.5 Soros/prhuzamos tvitel ........................................................................................ 31
1.6 Szinkron/aszinkron tvitel [34] ............................................................................... 32
1.7 Szinkronizcis mdszerek aszinkron tvitelnl ................................................... 33
1.8 Busz arbitrci (versengs) ..................................................................................... 36
1.9 Vezetkes adattvitel jellemzi ............................................................................... 37
1.9.1 Svszlessg............................................................................................................. 37
1.9.2 Modulcis sebessg (Baud rate), adattviteli sebessg (bit rate) ......................... 38
1.9.3 Lezrs .................................................................................................................... 39
1.9.4 Zavarvdelem .......................................................................................................... 39
1.10 Alkalmazsi terletek.......................................................................................... 41
1.11 Az ipari kommunikcis protokollok osztlyozsa .......................................... 42

2 LIN: LOCAL INTERCONNECT NETWORK .......................................................... 46


2.1 A LIN protokoll jellemzi s felptse .................................................................. 46
2.1.1 A LIN protokoll jellemzi ........................................................................................ 46
2.1.2 A LIN alkalmazsi terletei ..................................................................................... 50
2.1.3 Szabvnyosts......................................................................................................... 51
2.1.4 A LIN protokoll felptse........................................................................................ 52

2.2 A LIN protokoll Fizikai rtege ................................................................................ 54


2.2.1 A LIN buszmeghajt s fogad egysgei ................................................................. 55
2.2.2 A buszvonal karakterisztikja .................................................................................. 62
2.2.3 Nem norml zem mkdsek ............................................................................... 63
2.2.4 Bitsebessg tolerancia ............................................................................................. 63
2.2.5 Idztsi kvetelmnyek ........................................................................................... 65
2.3 A LIN Protokoll Specifikcija ............................................................................... 68
2.3.1 Jelkezels ................................................................................................................. 68
2.3.2 zenettvitel ............................................................................................................ 70
2.3.3 temez tblzatok ................................................................................................. 81
2.3.4 Folyamat-modellek .................................................................................................. 82
2.3.5 Hlzatmenedzsment ............................................................................................... 86
2.4 A LIN protokoll Szlltsi rtege ............................................................................ 90
2.4.1 Csomagszerkezet ..................................................................................................... 90
2.4.2 A kommunikci sorn tovbbthat zenetek ........................................................ 94
2.4.3 Hibakezels.............................................................................................................. 95
2.4.4 Idztsi megktsek ................................................................................................ 95
2.5 Diagnosztika a LIN hlzaton ................................................................................. 97
2.5.1 A Szlltsi rteg szolgltatsa................................................................................ 98
2.5.2 Diagnosztikai osztlyok ......................................................................................... 100
2.5.3 Mester csompont kvetelmnyei .......................................................................... 102
2.5.4 Felhasznl ltal definilt diagnosztika................................................................ 103
2.5.5 A jelalap diagnosztika kvetelmnyei.................................................................. 103
2.5.6 Szlltsi protokoll kezelse a LIN mester csompontnl ..................................... 104
2.5.7 tvitelkezel kvetelmnyei ................................................................................... 109
2.5.8 Szolga diagnosztika idztsi kvetelmnyei ......................................................... 116

3 CAN: CONTROLLER AREA NETWORK ............................................................ 120


3.1 A CAN protokoll jellemzi s felptse ............................................................... 120
3.1.1 A CAN protokoll jellemzi ..................................................................................... 121
3.1.2 A CAN alkalmazsi terletei ................................................................................. 125
3.1.3 Szabvnyosts....................................................................................................... 126
3.1.4 A CAN protokoll felptse .................................................................................... 127
3.2 A CAN protokoll Fizikai rtege ............................................................................ 130
3.2.1 CAN busz felptse ............................................................................................... 130
3.2.2 CAN csompont felptse ..................................................................................... 131
3.2.3 Arbitrci .............................................................................................................. 137
3.2.4 Bitreprezentci a CAN-en.................................................................................... 139
3.3 A CAN protokoll Adatkapcsolati rtege .............................................................. 153
3.3.1 CAN zenetkeretek ................................................................................................ 153
3.3.2 zenetek ksleltetse ............................................................................................. 163
3.4 Hibakezels a CAN hlzaton ............................................................................... 165
3.4.1 zenet jvhagys ................................................................................................. 165
3.4.2 Hibatpusok, s Hibafelismers ............................................................................. 166
3.4.3 Hibafelismersi kpessg....................................................................................... 168
3.4.4 Hibaforrs megszntetse, a CAN csompont llapotgpe .................................. 169
3.5 CAN zenet vlaszideje ......................................................................................... 171
3.5.1 Adott m zenet legrosszabb esetben vett vlaszidejnek analzise ........................ 172
3.5.2 Vlaszidt befolysol tnyezk ............................................................................ 174
3.5.3 CAN vlaszid jitternek minimalizlsa.............................................................. 174

4 FLEXRAY KOMMUNIKCIS RENDSZER PROTOKOLL LERS ....................... 180


4.1 Bevezets ................................................................................................................. 180
4.2 Fizikai rteg s elemei ............................................................................................ 182
4.2.1 Hlzati topolgik ............................................................................................... 182
4.2.2 Busz Driver (BD) ................................................................................................... 186
4.2.3 Busz Figyel (Busz Guardian, rviden BG) .......................................................... 190
4.3 Protocol Operation Control (POC) Protokoll irnyts ................................. 192
4.3.1 Communication Controller (CC) power modding CC energia llapotai ......... 192
4.3.2 Mkdsi ttekints ............................................................................................... 193
4.4 Kdols / Dekdols ............................................................................................... 195
4.4.1 Keret kdols......................................................................................................... 196
4.4.2 Szimblum kdols ................................................................................................ 199
4.4.3 Mintavtelezs s Majority voting (Tbbsgi szavazs) ........................................ 200
4.4.4 Bit rabellts s Bitvlaszts (BITSTRB)........................................................... 201
4.4.5 Csatorna resjrat szlelse ................................................................................. 202
4.4.6 Akci Pont s Id Referencia Pont (TRP) ............................................................. 203
4.4.7 Keret s szimblum dekdols .............................................................................. 205
4.5 Keret formtum...................................................................................................... 207
4.5.1 Fejlc Szegmens (Header Segment) (5 byte) ......................................................... 208
4.5.2 Adatszegmens (Payload segment) (0 - 254 byte) ................................................... 210
4.5.3 Zr szegmens (Trailer segment) vagy Hibaellenrz tag (3 byte) .................. 211
4.6 Kzegelrs vezrlse ............................................................................................. 211
4.6.1 Kommunikcis ciklus ........................................................................................... 212
4.6.2 Kommunikcis ciklus vgrehajtsa ..................................................................... 212
4.6.3 Statikus szegmens .................................................................................................. 213
4.6.4 Dinamikus szegmens.............................................................................................. 214
4.6.5 Szimblum ablak.................................................................................................... 216
4.6.6 Hlzat resjrati id ........................................................................................... 217
4.7 Keret s szimblum feldolgozs (FSP) ................................................................. 217
4.7.1 FSP mkdsi mdjai ............................................................................................ 217
4.7.2 Keret s szimblum feldolgozsi folyamatok......................................................... 217
4.8 Cluster wakeup ....................................................................................................... 221
4.8.1 breszts tmogatsa CC-vel ................................................................................ 222
4.8.2 Communication startup kommunikci kezdete................................................ 224
4.8.3 Hidegindtst felfggeszt md ............................................................................. 225
4.8.4 Az indtsi folyamat felptsnek mdjai ............................................................. 225
4.9 raszinkronizls .................................................................................................. 227
4.9.1 Az id felptse ..................................................................................................... 227
4.9.2 Szinkronizcis folyamat ....................................................................................... 229
4.9.3 Az ra indtsa....................................................................................................... 231
4.9.4 Az id mrse......................................................................................................... 232
4.9.5 Korrekcis id szmtsa ...................................................................................... 233
4.9.6 ra korrekci ........................................................................................................ 236

4.10 Controller Host Interface (CHI) ...................................................................... 237


4.10.1 CHI szolgltatsok ............................................................................................ 237

5 MOST: MEDIA ORIENTED SYSTEM TRANSPORT ........................................... 241


5.1 Trtnelmi ttekints ............................................................................................. 241
5.1.1 A jrmvek fejldse sorn kialakult megvltozott ignyek .................................. 241
5.2 MOST kommunikcis hlzat trtnelmnek bemutatsa, s kialakulsa .... 245
5.2.1 Trtnelem, s a MOST kooperci ...................................................................... 245
5.3 Az els szabvny: MOST25 ................................................................................... 247
5.3.1 A rendszer alapvet tulajdonsgai, logikai felptse ........................................... 247
5.3.2 Hlzat fizikai felptse ....................................................................................... 251

5.4 A MOST mkdsi elve, az adattovbbts mdja ............................................. 254


5.4.1 Az adattovbbts mdja, a szloptika .................................................................. 254
5.4.2 Az adattvitel mkdsi elve ................................................................................. 256
5.4.3 Az adatcsomagok felptse, svszlessg ............................................................. 258
5.5 A MOST jelene: MOST50 s a MOST150, mint j szabvnyok ....................... 262
5.5.1 MOST50 Fejlesztsek az eldhz kpest, s az ezeket kivlt okok ...................... 262
5.5.2 Az igazi ttrs a fejlesztsben: MOST150 ........................................................... 263
5.6 A MOST tovbbi fejlesztse s a vrhat konkurencik.................................... 267
5.6.1 Az Ethernet alkalmazsa gpjrmvekben, sszehasonlts a MOST-al .............. 267
5.6.2 A konkurencik rvid ttekintse s ismertetse ................................................... 269
5.7 MOST sszefoglals ............................................................................................... 271

6 AZ AUTIPARI ETHERNET FEJLDSI IRNYAI .............................................. 274


6.1 ltalnos Ethernet [43] .......................................................................................... 274
6.2 Broadcom gyrtmnycsaldok. ............................................................................ 274
6.3 OPEN Ethernet ...................................................................................................... 276
6.4 RTPGE [49] ............................................................................................................ 278

7 A HAGYOMNYOS FAST ETHERNET (100 BASE-TX) ...................................... 279


7.1 tviteli kzeg .......................................................................................................... 279
7.2 Adatfolyam irnytsa ........................................................................................... 279
7.3 PHYceiver s a vonal illesztse ............................................................................. 280
7.4 Csatorna-kdols ................................................................................................... 281
7.5 tviteli t hatsa, ekvalizci ............................................................................... 282
7.6 Tpellts az adatvezetkeken (Power Over Ethernet)...................................... 282

8 KOMMUNIKCIS RENDSZEREK SSZEHASONLTSA ................................... 285


8.1 Kommunikcis kvetelmnyek, szempontok [57] ............................................. 285
8.2 sszehasonlt tblzatok ..................................................................................... 287

IRODALOMJEGYZK .................................................................................................. 291


brajegyzk
1.1. bra: Centralizlt szablyozsi rendszer ........................................................................... 22
1.2. bra: Elosztott szablyozsi rendszer ................................................................................ 23
1.3. bra: Az ISO/OSI referencia modell 7 rtege s zenetszerkezetei .................................. 25
1.10. bra Kvantlt (digitlis, de mg nem kdolt) jelsorozat [33] .......................................... 31
1.11. bra Soros s prhuzamos kommunikci [34]............................................................... 32
1.12. bra Szinkron kommunikci.......................................................................................... 33
1.13. bra Aszinkron kommunikci ....................................................................................... 33
1.14. bra Plda izokron nrajelez kdolsra [36] ............................................................... 34
1.15. bra Multiplex kommunikci ........................................................................................ 35
1.16. bra Arbitrci folyamata 3 rsztvevvel [38] ................................................................ 37
1.17. bra A CAT5 Ethernet kbel csillaptsa a frekvencia fggvnyben [53] .................... 38
1.18. bra Lezr ellenllsok CAN buszon [41] .................................................................... 39
1.19. bra Mgnesesen csatolt zavar elleni vdelem mechanizmusa csavart rpr [34] .......... 40
1.20. bra: Az autipari alkalmazsok besorolsa az SAE ajnlsa szerint ............................. 43
1.21. bra: Jelentsebb autipari kommunikcis protokollok sszehasonlt tblzata ........ 44
2.1. bra: A LIN klaszter tervezsi folyamatt szemlltet bra ............................................. 50
2.2. bra: A LIN kommunikcis modellje, rtegei, prhuzamba lltva az OSI modellel ..... 53
2.3. bra: Egy LIN hlzatra kapcsold egysg felptse .................................................... 56
2.4. bra: Klnbsg rzkeltetse kls (VBAT) s bels (VSUP) tpfeszltsg kztt ........... 56
2.5. bra: Recesszv s dominns bitnek vett feszltsgszintek a buszvonalon a fogad, s a
kld csompont szemszgbl ............................................................................................... 57
2.6. bra: Busz idztsvel kapcsolatos paramterek szemlltetse egy Idztsi diagramon
.................................................................................................................................................. 57
2.7. bra: Szinkronizcis mez............................................................................................... 66
2.8. bra: Bit mintavtelezsnek idztse ............................................................................. 67
2.9. bra: Jelklds idztse .................................................................................................... 69
2.10. bra: Jelfogads idztse ................................................................................................ 70
2.11. bra: Az zenet felptse ............................................................................................... 71
2.12. bra: A bjmezk szerkezete ........................................................................................... 71
2.13. bra: A megszaktsi mez felptse ............................................................................. 72
2.14. bra: A szinkronizcis mez struktrja ....................................................................... 72
2.15. bra: A vdett azonost mez felptse. ....................................................................... 73
2.16. bra: Az adat mezt alkot adatbjtok szmozsa .......................................................... 73
2.17. bra: A LIN protokollnl elfordul zenettpusok. ....................................................... 76
2.18. bra: Hrom ltalnos zenet tovbbtsa a LIN klaszteren ........................................... 77
2.19. bra: Plda esemnyvezrelt zenetre ............................................................................. 79
2.20. bra: Plda sporadikus zenetre ...................................................................................... 80
2.21. bra: zenethely.............................................................................................................. 82
2.22. bra: Mester folyamat llapotgpe .................................................................................. 83
2.23. bra: zenet feldolgoz llapotgpe ............................................................................... 85
2.24. bra: Szolga csompont kommunikcis llapotgpe .................................................... 86
2.25. bra: Felbreszt jel fogadsa szolga csompontok esetben ......................................... 87
2.26. bra: Felbreszt jelekbl ll blokk .............................................................................. 87
2.27. bra: Felbreszt jelekbl ll hossz sorozat ................................................................ 88
2.28. bra: Szlltsi rteget alkalmaz ltalnos LIN klaszter felptse ............................... 90
2.29. bra: LIN Szlltsi rtegnl tmogatott PDU-k felptse ............................................. 91
2.30. bra: Szlltsi rteg idztse a kld oldalon ............................................................... 97
2.31. bra: Szlltsi rteg idztse a fogad oldalon ............................................................. 97
2.32. bra: CAN diagnosztikai krzenet tovbbtsa a LIN hlzatra ................................. 98
2.33. bra: LIN diagnosztikai vlaszzenet tovbbtsa a CAN hlzatra .............................. 99
2.34. bra: Diagnosztikai mester krzenet (balra) s szolga vlaszzenet (jobbra)
kzbeiktatsa a norml temez feladatok kz .................................................................... 105
2.35. bra: Norml diagnosztikai kommunikci (Felfggesztses Diagnosztikai Md
temezse) .............................................................................................................................. 107
2.36. bra: Diagnosztikai szolga vlaszzeneteket temez tblzat .................................... 108
2.37. bra: Csak Diagnosztika Md felhasznli esetei ......................................................... 108
2.38. bra: Mester csompont tvitelkezelje ........................................................................ 111
2.39. bra: Szolga csompont tvitelkezelje ........................................................................ 114
2.40. bra: Diagnosztikai kommunikci esetn (a teszter egysg fell a LIN hlzat fel egy
gerincbusz segtsgvel) az idztsi sor diagramja ............................................................... 117
3.1. bra: A CAN eltt alkalmazott rendszerstruktra ........................................................... 120
3.2. bra: CAN alkalmazsval elll rendszerfelpts ...................................................... 121
3.3. bra: A CAN protokoll felptse a CAN Specifikci 2.0 alapjn ................................ 128
3.4. bra: A CAN protokoll felptse a CAN Specifikci 1.2 alapjn ................................ 129
3.5. bra: Logikai szintek huzalozott-S szerkezet megvalstsa ...................................... 130
3.6. bra: CAN csompont architektrk ............................................................................... 131
3.7. bra: Optikai csatolval megvalstott sszekttets ...................................................... 132
3.8. bra: BasicCAN vezrl .................................................................................................. 133
3.9. bra: Az zenetek szrse ............................................................................................... 134
3.10. bra: FullCAN vezrl .................................................................................................. 135
3.11. bra: FullCAN vezrl fogad pufferrel kiegsztve .................................................... 136
3.12. bra: DS102 szerinti csatlakozt-hozzrendels ......................................................... 137
3.13. bra: Az arbitrci folyamata ........................................................................................ 138
3.14. bra: Bitszint meghatrozsa ......................................................................................... 139
3.15. bra: Bitreprezentcis technikk ................................................................................. 140
3.16. bra: A CAN bitbeszrsi mdszere ............................................................................. 141
3.17. bra: CAN bit struktrja .............................................................................................. 142
3.18. bra: Terjedsi-id ksleltets kt csompont kztt ................................................... 144
3.19. bra: Bitsebessg s a buszhossz viszonya ................................................................... 146
3.20. bra: Mintavtelezsi id helyes megvlasztsnak fontossga ................................... 147
3.21. bra: jraszinkronizls, ha szinkronhiba < 0, s | szinkronhiba | < 1. jraszinkronizlsi
szlessg ................................................................................................................................. 149
3.22. bra: jraszinkronizls, ha szinkronhiba < 0, s | szinkronhiba| > 1. jraszinkronizlsi
szlessg ................................................................................................................................. 149
3.23. bra: jraszinkronizls, ha a szinkronhiba > 0 ........................................................... 150
3.24. bra: Standard formtum Adathordoz zenet, ahol az Alapazonost mez
megegyezik az Azonost mezvel ........................................................................................ 154
3.25. bra: Kiterjesztett formtum Adathordoz zenet ...................................................... 154
3.26. bra: Standard formtum Adatkr zenet.................................................................. 158
3.27. bra: Kiterjesztett formtum Adatkr zenet ............................................................ 158
3.28. bra: Adatkrsi ciklus .................................................................................................. 159
3.29. bra: Aktv hibazenet .................................................................................................. 159
3.30. bra: Passzv hibazenet................................................................................................ 161
3.31. bra: Tlcsorduls zenet .............................................................................................. 161
3.32. bra: zenetek kztti mez hiba aktv csompontoknl ......................................... 163
3.33. bra: zenetek kztti mez hiba passzv csompontoknl ..................................... 163
3.34. bra: CAN csompont hiballapotai ............................................................................. 170
3.35. bra: A legrosszabb esete a bitbeszrsnak................................................................... 175
3.36. bra: Arbitrcis mez .................................................................................................. 175
3.37. bra: CAN zenet fejlcben elfordul prioritsok valsznsge (adott szm beszrt
bittel) az zenetben lv adatbjtok fggvnyben ............................................................... 177
3.38. bra: Kdols s dekdols ........................................................................................... 177
3.39. bra: A beszrt bitek valsznsgi eloszlsfggvnye: 1. ha az 1-es s 0-s bitek arnya
50/50; 2. valdi adatforgalomnl; 3. manipullt valdi CAN forgalom esetn. .................... 178
4.1. bra: Klnbz terletek buszrendszerei ...................................................................... 180
4.2. bra: Pont-pont kzti kapcsolat plda ............................................................................. 183
4.3. bra: Passzv csillag topolgia plda ............................................................................... 183
4.4.bra: Passzv busz topolgia ............................................................................................ 184
4.5. bra: Aktv csillag topolgia plda.................................................................................. 184
4.6. bra: Kaszkd aktv csillag plda .................................................................................... 185
4.7. bra: Hibrid topolgia ..................................................................................................... 186
4.8. bra: Ktcsatorns topolgia ........................................................................................... 186
4.9. bra: A busz driver blokkdiagramja ................................................................................ 187
4.10. bra: A Busz Driver mkdsi mdjai kztti tmenetek ............................................ 188
4.11. bra: Kommunikcis interfsz ..................................................................................... 188
4.12. bra: Kzvetlenl huzalozott jel .................................................................................... 189
4.13. bra: Soros perifrikus jel ............................................................................................. 190
4.14. bra: Tpegysg interfsz .............................................................................................. 190
4.15.bra: A Busz Figyel felptse ..................................................................................... 191
4.16. bra: A CC llapotai ...................................................................................................... 192
4.17. bra: A protokoll irnyts fbb lpsei ........................................................................ 194
4.18. bra: Statikus szegmensben tovbbtott keret bitfolyama a CODEC folyamat kapcsold
esemnyeivel .......................................................................................................................... 198
4.19. bra: Dinamikus szegmensben tovbbtott keret bitfolyama a CODEC folyamat
kapcsold esemnyeivel ....................................................................................................... 198
4.20. bra: Bitfolyam a CODEC folyamat lnyeges esemnyeivel ....................................... 199
4.21. bra: Kt WUS-bl ll WUP a CODEC folyamat lnyeges esemnyeivel................. 200
4.22. bra: Mintavtelezs s a tbbsgi szavazs eljrsok .................................................. 201
4.23. bra: A szinkronizci folyamata egy keretet fogadsa esetn ..................................... 202
4.24. bra: A terjeds ksleltetsnek s a TSS csonktsnak hatsa ...................................... 203
4.25. bra: Az id referenciapont szmtsa s a kapcsold lnyeges esemnyek .............. 204
4.26. bra: A fogadott keret bitfolyama s a CODEC valamint BITSTRB folyamatokkal
kapcsolatos esemnyek bitfolyama ........................................................................................ 205
4.27. bra: A fogadott CAS/MTS jelek bitfolyama a hozzjuk kapcsold CODEC s
BITSTRB folyamatokkal ....................................................................................................... 206
4.28. bra: FlexRay keret formtum....................................................................................... 207
4.29. bra: Azonostt tartalmaz adatrsz dinamikus szegmensben .................................... 210
4.30. bra: Azonostt tartalmaz adatrsz statikus szegmensben ......................................... 211
4.31. bra: Az idztsi hierarchia .......................................................................................... 212
4.32. bra: A kommunikcis ciklus vgrehajtsa ................................................................. 213
4.33. bra: A statikus szegmens felptse ............................................................................. 214
4.34. bra: A dinamikus szegmens kzegelrsi smja ........................................................ 215
4.35. bra: Szimblum ablak .................................................................................................. 216
4.36. bra: Az egyes kommunikcis csatornhoz tartoz FSP folyamat t klnbz llapota
................................................................................................................................................ 218
4.37. bra. Kt csatorna hibamentes felbresztse ................................................................. 222
4.38. bra. A kommunikci CC ltali felptse .................................................................. 225
4.39. bra: Idztsi hierarchik ............................................................................................. 228
4.40. bra: Az MTG, CSP s kzeghozzfrs kapcsolata .................................................... 229
4.41. bra: A controller host interface .................................................................................... 237
5.1. bra: E23-as els 7-es BMW (1977) s az F01 LCI (facelift 2013) utastere .................. 241
5.2. bra: Rear Seat Entertainment CIC High [3] .................................................................. 243
5.3. bra: Balra a 2. genercis E32 7es, jobbra a jelenlegi F01 7-es BMW Hlzati rajza (Lin
nlkl) [3] ............................................................................................................................... 244
5.4. bra: BMW F01 LCI Facelift 2013 ................................................................................. 245
5.5. bra: 2000 ITS World Congress MOST premier [10]..................................................... 247
5.6. bra: MOST az ISO-OSI modell szerint [10].................................................................. 249
5.7. bra: Egy CD lejtsz funkci blokkja [10] .................................................................... 250
5.8. bra: A MOST hierarchia [10] ........................................................................................ 250
5.9. bra: Interakcik a MOST hierarchiban [10] ................................................................ 251
5.10. bra: Balra lthat a gyr struktra ltalnos esetben, jobbra az E65 7-es BMW-nl
[16] ......................................................................................................................................... 252
5.11. bra: A MOST bels adjnak, s vevjnek felptse, jobbra pedig a csatlakozk a
BMW-nl [2] .......................................................................................................................... 252
5.12. bra: Szabvny csatlakoz rszletes felptse [30] ...................................................... 253
5.13. bra: A MOST csatlakozs felptse a vezrlegysgben [16] ................................... 254
5.14. bra: A szloptika felptse, s mkdse [16] ........................................................... 255
5.15. bra: Balra a MOST tvitel smja, jobbra a fny tja a szloptikban [2].................. 255
5.16. bra: A fny vesztesge [16] ......................................................................................... 256
5.17. bra: Az adattvitel mkdsi elve [2] .......................................................................... 257
5.18. bra: A MOST frame felptse [30]............................................................................. 259
5.19. bra: MOST adatmez [30] ........................................................................................... 260
5.20. bra: Check byte s Check frame felptse [30] .......................................................... 261
5.21. bra: MOST hlzat terhelse az vek sorn szzalkosan, jelen esetben az Audinl [26]
................................................................................................................................................ 262
5.22. bra: MOST50 Frame felptse [10]............................................................................ 263
5.23. bra: Az SMSC MOST150 demonstrcis berendezse [27] ....................................... 264
5.24. bra: MOST150 Frame felptse ................................................................................. 265
5.25. bra: A/V csomagolt Isochronous tvitel, vltoz svszlessgnl a maximlis
lefoglalva [10] ........................................................................................................................ 266
5.26. bra: Egy tipikus Ethernet Frame felptse [21] .......................................................... 268
5.27. bra: Ethernet kapcsolat az F01-es BMW-ben [3] ........................................................ 269
5.28. bra: IEEE1394 rzvezetkes s szloptiks hibrid rendszer [12] ................................ 270
5.29. bra: A MOST fejldse s a felhasznlsi lehetsgek ............................................... 272
5.30. bra: MOST alap ADAS vezett tmogat rendszer kamera kpe [5] ....................... 273
6.1. bra 4 portos autipari Ethernet PHYceiver logikai felptse ....................................... 275
6.2. bra OPEN Ethernet tervezett alkalmazsa autban [44] ............................................... 276
6.3. bra OPEN demonstcis sszellts elvi vzlata [47].................................................. 277
6.4. bra Az OPEN az Ethernet fizikai komponenseit hasznlja fel, csak a vezetk vltozik.
[48] ......................................................................................................................................... 277
7.1. bra CAT5 minsg, rnykolatlan csavart rpr (UTP), 4 rpr 1 kzs kpenyben [51]
................................................................................................................................................ 279
7.2. bra Ethernet vonal csatol s lezr elemei [52] ........................................................... 280
7.3. bra BCM89610 System Diagram [51] ........................................................................... 281
7.4. bra MLT-3 kdolt jel az Ethernet ad kimenetn [53] .................................................. 281
7.5. bra tviteli t hatsa miatt eltorzult Ethernet vonali feszltsg [53] ............................ 282
7.6. bra Power Over Ethernet bektsi vzlat 4 pr vezetket hasznlva. [56] .................... 284
Betszavak

CAL [CAN] CAN Alkalmazsi Rteg CAN Application Layer

CAN [CAN] Vezrlterleti Hlzat Controller Area Network

CF [LIN] kvet zenet Consecutive Frame

CiA [CAN] CAN az automatizlsban CAN in Automation

CRC [CAN] Ciklikus Redundancia Ellenrzs Cyclic Redundancy Check

Vivjel rzkelses Tbbszrs hozzfrs Carrier Sense Multiple Access


CSMA
[CAN] tkzs rzkels Collision Detection
/CD+CR Versengses megolds Contention Resolution

dom [LIN] dominns dominant

DTC [LIN] Diagnosztikai hibakd Diagnostic Trouble Code

FF [LIN] kezd zenet First Frame

FIFO [CAN] Elsknt rkez jut elszr tovbb First In First Out

FNom [LIN] nvleges bitsebessg nominal bit rate

GPS [CAN] Globlis Helymeghatroz Rendszer Global Positioning System

ID [LIN] zenet azonost frame identifier

[BEV]
International Standardization
ISO [LIN] Nemzetkzi Szabvnygyi Hivatal
Organization
[CAN]

LDF [LIN] LIN Ler Fjl LIN Description File

LEN [LIN] hossz length

NAD [LIN] csompontcm Node Address

NCF [LIN] Csompontjellemz Fjl Node Capability File

NRZ [CAN] Nullra nem visszatr Non-Return-to-Zero

[LIN]
OSI [CAN]
Nylt Rendszerek sszekapcsolsa Open System Interconnection

P [LIN] parits bitek Parity bits

[BEV]
PCI [LIN]
Protokoll Vezrl Informci Protocol Control Information

[BEV] Protokoll Adategysg Protocol Data Unit


PDU [LIN] csomag adategysg Packet Data Unit

PID [LIN] vdett azonost (mez) Protected Identifier


PLC [CAN] Programozhat Logikai Vezrl Programmable Logic Controllers

rec [LIN] recesszv recessive

RSID [LIN] vlaszzenet szolgltats azonostja Response Service Identifier

RxD [CAN] Fogadott Adat Received Data

[BEV]
SAE [CAN]
Autipari Mrnkk Egyeslete Society of Automotive Engineers

Intelligens Elosztott Rendszerek


SDS [CAN]
(MSZ EN 50325-3:2001)
Smart Distributed System

SF [LIN] nll zenet Single Frame

SID [LIN] szolgltats azonost Service Identifier

Tbase [LIN] idalap time base

Tbit [LIN] bitid basic bit time

TxD [CAN] Kldtt Adat Transmitted Data

UDS [LIN] Egysges Diagnosztikai Szolgltats Unified Diagnostic Service


Fogalmak

adatbjt (mez) Az adatbjtok specilis bjtmezk, melyek az zenetben tallhat adatot


data byte (field) reprezentljk. 1-8 adatbjt lehet egy norml zenetben. Diagnosztikai
zenetek esetn mindig 8 adatbjt van, s az els 2-4 adatbjt tartalmaz
vezrl informcikat (pl.: NAD, PCI).

ltalnos zenet A jelhordoz zenetek egyik fajtja. Minden ltalnos zenet a kijellt
unconditional frame zenethelyn kerl elkldsre a kzztev csompontja ltal. (gy egy
zenethelyhez egy zenet lehet rendelve).

alv llapot A busz jelszintje folyamatos recesszv, s a klaszteren csak a felbreszt


bus sleep mode jel(ek) tovbbtsra kerlhet sor.

alv llapotba lptets Az alv llapotba lptet parancs egy mester krzenet, melyben az els
go to sleep command adatmez csupa nulla, s a fennmarad 7 darab adatbjt 0xFF (csupa egyes).

tvitelkezel Az tvitelkezel feladata a diagnosztikai kommunikci tkzsmentes


transmission handler megvalstsa. A mester csompont annyi mester tvitelkezelt tartalmaz,
ahny LIN klaszterhez kapcsoldik. Minden egyes szolga csompont egy
szolga tvitelkezelvel rendelkezik.

bjtkzi sznetek Egy bjtkzi sznet kpviseli a sznetet kt adatbjt mez kztt, amely az
inter-byte spaces elz adatbjt mez stop bitje utn, de mg a kvetkez adatbjt mez start
bitje eltt van.

bjtmez Egy bjtmez mindig 10 bitbl ll. Az els bitje a start bit, az utols a stop bit,
byte field kztk pedig a 8 bitnyi informci/adat helyezkedik el. Egy zenet
bjtmezkbl pl fel, mely all egyedli kivtel a megszaktsi mez.

bitid Egy bit megjelentshez szksges/hasznlt id. A szolga csompontok


basic bit time (Tbit) zenetenknt megmrik a bitidt a szinkronizcis folyamat (szinkronizcis
mez) sorn.

bitsebessg A LIN busz tereszt kpessgnek kihasznltsgt a (aktulis) bitsebessg


bit rate rja le.

bvtett ellenrzsszeg A bvtett ellenrzsszeg kiszmtsnl az adatbjtok mellett a vdett


enhanced checksum azonost is szerepet kap. E fajta ellenrzsszeg a LIN 2.x verzij szolga
eszkzk kztti kommunikci sorn hasznlatos.

busz interfsz Egy csompont logikja (kld/fogad, UART, stb.), amely fizikailag
bus interface kapcsoldik a buszvezetkhez egy klaszteren bell.

buszmeghajt s fogad (egysg) A buszmeghajt s fogad egysgek kialaktsa az ISO 9141 szabvnyban
bus line drive/receiver megfogalmazottakhoz kttten zajlik. Ezen egysgek vgzik a buszon
megjelen jelek olvasst s a kldend bitek kirst a busz jelszintjnek
megfelel vltoztatsval, vagy ppen szinten tartsval.

csomag adategysg A Szlltsi rteg szintjn kzvettett elemekre a LIN protokoll PDU
Packet Data Unit (PDU) rvidtssel hivatkozik. Egy PDU lehet egy teljes zenet, vagy egy zenet
rsze.
csompont Legkevsb kttten megfogalmazva a csompont egy ECU (Elektronikus
node Vezrl Egysg), habr ezen egysgek tbb hlzathoz is kapcsoldhatnak. A
jegyzetben ezen ECU-k helyett azok buszinterfszrl lesz sz a csompont
sz hasznlatnl, mghozz arrl a buszinterfszrl, amely a LIN klaszterhez
kapcsoldik. Egy fizikai csomponthoz tbb logikai csompont is tartozhat
(mindegyik kln cmmel rendelkezik).

csompontcm Csupn a szolga csompontoknak lehet/van cme. Minden logikai


Node Address (NAD) csompontnak kln cme van, mivel egy szolga csompont tbb logikai
csompontot is tartalmazhat. Emellett vannak mg funkcionlis,
zenetsugrzsi, foglalt s szabad felhasznls NAD rtkek.

Csompontjellemz Fjl A Csompontjellemz Fjl megadja a szolga csompontok szerept a LIN


Node Capability File (NCF) busz szemszgbl. E fjl a klasztertervezs sorn hasznlatos.

Csompontjellemz Nyelv Spec. Szabvnyostott szintaktikt biztost a kzvetlenl a polcrl (off-the-shelf)


Node Capability Language Spec. elrhet szolga csompontok kezelsre, automatizlt klaszterek
ltrehozshoz. E specifikci segt ltrehozni egy-egy a csompontokra
definilhat Csompontjellemz Fjl.

Diagnosztikai hibakd A mester csompont feladata a fogadott hibazenetek s a hozzjuk rendelt


Diagnostic Trouble Code (DTC) Diagnosztikai hibakdok kezelse, melyek az Egysges Diagnosztikai
Szolgltats krseket szolgljk ki.

diagnosztikai zenet A LIN buszon kzvetthet zenetek msodik f csoportja. Azon zenetek,
diagnostic frame melyek zenet azonostja 60-as (mester krzenet), vagy 61-es (slave
vlaszzenet) tartoznak a diagnosztikai zenetek csoportjba. Az adatrszek
tartalmaz(hat)nak vezrl informcikat, gy, mint: csompontcm (NAD),
PCI, LEN, SID, RSID).

dominns LIN esetn a dominns szint a logikai 0.


dominant (dom)

Egysges Diagnosztikai Szolg. Olyan szolgltatsok, melyek elrst biztostanak a LIN buszon lv
Unified Diagnostic Service (UDS) szenzorok s aktutorok jeleihez.

ellenrzsszeg (mez) A LIN esetben ktfle ellenrzsszeg-szmts ltezik: klasszikus s


checksum (field) bvtett. Az ellenrzsszeg mez a vlaszrsz utols bjtmezeje (1+8+1 bit).

ellenrzsszeg hiba Ha a fogadott s szmolt, vagy a kldtt s visszaolvasott ellenrzsszeg


checksum error nem egyezik, akkor az ellenrzsszeg hibja lp fel. Ezt okozhatja, hogy az
zenet az tvitel kzben megsrlt, meghisult az tvitel, vagy rossz
ellenrzsszeg-szmtsi modell kerlt alkalmazsra.

esemnyvezrelt zenet A jelhordoz zenetek egyik fajtja. Az esemnyvezrelt zenetek lehetv


event triggered frame teszik, hogy egy fejlcre tbb szolga csompont is vlaszolhasson. Abban az
esetben ha nem csak egy szolga vlaszol, tkzs trtnik, melyet kveten a
mester csompont meghvja az tkzsmegold temez tblzatot.

fejlc Az zenet els rsze, melyet a mester folyamat kld az temez tblzat
header aktulis sora alapjn.

felbreszts Brmely a LIN klaszterhez kapcsold alv llapotban lv csompont


wake up kezdemnyezheti a felbresztst gy, hogy elkld egy felbreszt jelet. Ezzel a
busz minimum 250s s maximum 5ms ideig dominns llapotba kerl.
feliratkoz Egy jel szempontjbl egy csompont feliratkoz, ha az adott jel vtele esetn
subscriber azt feldolgozza s tovbbtja az alkalmazsa szmra az adott csompont. Egy
jelnek, ltalnos zenetnek null, egy vagy tbb feliratkozja lehet.

fizikai cmzs A Szlltsi rteghez tartoz diagnosztikai zenetek kldsnl a NAD rtke
physical addressing nem egyenl a funkcionlis NAD rtkkel (126 '0x7E').

foglalt zenet A tovbbi fejlesztsek cljbl lefoglaltak kt zenet azonostt a fejlesztk:


reserved frame 62 (0x3E) s 63 (0x3F). Ezen azonostk nem hasznlhatk a LIN hlzaton.

folyamat Kt fajtja van: mester folyamat s szolga folyamat (bvebben e kt


task fogalomnl).

funkcionlis cmzs A Szlltsi rteghez tartoz diagnosztikai zenetek kldsnl a NAD rtke
funtional addressing a funkcionlis NAD (126 '0x7E') rtkre van lltva.

gerincbusz A LIN klaszterhez kzvetlenl nem kapcsol teszter egysg s a csatlakoz


back-bone bus mester csompontot sszekt vezetk/hlzat. Az itt hasznlatos
kommunikcis protokollt nem rszletezi a LIN szabvny, a fejlesztre bzza
a definilst (lehet pldul CAN).

hossz Csak a Szlltsi rteghez tartoz kezd zeneteknl (FF) ltezik ilyen mez.
length (LEN) Tartalmazza az sszetett zenet teljes adatmennyisg vett hossznak (12 bites
szm) az als 8 bitjt.

idalap LIN klaszter temez tblzatainl hasznlatos legkisebb idegysg. rtke


time base (Tbase) alapjn trtnik az temez tblzatok vezrlse. A mester csompontba kerl
implementlsra.

idalap jelz Az idalap ltal jellt idintervallumok idben periodikusan kvetik egymst.
time base tick Ezen peridusok kezdett egy idalap jelz mutatja.

jel Egy jel lehet skalr rtk, vagy bjttmb. Az adatot hordozzk, azaz a jel/jelek
signal alkotjk a jelhordoz zenetek adatmezit.

jelhordoz zenet A LIN buszon kzvetthet zenetek els f csoportja. Azon zenetek, melyek
signal carrying frame zenet azonostja 0-59 (0x00-0x3D) kztt van, a jelhordoz zenetek
csoportjba tartoznak. Fajti: ltalnos-, esemnyvezrelt- s sporadikus
zenetek.

krs Jellhet fejlcet (Protokoll Specifikci), vagy krzenet (Szlltsi rteg s


request Diagnosztikai Specifikci).

krzenet A mester diagnosztikai krzenetet, azaz egy teljes zenetet jell, nem
request frame csupn egy fejlcet. zenetazonostja: 60-as (0x3C).

kezd zenet A kezd zenet (egy fajta PDU) egy sszetett zenet els eleme. Jelzi, hogy
Fisrt Frame (FF) mekkora mennyisg adatot tartalmaz az sszetett zenet, amelyet mr
egyms utni kvet zenetek fognak tartalmazni.

Kiptsi Nyelv Specifikcija Megadja, hogy a Csompontjellemz Fjlok felhasznlsval a LIN


Configuration Language Spec. klasztertervez eszkzzel hogyan rdemes kialaktani a LIN Ler Fjlt.
klasszikus ellenrzsszeg A LIN 1.3 szabvnyban s eltte csupn ezen ellenrzsszeg-szmtsi
classic checksum mdszer volt hasznlhat. Ennl jabb verziknl csak egyes diagnosztikai
zeneteknl hasznlatos. Szmtsa csupn az adatbjtok felhasznlsval
trtnik.

klaszter A LIN hlzatot, a buszvezetket s az sszes csompontot magba foglalja.


cluster (rtelmezse: csoport, hlzat).

kvet zenet Egy kezd zenet (FF) utn kett, vagy tbb kvet zenet (CF) tovbbtsra
consecutive frame (CF) kerl sor. Az utbbiak tartalmazzk az adatot.

kzztev Minden jelnek/ltalnos zenetnek egyetlen egy kzztevje lehet, az a


publisher csompont, amely kibocstja/sugrozza azt.

LIN Ler Fjl E fjl tartalmazza a teljes klaszter lerst s a klaszter megfigyelshez
LIN Description File (LDF) szksges sszes informcit. Emulcik sorn hibakeressnl hasznlatosak,
valamint a klasztergenerls bemeneti eleme. Kiptsi Nyelv Specifikcija
rja le a szablyait.

megszakts A megszaktsi mez els fele. Hossza minimum 13 nominlis bitidnyi,


break rtke pedig vgig dominns (azaz nulla).

megszakts hatrol A megszaktsi mez msodik fele, rtke recesszv, azaz logikai 1. Hossza
break delimiter lehet kevesebb is, mint egy, de ltalnosan kicsit hosszabb mint 1 nvleges
bitid (nem egsz bitid hossz).

megszaktsi mez Szerkezetvel/hosszval szndkosan megsrti a szabvnyos bjtmez


break field kritriumait, gy erlteti ki a megszaktst, mely az j zenet kezdett jelzi. A
mester folyamat kldi.

mester (csompont) A mester csompont tartalmaz egy szolga folyamatot s egy mester
master node folyamatot. Egy LIN klaszteren csak egy mester csompont lehet, de egy
mester csatlakozhat tbb LIN klaszterhez.

mester folyamat A mester folyamat felels a buszon elfordul sszes fejlc kldsrt s az
master task temez tblzatok idztsnek vezrlsrt.

mester kr zenet krzenet


master request frame

nvleges bitsebessg A bitsebessg elmleti rtkt a nvleges (nominlis) bitsebessg jelli.


nominal bit rate (FNom)

nll zenet Olyan PDU-k, melyek hossza belefr nyolc adatbjtba, sszefoglalan az
Single Frame (SF) nll zenet (SF) nevet viselik.

parits bitek A 8 bites vdett azonost utols kt bitje a parits bitek.


parity bits (P)
Protokoll Vezrl Informci A Szlltsi rteg folyamatirnytsi informciit tartalmaz mez. Kt rsze
Protocol Control Information (PCI) van: PCI tpusa (4 bit), Kiegszt informci (4bit). Elhelyezkedse szerint
mindig a diagnosztikai zenetek msodik adatbjtja. Egy diagnosztikai zenet
PCI-je szerint lehet: nll zenet (SF), kezd zenet (FF) vagy kvet zenet
(CF).

recesszv LIN esetn a recesszv szint a logikai 1, amely a busz alaprtelmezett helyzete,
recessive (rec) ha a buszon nincs kommunikci.

sporadikus zenet A jelhordoz zenetek egyik fajtja. A sporadikus zenetek ugyanazt a kijellt
sporadic frame zenethelyet hasznljk. Egy sporadikus zenet csak akkor kerlhet
elkldsre, ha a kzztevje az elz kldshez kpest frisstette a benne
tallhat jelet. Emellett mindig a legnagyobb priorits frisstett sporadikus
zenet elkldse fog megtrtnni.

start bit A bjtmez nyit bitje, rtke mindig dominns, azaz '0' rtket kpvisel.
start bit

stop bit A bjtmez zr bitje, rtke mindig recesszv, azaz '1' rtket kpvisel.
stop bit

szinkronizcis (bjt) mez A fejlc msodik (kzps) mezeje, teht minden zenetnek rsze. E mez
sync (byte) field tartalma mindig 0x55, ami kettes szmrendszerben felrva 01010101. A
szinkronizcis mez lefut leinek rzkelsvel -, melyek a 2, 4, 6 s 8.
bitek vgn (a start bitet is beleszmolva) helyezkednek el - a Tbit bitid
meghatrozshoz 4 mrt rtk ll a szolga csompontok rendelkezsre.

szolga (csompont) Egy csompont, amely csak egy szolga folyamatot tartalmaz.
slave node

szolga folyamat Felels a LIN buszon megjelen fejlcek figyelsrt, s az ezekre adott
slave task reakcirt: vlasz kldse, figyelmen kvl hagysa.

szolga vlaszzenet vlaszzenet


slave response frame

szolgltats A szolgltats sszefoglal neve a krs/vlasz egyttesnek (kombincinak).


service

szolgltats azonost A szolga csompontnak ad informcit a vgrehajtand szolgltatsrl, amely


Service Identifier (SID) lehet diagnosztikai jelleg, vagy csompont konfigurci. Elhelyezkedse
szerint az nll zenetek (SF) harmadik adatbjtja. Mester csompont kldi.

temez tblzat Az temez tblzatok rjk el a LIN buszon a mindenkori forgalmat,


schedule table melybl az aktulis temez tblzat ppen feldolgozs alatt lv sora rja el
az aktulis forgalmat. Az temez tblzatokat a mester folyamat kezeli.

tkzsmegold temez tblzat Az esemnyvezrelt zenetek kldsnl elfordulhat olyan eset, amikor
collision resolving schedule table egyszerre tbb slave csompont kezdi meg a vlaszrsz tovbbtst. Ekkor
tkzs fog bekvetkezni, s a fogadott zenet rtelmezhetetlen. Ezt kveten
a mester folyamat feladata az tkzsmegold temez tblzat meghvsa,
mellyel a slave csompontoktl egyenknt lekrdezi a korbban tkztt
zeneteket.
zemi llapot Ezen llapotban valsulhatnak meg az zenetkldsek s fogadsok. Szolga
Operational state csompontok llapotgpnl definilt llapot.

zenet A LIN buszon megjelen informcitovbbt egysgeket sszefoglalan


frame zeneteknek hvjk. Szerkezetk azonos, azonban a diagnosztikai zeneteknl
az els adatbjtok tartalmaznak egyb vezrl informcit. A LIN Protokoll
Specifikcija ltal kezelt jelhordoz zenetek, a Diagnosztikai-, Szlltsi
rtegben definilt diagnosztikai zenetek, illetve a ksbbi alkalmazsi
lehetsgekre lefoglalt foglalt zenetek alkotjk az zenettpusok hrom
csoportjt.

zenetazonost A vdett azonost mez 2-7 bitjei tartalmazzk a 6 bites zenet azonostt,
frame identifier (ID) mellyel (elmletileg) 26=64 darab klnbz azonostj zenet definilhat.

zenethely Az az idintervallum, amely egy adott tpus zenet tovbbtshoz (a


frame slot legrosszabb esetben) szksges. Megfelel az temez tblzat egy
bejegyzsnek.

zenetszrs A Szlltsi rteghez tartoz zenetek kldsnl a NAD rtke az


broadcast zenetszrsi NAD (126 '0x7E') rtkre van lltva, teht az adott zenetet
minden szolga csompont fogadja, s fel is dolgozza.

vlasz Jellhet vlaszrszt (Protokoll Specifikci), vagy vlaszzenetet (Szlltsi


response rteg s Diagnosztikai Specifikci).

vlaszrsz Egy zenet msodik, azaz a fejlc utni rsze. Szerkezete kttt. Jelhordoz
response zeneteknl a szolga csompont sugrozza.

vlaszrsz sznet Az zenetek fejlce utn s az els adatmez eltt lv bjtkzi sznet, mely
response space mr a vlaszrszhez tartozik.

vlaszzenet A szolga diagnosztikai vlaszzenetet, azaz egy teljes zenetet jell, nem
response frame csupn egy vlaszrszt. zenetazonostja: 61-es (0x3D).

vlaszzenet szolgltats azon. Lerja a diagnosztikai vlaszzenet sszettelt/terjedelmt. Elhelyezkedse


Response Service Identifier (RSID) szerint a harmadik vagy negyedik adatbjtot teszi ki. Mindig a szolga
csompont ltal kerl elkldsre, s pozitv vlasz esetn az rtke:
SID+0x40.

vdett azonost (mez) Egy fejlc harmadik s egyben utols (bjt)mezeje. A vdett azonost mez
Protected Identifier (PID) tatalma: start bit, zenet azonost (6 bit), kt parits bit, stop bit.
1 Bevezets
Az utbbi vekben az ipari kommunikcis- s vezrl hlzatok tern paradigmavlts
figyelhet meg. A kzelmltban a mikrokontrollerek egyre hatkonyabb s egyre olcsbb
vltak, amely lehetv tette, hogy a gyrtk tvoli I/O eszkzkbe, nyomgombokba,
szenzorokba s egyb komponensekbe gyazzk ket, olyan intelligens eszkzket
ltrehozva, amelyek nllan is kpesek a szablyozsi feladatuk elltsra. gy a 70-es, 80-
as vekben dominl kzpontostott szablyoz rendszerek (centralized control systems)
helyett egyre inkbb elterjedhettek az gynevezett elosztott rendszerek
(distributed/decentralized control systems).

1.1 Kzpontostott szablyoz rendszer

A rendszert alkot egysgek hagyomnyos mdon egy kzponti vezrl egysghez


csatlakoznak, amelynek feladata az egsz rendszer koordinlsa (1.1. bra). A kzponti
vezrl (master) ciklikusan lekrdezi a tbbi eszkz (slave) zeneteit. gy br
determinisztikus, hogy egy eszkznek maximum mennyit kell vrnia az tviteli kzeg
hasznlatra, az ilyen modell tbb jelents htrnnyal is br. A klnbz egysgek ms-ms
tpus csatlakozkkal rendelkezhetnek, gy nagy szm vezetkre lehet szksg a kzponthoz
kapcsolsukhoz.

1.1. bra: Centralizlt szablyozsi rendszer


Ez azrt is htrnyos, mert a rendszer komplexitsnak nvekedsvel a huzalok szma s a
csatlakozk mrete is nvekszik. Az ilyen mester-szolga (master-slave) rendszerben keletkez
hibk feldertse bonyolult, s a kzponti egysg (CPU Central Processing Unit) lellsval
a teljes rendszer mkdskptelenn vlik. [14]
j eszkzk hozzadsakor jabb problmk merlhetnek fel, pldul egy specilis
csatlakozval rendelkez egysg integrlsa egy mr ltez rendszerbe kltsg s
munkaignyes feladat.

1.2 Elosztott szablyoz rendszer

Az emltett htrnyos tulajdonsgok lekzdsre egyre szlesebb krben alkalmazzk az


iparban az gynevezett terepbuszokat (fieldbus). Ezek olyan soros adatkommunikcis
rendszerek, amelyek a tereptartomnyban (field domain) trtn adatcserre szolglnak. Ez a
tartomny az automatizlt rendszer eszkz-szintjnek reprezentlsa, amely azoknak az
eszkzknek s berendezseknek, valamint sszekttetseiknek lersbl ll, amelyek trben
kzel vannak, vagy kzvetlenl sszekttetsben llnak az aktulis megfigyelni vagy
irnytani kvnt technolgiai folyamattal.

1.2. bra: Elosztott szablyozsi rendszer

Az ilyen rendszerek alapelve, hogy egy kzs kommunikcis vonalra (buszra) ktik az
sszes egysget. Az ily mdon egy hlzatba kapcsolt egysgek immr nllan
kommuniklnak egymssal. A hlzat hasznlata j szablyozsi koncepcit eredmnyezett,
az gynevezett elosztott szablyozst (1.2. bra).
Elosztott rendszereknl mindssze egy vezetk ktegre van szksg, amely gyakran mr az
energia elltst is biztostja a rszegysgeknek, ezzel is cskkentve a fizikai csatlakozk
szmt. A kevesebb vezetk nemcsak megbzhatbb teszi a rendszert, de egyszerbb s
fknt olcsbb is.
Ezzel a megoldssal lehetv vlik a rendszer folyamatos bvtse, mivel csupn egyfajta,
szabvnyostott csatlakozra van szksg, gy lehetsges akr klnbz gyrtk eszkzeinek
kzs rendszerbe integrlsa is.
Az elzek alapjn kijelenthet, hogy az autiparban az egyes jrmvekbe beptett
elektronikai eszkzk tlnyom tbbsge elosztott rendszert/rendszereket alkotnak, s ket
klnfle csoportokba lehet besorolni gy, hogy az egyes terletek kztt nincs tfeds. E
terletek az albbiak:
Motorvezrl (Engine Control) elektronika
Sebessgvlt elektronikai eszkzei (Transmission Electronics)
Karosszria elektronikai eszkzei (Chassis Electronics)
Aktv biztonsgrt felels elektronikai eszkzk (Active Safety)
Vezett tmogat rendszer elektronikai eszkzei (Driver Assistance)
Knyelmi, vagy komfort elektronika (Passenger Comfort)
Fedlzeti tjkoztat s szrakoztat elektronika (Infotainment Electronics)
A jegyzetnek nem clja e terletek bemutatsa, kifejtse, csupn az a fontos, hogy az egyes
kommunikcis protokollok mely terleteken dominlnak, illetve mely terletek sztnztk
azok ltrehozst, inspirljk fejlesztsket.
Minden elektronikus eszkz, amely rszt kvn venni valamilyen kommunikcis
folyamatban, ismernie kell az adott kommunikcis folyamat alapszablyait s rendelkeznie
kell olyan appartussal, mellyel kpes e szablyoknak eleget tenni. A szablyrendszert s a
szksges fizikai httrt jelent eszkzket a szabvnyostott kommunikcis protokollok
specifikcija/dokumentcija foglalja ssze. Azonban az egyes kommunikcis protokollok
trgyalsa eltt rdemes megismerkedni egy ltalnos, ler modellel, melynek
fogalomrendszert felhasznlva a konkrt esetek trgyalsa knnyebben rthetv vlik.

1.3 Az ISO/OSI referencia modell

Az ISO/OSI referencia modell, amely a szakirodalomban ISO/OSI hivatkozsi modellknt is


szerepel (tovbbiakban: OSI modell), abbl az alapfeltevsbl indul ki, hogy van legalbb kt
olyan alkalmazs, melyek kommuniklni kvnnak egymssal. A 1.3. bra szemllteti a
kommunikci menett az OSI modellben megfogalmazott elvrsok szerint. Az brn A s
B jelli a kt folyamatot/eszkzt, melyeken az ppen fut alkalmazsok egyike
informcicsert kezdemnyez.

A folyamat alkalmazsa Feldolgozand adat B folyamat alkalmazsa

APDU

7
Alkalmazsi rteg Alkalmazsi A
ASDU
Alkalmazsi rteg 7
protokoll PCI
(Application Layer) (Application Layer)

interfsz interfsz
PPDU

6
Megjelentsi rteg Megjelentsi P
PSDU
Megjelentsi rteg 6
protokoll PCI
(Presentation Layer) (Presentation Layer)

Fogadott zenet fejrszeinek eltvoltsa -


interfsz interfsz
SPDU
Kldend zenet sszeillesztse -

5
Viszonyrteg Viszony S
SSDU
Viszonyrteg 5
protokoll PCI
(Session Layer) (Session Layer)

interfsz interfsz
Szegmens

4
Szlltsi rteg Szlltsi T
TSDU
Szlltsi rteg 4
protokoll PCI
(Transport Layer) (Transport Layer)

interfsz interfsz
Csomag

3
Hlzati rteg N
NSDU
Hlzati rteg 3
PCI
(Network Layer) (Network Layer)

interfsz interfsz
Keret

2
Adatkapcsolati rteg DL
DLSDU
Adatkapcsolati rteg 2
PCI
(Data Link Layer) (Data Link Layer)

interfsz interfsz
Bit(ek)

1
Fizikai rteg Tovbbtand zenet (Complete Transmitted Frame)
Fizikai rteg 1
(Physical Layer) (Physical Layer)

Fizikai mdium (busz)

1.3. bra: Az ISO/OSI referencia modell 7 rtege s zenetszerkezetei

Az A s B folyamatok egymstl elszigetelten futnak, mint tvoli alkalmazsok.


Szmukra egyedl az Alkalmazsi rteg (Application Layer) az OSI modell 7. s egyben
legfels rtege lthat, mely rteg szolgltatsait hasznlva kapcsoldnak a kommunikcis
rendszerhez. Az Alkalmazsi rteg pedig az alatta lev Megjelentsi rtegnek (Presentation
Layer) az OSI modell 6. rtegnek a szolgltatsait hasznlja anlkl, hogy magukrl az
alsbb rtegekrl brmilyen informcival is rendelkezne. A szolgltats olyan elemi
mveletek halmaza, melyeket egy alsbb rteg biztost a kzvetlenl felette lv rtegnek.
Az OSI modell teht 7 hierarchikusan felpl, egymstl jl elklnl s rszletesen
definilt rtegbl ll (1.3. bra), melyekbl mindegyik az eggyel alatta lev rteg
szolgltatsait hasznlja, s a rtegek bels folyamatai a tbbi rteg szmra teljesen rejtettek.
sszefoglalan az als hrom rteget (Hlzati, Adatkapcsolati s Fizikai rtegek) tviteli
kzeg szint rtegeknek, mg a fels 4 rteget lloms (Host) szint rtegeknek nevezik. A
legals rteg alatt a kommunikcis kzeg (busz) tallhat. Egy folyamaton bell kt
szomszdos rteg kztt az n. interfsz (interface) teremt kapcsolatot, s definilja az alsbb
rteg ltal a felsbb rtegnek nyjtott szolgltatsok krt.
Ezzel szemben a kommunikci sorn minden egyes rteg kooperl a msik folyamat azonos
rtegvel (a 1.3. bra szaggatott vonallal jellve). E kt azonos szinten lv rteget szoks
trsentitsnak (peer, peer entity) nevezni. A trsentitsok kzti kommunikci rott s ratlan
szablyait a rteg-protokollok (Layer-protocol) tartalmazzk. A valsgban a kt azonos
szinten lv rteg nincs kzvetlen sszekttetsben, csupn a kzvetlenl alattuk s felettk
lv rtegekkel (interfszek segtsgvel). Az informci ramlsa teht virtulis mdon
prhuzamosan trtnik (trsentitsok kztt), a valsgban azonban hierarchikusan.
A legfels rtegtl indulva, minden rtegnl hasonl folyamat, a kldend zenet
sszelltsa zajlik le a legals rtegig. A felette ll rtegtl kapott Protokoll Adategysget
(PDU Protocol Data Unit) a rteg sajt Szolgltats Adategysgeknt (SDU Service Data
Unit) kezeli s hozzfzi a rtegre jellemz Protokoll Vezrl Informcit (PCI Protocol
Control Unit), amely a trsentitsnak ad informcikat az adatrl, majd tovbbtja az alatta
tallhat rtegnek az gy ellltott Protokoll Adategysget (PDU). E folyamatot szemllteti a
1.3. bra kzps rsze, ahol az egyes rtegekre jellemz PDU, SDU s PCI-k eltt a rtegek
angol neveinek kezdbetje tallhat pldul az Alkalmazsi rteg Protokoll Adategysg
esetn APDU (Application Layer Protocol Data Unit). Az als 4 rteg esetn kln
megnevezssel rendelkeznek a PDU-k, gy a Szlltsi rtegnl szegmensknt (segment),
Hlzati rtegnl csomagknt (packet/package), Adatkapcsolati rtegnl keretknt (frame) s
a Fizikai rtegnl bit(ek)knt (bit) szoks rjuk hivatkozni. A fizikai mdium felhasznlsval
tovbbtott zenet a fogad folyamat/csompont legals rteghez rkezve feldolgozsra
kerl, s megfelelsg (hibamentessg) esetn az eggyel felette ll rtegnek tovbbtdik. Az
elzekben lezajlott PCI-hozzcsatols most visszafel zajlik, minden rteg a neki szl PCI-t
eltvoltja, melybl az zenet feldolgozshoz kap informcikat, s a megmaradt zenetrszt
tovbbtja a felette ll rtegnek, egszen az Alkalmazsi rtegig (1.3. bra).
Az OSI modellben megfogalmazott 7 rtegbl a ma hasznlt legtbb alkalmazsban nem mind
tallhat. Egyes esetekben a kommunikcis hlzatok igen kttt szablyokkal
rendelkeznek, gy elgsgesek csupn az alsbb rtegek definilsa. Elfordulnak olyan
esetek is, amikor a felhasznlra van bzva a rtegek funkcionalitsnak definilsa a kvnt
implementcinak megfelelen.
1.3.1 Alkalmazsi rteg

Az Alkalmazsi rteg (Application Layer), mint legfels rteg biztostja a felhasznli


programoknak s folyamatoknak a kommunikcis hlzat elrst. Olyan protokollokat
tartalmaz, melyekre a felhasznlnak gyakran szksge van. A rteg felelssgkre:
Elrs biztostsa a megnevezett tvoli alkalmazsi folyamat fel.
Biztonsgi funkcik vezrlse.
A rendszer s a kommunikcis csatlakozsi pont hitelessgnek s hatskrnek
ellenrzse.
Hibakezels s javts funkcik.

1.3.2 Megjelentsi rteg


A nylt rendszerek sszekapcsolshoz szksges eszkzfggetlensget biztostja a
Megjelentsi rteg (Presentation Layer). Nem az adat a felhasznl szmra lefordtsval
(hiszen ez az Alkalmazsi rteg feladata), hanem az adat szintaktikjval s szemantikjval
foglalkozik. Az egyes folyamatok adatbrzolsa eltrhet, gy az elfordul szabvnyos
adatszerkezetekkel s kdolsokkal is a Megjelentsi rteg foglalkozik. Felelssgkre:
Munkamenet (Session) ltrehozsa s befejezsnek krse.
Adattvitel (Data transfer).
Szintaxis egyeztetse.
Szintaxis talakts: adat-talakts, formzs, specilis talaktsok (pldul:
adattmrts).

1.3.3 Viszonyrteg

A Viszonyrteg (Session Layer) vgzi a klnbz kommunikcis elkpzelsek kztti


fordtst. Azt a pontot kpviseli, ahol a kt klnbz eszkzn fut folyamatok tallkoznak.
Kt tbb-felhasznls rendszert felttelezve az 1-4 rtegek a gpek kztti kommunikci
mikntjt definiljk s hajtjk vgre, mg a 6-os s 7-es rtegek az adatok rendszerezst s a
felhasznl szmra az adatok lefordtst vgzik. A Viszonyrteg bonyoltja le a tranzakci-
vltst kt kommunikl folyamat kztt s kezeli a kommunikciban rsztvev gpeket.
gy a kommunikci elejn a Viszonyrteg lltja be a kapcsolatot, a vgn pedig megsznteti
az informcicsert a felhasznlk, illetve alkalmazsok kztt, melyeket vezrjelek (tokens)
segtsgvel tesz meg. Emellett az adatramls koordinlst is e rteg vgzi.
A Viszonyrteg felelssgkre:
Norml adatcsere.
Gyorstott adatcsere, ahol az azonnali tovbbtst ignyl adatok prioritsa nagyobb,
gy ezen adat(ok) megelzheti(k) a norml adatcsert.
Vezrjel kezels (Token management) olyan rendszereknl, ahol tokenek
hasznlatosak a kommunikcis tvonalakon (klnbz rendszerek/csompontok
egyszerre kezdemnyezett azonos kritikus mveletnek vgrehajtst kszbli ki).
Prbeszd-irnyts (dialog control) half-duplex s full-duplex adattvitel esetn is.
Kivtelek jelentse, hibkrl s ms nem vrt esemnyekrl val rtestsek kldse.
A Megjelentsi rteg szksgletei hatssal vannak a Viszonyrteg szolgltatsait ler
rszhalmazok pontos definilsra.

1.3.4 Szlltsi rteg


A hlzathoz kapcsold felhasznlk kztti kommunikciban az OSI modell fels 3 rtege
(Alkalmazsi-, Megjelentsi-, Viszonyrteg) felels a magas-szint adattovbbtsrt,
msfell az als hrom rtege (Hlzati, Adatkapcsolati s Fizikai rteg) az alacsony-szint
adatramlst szolgltatja.
A Szlltsi rteg (Transportation Layer) feladata a kommunikcis fggvnyek s az
adatramoltatst megvalst fggvnyek kztti illeszts megvalstsa. E rteg felel a
megbzhat adatramlsrt, melyet olyan szolgltats biztostsval r el, amely a hlzattl
s fizikai mdiumtl fggetlen. Ez olyan adat-feloszt mechanizmusokat jelent, melyekkel az
adatstruktrk kisebb, kzvetthet rszekre bonthatk. sszefoglalan a Szlltsi rteg
felelssgkre:
A viszonyrtegtl rkez adatstruktrk feldarabolsa szegmensekk.
Klnfle szlltsi szolgltatstpusok biztostsa (pldul: egyms utni, vagy
sorrendre val tekintet nlkli vagy adatszrsos zenettovbbts).
Hatrrteg biztostsa az tviteli kzeg szint rtegek s az lloms szint rtegek
kztt.

1.3.5 Hlzati rteg

A Hlzati rteg biztostja a cmzst s tkeresst (routing) vgz fggvnyeket, azaz vezrli
az alhlzatot. Az tvonal kijellse igen bonyolult feladat is lehet abban az esetben, ha
nagyszm alhlzaton kell thaladnia a tovbbtand adatnak a cl elrsig.
Ahol klnbz alhlzatok (eltr kzegelrsi s adatkezel tulajdonsgokkal)
kapcsoldnak, ott az sszekttetseket routerek (tirnytk, tvlasztk) valstjk meg,
melyek az OSI modell als 3 rteghez kapcsold szablyok betartsval mkdnek.
Rendszerez s jracmz egysgekknt funkcionlnak, s hatkony mkdst kell
biztostaniuk vltozatos (pldul idben vltoz is lehet: egy csompont kiesik) alhlzati
struktrk esetn is. Az tvonalkeress trtnhet statikus tblzatok szerint vagy dinamikusan,
amikor az aktulis terhelseket figyelembe vve valsul meg a csomagok tovbbtsa.

1.3.6 Adatkapcsolati rteg

Brmilyen fizikai mdiumot is hasznl a hlzat az adatok tovbbtsra, hibk mindig


elfordulnak, mg ha ez igen ritkn is trtnik meg. Az Adatkapcsolati rteg feladata az
elfordul hibk szmnak cskkentse s a felderthetetlen hibk kikszblse, melyet gy
r el, hogy jl felismerhet adategysgekre, keretekre (frames) bontja az adatot.
Egyes rendszereknl a klds eltt tartalmi elemzsen esik t az adat, s ennek eredmnyt
vezrl informcik hozzcsatolsval teszi elrhetv az Adatkapcsolati rteg. Ezen vezrl
informcik lnyege a hibk felismersnek s esetleges javtsuknak elsegtse.
Egy ltalnosan elterjedt hibafelismer mechanizmus a ciklikus redundancia-ellenrzs, vagy
ms nven CRC (Cyclic Redundancy Check) kd, melynek kpzse az adat tartalma alapjn
trtnik. A fogad csompont a keret megfelel rszbl szintn kiszmtja a CRC kdot, s
ha ez egyezik a fogadott kddal, a keret helyes, ellenkez esetben hiba trtnt az
adattovbbts sorn.
Emellett az Adatkapcsolati rteg feladatkre hrom igen fontos terletre tejed ki:
Forgalomszablyozs: Azzal kapcsolatos hibk kiszrse, mely egy gyors ad s egy
lass fogad csompont kzti kommunikci sorn lphet fel. Valamilyen visszajelzs
biztostsa a fogadnak, hogy lelassthassa a nla gyorsabb kld csompontot.
Kapcsolatvezrls: Az informcicsere rdekben lefektetett szablyok kezelsvel
foglalkozik. Ide tartozik az azonosts krdse a kommunikci megkezdse eltti,
amikor is a kld s/vagy fogad csompontok jelzik, hogy kszen llnak az
adatcserre.
Kzeg-hozzfrs vezrls: Kt vagy tbb csompont azonos idben trtn
adattovbbtsbl ered hibk kikszblse a cl gy, hogy a keretek ne srljenek.
Ez trtnhet a helyzet kialakulsnak meggtolsval (pldul: adott idszeletekkel
rendelkeznek a csompontok), vagy az tkzs (data collision) rzkelsvel.
1.3.7 Fizikai rteg

A Fizikai rteg, azaz az OSI modell legals rtegnek feladata az adat kdolsa, talaktsa
olyan fizikai jelekk, amelyek a mdiumon eljuthatnak a cmzett csompontig. A fizikai
mdium lehet kbel, optikai szl vagy akr maga a leveg is, gy a fizikai jelek lehetnek
elektromosak, optikai, rdi-, vagy mikrohullmak. E rteg foglalkozik olyan krdsekkel,
hogy milyennek kell lennie egy jel alakjnak (fel-, s lefut l hossza), hogy mikor 0, s
mikor 1-es a fogadott jel. sszefoglalan nhny pontba szedve a Fizikai rteg feladatkre:
Adatkdols s dekdols: a fizikai mdiumon tovbbthat jelekk alaktja (kdols)
az Adatkapcsolati rtegtl kapott kereteket, a berkez jeleket pedig visszaalaktja
(dekdols) a felsbb rteg szmra rtelmezhet formra.
Vezrljelek generlsa: az Adatkapcsolati rteg megfelel mkdshez
elengedhetetlen az tkz s rossz jelek rzkelse. Hiszen a Fizikai rteg az, amely
szleli/szlelheti az esemnyt, de az Adatkapcsolati rteg lesz az, amelyik
beavatkozsokat eszkzl.
Fizikai kapcsolat definilsa: kapcsolat fajtja, mdja, milyen a csatlakoz kialaktsa,
s milyen a lbkioszts (pin), stb.
Szlessv rendszerek esetn: a svszlessgre vonatkoz kvetelmnyek betartsa,
valamint modulcis s demodulcis feladatok elvgzse elre definilt fggvnyek
segtsgvel. Ide tartoznak a klnfle szr (filter) eljrsok, pldul a zavarok
kiszrsre.
Habr a Fizikai rteg definilja a mdiumhoz val csatlakozsi mdot, magt az aktulis
tviteli kzeget, annak karakterisztikjt nem szabja meg. Ez jelenthet pldul olyan
megoldsokat, ahol nagy tvolsgok thidalsa rdekben ismtl (repeater) llomsok
beiktatsra kerl sor.

1.4 Digitlis adattvitel

Digitlisnak neveznk egy mennyisget, ha csak vges szm, diszkrt rtket vehet fel.
Ezzel szemben az analg mennyisgek brmilyen rtket felvehetnek. A fizikai
mennyisgeket a klasszikus fizika szerint analgnak tekintjk (a kvantumfizika szerint ez nem
teljesen egyrtelm), ezeket a mrt mennyisgeket bizonyos okokbl clszer digitlisan
feldolgozni, ehhez elszr a mrt tartomnyt kvantljuk, vagyis diszkrt tartomnyokra
bontjuk, s egy-egy analg mrsi eredmnyt annak a tartomnynak az als hatrval
reprezentljuk, amibe az analg rtk esik.

1.4. bra Kvantlt (digitlis, de mg nem kdolt) jelsorozat [33]

Digitlis adattvitel esetn az adatokat jellemzen binris formtumban tovbbtjuk az


adattrolsi egysgeknek megfelel csomagokban. Ezek alapjn beszlhetnk 4, 8, 12 vagy
16 bites, 1254 byte-os, esetleg mg nagyobb adatcsoportokrl, melynek bitjeit idben
egyms utn kldve (soros adattvitel) vagy idben egyszerre (prhuzamos adattvitel)
tovbbthatjuk a fogad lloms irnyba.

1.5 Soros/prhuzamos tvitel

A konvencionlis prhuzamos tviteli mdnl az adatokat tbb bites csoportokban egyszerre,


adategysgenknt visszk t (pl. egyszerre 8 bit). Minden bitnek kln vezetk van
fenntartva, ezltal az sszekttetsek (prhuzamos vezetkek) szma nagy.
Ezzel szemben soros adattvitel esetn az informcit hordoz biteket egyenknt, sorban
egyms utn visszk t. (Idosztsos multiplex rendszer.)
A soros tvitel elnye a kis szm vezetk, egyszer csatlakoz, ami a kltsgek s mretek
cskkentsn tl a megbzhatsgra is j hatssal van. Ezt a tendencit figyelhetjk meg a
szmtstechnikban is pl. a nyomtat vezrlsek (prhuzamos port vs. USB) vagy a
merevlemezes adattvitel (IDE vs SATA) terletn is.
1.5. bra Soros s prhuzamos kommunikci [34]

A flvezet technika fejldsvel, a technolgia egyre olcsbb vlsval ma mr a soros s


prhuzamos tviteli mdok vltozatos kombinciit is alkalmazhatjk az ignyeknek
megfelelen, az adatformtumok s jelszintek konverzija a fejlett, clorientlt digitlis s
analg integrlt ramkrk (ASIC alkalmazs-specifikus integrlt ramkr [8], SoC
rendszer egy chipen, DSP digitlis jelfeldolgoz, stb) segtsgvel olcsn
megvalsthatk.
Nagy tviteli sebessg s/vagy nagyon kis tvolsg jeltvitel esetn tovbbra is szmos
alkalmazsi terlete van a prhuzamos tvitelnek, de ezek is majdnem mindig hibrid
rendszerek rszei, a nagy mret adatfolyam szekvencilis (soros) jelleg. Igen nagy mrtk
prhuzamosts figyelhet meg a szmtgpek memriabuszainl, pl. a DDR SDRAM esetn
egyszerre 64 bit informcit tovbbtanak 200 v. 240 plus csatlakoz felleten keresztl
[35].

1.6 Szinkron/aszinkron tvitel [34]

Az ad s vev egysgeknek az adatok megfelel rtelmezshez szinkronban kell


mkdnik. Ez lehetsges kln szinkronjelet tovbbt vezetkkel vagy a vev az egyes
bitek jeltmenetekor is (pl. lefut lnl) szinkronizlhat. Aszinkron kommunikcinl
tbbszrs mintavtelezs trtnik a jelbl, esetleg programozhat idpontokban (pl. CAN).
1.6. bra Szinkron kommunikci

A szinkron kommunikcihoz legalbb kt kommunikcis vonal szksges, egy az rajelhez


s egy az adathoz.

1.7. bra Aszinkron kommunikci

Ha az adat 1-rl 0-ra, vagy 0-rl 1-re vltozik, akkor l keletkezik a kommunikcis sorban.
Minden lnl a fogad oldal rja jralltdik.

1.7 Szinkronizcis mdszerek aszinkron tvitelnl

Mivel szinkron kommunikci esetn kln dediklt szinkron jel (rajel) ll rendelkezsre, az
ad s vev sszeszinkronizlsa nem ignyel fejtrst. Aszinkron kommunikci esetben
viszont kizrlag az adatok tvitelre is szolgl vezetk teremthet lehetsget a
szinkronizcira, mgpedig gy, hogy a leknl (amikor az adat 1-rl 0-ra, vagy 0-rl 1-re
vltozik) trtnik meg a vev rjnak szinkronizcija. Ez azonban tovbbi krdseket is
felvet. Mivel senki nem tudja garantlni elre, hogy az adatfolyam milyen bitsorozatbl pl
fel, gy azt sem lehet elre tudni, mikor kvetkezik be a soron kvetkez jelvlts,
elfordulhat tetszlegesen hossz vltozatlan llapot jelsorozat is. Ez esetben az ad s a
vev rjnak eltrse ltal meghatrozott id mlva kiesne a szinkronbl az adattvitel,
ami persze nem megengedhet. Ennek elkerlsre sokfle stratgia ltezik, pl. Manchester-
kdols, EFM, start-stop rendszer, bitbeszrs, stb melyek garantljk, hogy adott szm
bitet kveten mindenkppen legyen jelvlts. Ha a kdols olyan, hogy az rajel az adatot is
hordoz bitekbl llthat vissza, akkor izokron nrajelez (self-clocking) jelrl beszlnk
(pl. ilyen a Manchester kdols), mg ha a szinkronizcira szolgl jel idben elklnl az
adatbitektl (start-stop rendszer), akkor anizokron nrajelez az tvitel [36].

1.8. bra Plda izokron nrajelez kdolsra [36]

Ha egyszerre tbb ember beszl, akkor nem rtjk, hogy mit mondanak. Ha a beszdjket egy
szably hatrozza meg, akkor mindegyik mondanivaljt meg lehet hallgatni. Mszaki
rtelemben multiplex kommunikcirl beszlnk, ha egy szably szerint visznk vghez sok
prbeszdet. Ms szavakkal ez egy lehetsges prbeszd metdus szmtgpek kztt. A
gpjrmvekben alkalmazott multiplex kommunikci kevs kivteltl eltekintve digitlis
kommunikci tjn zajlik. (rzkelk esetn elfordul az impulzus amplitd modulci is,
ami analg, multiplexlt jeltvitelt tesz lehetv, de ez nem tekinthet korszer
megoldsnak.)
1.9. bra Multiplex kommunikci

A multiplex kommunikci, azaz a megfelelen szablyozott informcicsere megvalstsa


tbbfle mdon is lehetsges, pldul:
Frekvenciaosztsos tbbszrs hozzfrs (FDMA) jellemz pl. a kbeltv
hlzatokon
Idosztsos tbbszrs hozzfrs (TDMA, Time Division Multiple Access)
rendszerben mkdik pl. a vezetkes telefon hlzat (E1, E2 stb) [37]
Vivrzkelses tbbszrs hozzfrs, tkzs detektlssal (CSMA/CD)
rendszerben mkdnek a helyi adathlzatok (pl. Ethernet, CAN)
A multiplex kommunikci lnyege, hogy legyen egy egyrtelm szablyrendszer, ami
definilja, hogy ki mikor jut szhoz a kommunikci sorn, mg akkor is, ha vletlenl
tbben egyszerre kezdenek el beszlni.
Az adatforgalom irnytottsga szerint nhny jellegzetes kommunikcis elrendezs:

1.1. tblzat Hlzati tviteli mdok irny s hozzfrsi pontok szma szerint

Hozzfrsi pontok irnyok adatforgalom jellege plda

pont-pont, 1 szimplex LVSD

pont-pont, 2 fl- vagy teljes-duplex UART


Hozzfrsi pontok irnyok adatforgalom jellege plda

1 ad tbb vev 1 zenetszrs (broadcast) PLC egyes fajti

1 mester tbb szolga 2 lekrdezses SPI

1 gyjt, tbb forrs 1 adatgyjts SENT

vltoz mester 2 osztott kzeghozzfrs CAN

1.8 Busz arbitrci (versengs)

Az autiparban tbb helyen is alkalmazott CSMA/CD + AMP (Carrier Sense Multiple Access
with Collision Detection and Arbitration on Message Priority [12]) jelleg protokollok esetn
a fizikai jelszintek kialaktsa olyan, hogy ha egy idben tbb egysg is megprbl adni a
buszon, a tbb klnbz jelszintbl mindig az un. dominns jelszint rvnyesl. Ez a keret
elejn elhelyezked azonost szempontjbl azt jelenti, hogy a legnagyobb priorits (pl.
CAN esetn, ahol a dominns jelszint a 0, a legalacsonyabb azonostval rendelkez) ECU
jele nem srl, viszont az sszes tbbi igen, amelyek ennek szrevtele utn az adst
felfggesztik. Ez a folyamat a bitenknti arbitrci. Az arbitrciban nyertes eszkz
zavartalanul befejezi a kommunikcit, a vesztes eszkzk pedig jra megprblkoznak az
adssal, miutn az ismt felszabadul.
A kvetkez bra egy ilyen arbitrcis folyamatot szemlltet, ahol a legnagyobb priorits a
node3-as egysg, a legkisebb a node2.
1.10. bra Arbitrci folyamata 3 rsztvevvel [38]

Amikor az azonost 5-s bitjnl a node 3 (s node 1) dominns szintre hzza a vonalat,
node 2 ezt szreveszi, s a kvetkez bitet mr nem prblja meg kiadni. Ugyanez trtnik a
node 1-es adsval ksbb.
Az sszes rsztvev ltal bitenknt elvgzend dntsi folyamathoz szksges, hogy a hlzat
mrete kicsi legyen a bitid alatt a jel ltal megtett thoz kpest, vagyis minden jelvlts
kvzi azonnal jelenjen meg minden pontjn a hlzatnak. Emiatt csak kis s kzepes
adattviteli sebessgek, vagy kis kiterjeds hlzat esetn hasznlhat (pl. CAN, J1708, de
Flexray esetn nem).

1.9 Vezetkes adattvitel jellemzi

1.9.1 Svszlessg

A szksges tviteli sebessg s tviteli tvolsg fggvnyben vltozik a vezetktl


megkvetelt minsg, aminek az elsdleges paramtere a csillapts. Nagy frekvencin a
szkin-hats miatt (a vezetnek nem a teljes keresztmetszetn folyik a nagyfrekvencis ram,
hanem a frekvencia gykvel fordtott arnyban vltozik a behatolsi mlysg) s a
dielektrikum-vesztesg (az tpolarizls energiavesztesggel jr) miatt nvekszik a kbel
adott hosszegysgre vonatkoz csillaptsa (dB/100m).
1.11. bra A CAT5 Ethernet kbel csillaptsa a frekvencia fggvnyben [53]

A villamos szempontbl idelis vezetk nagy fellet, a szigetelse pedig vkuum (v. leveg)
lenne. Ilyen a cstpvonal, de mivel ez drga, nagy, s nem hajlthat, csak igen kevs helyen
alkalmazzk (pl. mikohullm tjtsz llomsokban.) Elmondhat viszont, hogy ltalban a
jobb minsg vezetkek vezettmrje nagyobb, s specilis, kis vesztesg szigetel
anyagot (pl. politetrafluoretiln - PTFE - teflon) hasznlnak. A csillapts megengedhet
rtke fgg a vev kialaktstl, fejlett rendszerek (pl. xDSL, Ethernet) kpesek kompenzlni
viszonylag nagy rtk csillaptst is (DSP s adaptv algoritmusok segtsgvel).

1.9.2 Modulcis sebessg (Baud rate), adattviteli sebessg (bit rate)


Sok helyen a bit/s s baud (=szimblum/msodperc) mennyisgeket egyms alternatviknt
hasznljk, ez elvileg hibs, de sajnos elterjedt gyakorlat, rdemes tudni a klnbsgkrl a
flrertsek elkerlsre. Bit: az informcimennyisg alapegysge binris (ktllapot)
rendszerben. Az adattviteli sebessg (bit/s) s modulcis sebessg (baud) arnyt (csatorna-
) kdolsi hatkonysgnak nevezik. rtke 1-nl nagyobb s kisebb egyarnt lehet, mivel 1
szimblum bizonyos esetekben tbb bitnyi informcit is hordozhat (tbbszint modulci
esetn), viszont nem minden szimblum hordoz tnyleges informcit (stuff bit, keretkzti
sznet, acknowledge, szinkronizcis bitek, hibajavt s ellenrz kd, stb). Nhny
esetben a kdolsi hatkonysg ppen 1, ilyen esetben a bit/s s a baud mennyisgek
megegyeznek. [40]
A vezetken tviend jel svszlessge (B) elvileg legalbb 0,5 Hz/baud [Nyquist, 1928],
viszont a gyakorlatban ltalban 15 Hz/baud rtkre is szksg van a knny
detektlhatsg rdekben.

1.9.3 Lezrs

Ha az tviteli t hosszsga (l) sszemrhet a jel bitid (t_bit) alatt megtett tvolsgval
(nagyjbl l > c*t_bit/(2..10), ahol c a vezetken mrt terjedsi sebessg), akkor mindenkpp
le kell zrni a vezetket a hullmimpedancinak megfelel ellenllssal, legalbb az egyik
vgen, hogy elkerljk a tbbszrs reflexit. Egyszeres reflexi nem minden esetben jelent
problmt, csak akkor, ha a vevt jelentsen ksbb ri el a reflektlt jel, mint az eredeti.
Ezrt pl. egyirny, pont-pont sszekttets esetn elg az egyik vgzdst lezrni, ezt
hasznljk ki az LVDS esetn, gy feleakkora rammal meghajthat a vezetkpr.

1.12. bra Lezr ellenllsok CAN buszon [41]

1.9.4 Zavarvdelem

A jeltviv vezetkek ki vannak tve kls zavar elektromos s mgneses tereknek, igen
gyakran ms vezetkekkel egytt futnak, vagy teljes hosszsgban, vagy csak rvid
tvolsgon. A tbbi vezetkben foly ram vagy a rajtuk mrhet feszltsg vltozsa
induktv vagy kapacitv csatolson keresztl megvltoztatja a hasznos jelet, zavarjelet ad
hozz. Ez a zavarjel lehet kzs mdus, amikor a kt vezetben azonos irny/polarits a
zavarjel, vagy differencil mdus, amikor ellenttesek az irnyok (ahogy a hasznos jel
esetben is). Szimmetrikus bemenet vev a kzs mdus jelre nem, vagy csak igen kis
mrtkben reagl, gy nehezen okoz zavart az tvitelben, a differencil mdus zavars
viszont ilyen mdon nem klnbztethet meg a hasznos jeltl. Aszimmetrikus (1 vezetkes)
bemenet esetn a vev nem tud klnbsget tenni a mdusok kztt, gy mind a kzs
mdus, mind a differencil mdus zavarsra egyarnt rzkeny.
A differencil mdus zavars ellen a kbel szimmetrikus kialaktsval hatkonyan lehet
kzdeni.

1.13. bra Mgnesesen csatolt zavar elleni vdelem mechanizmusa csavart rpr [34]

A zavarjel cskkentsnek msik mdja az rnykols. Az rnykols mkdsi


mechanizmusa sszetett. A kls, sszefgg vezet megakadlyozza, hogy a belsejbe
behatoljon a kls elektromos tr, valamint a behatol mgneses tr vltozsait is lasstja. Ez
a differencil md zavarjelek ellen nyjt vdelmet, mivel azokat a kt vezet r kz
behatol elektromos vagy mgneses tr vltozsa hozza ltre. A kzs mdus zavarst csak
annyiban cskkenti az rnykols, hogy az elektromos tr ervonalait kis ellenllssal
levezeti, rvidre zrja a referenciapont fel, amihez csatlakoztatva van (ebbl kvetkezen
az rnykolst be kell ktni a GND-re, klnben nem vd a kzs mdus, kapacitv eredet
zavarjelektl). A kzs mdus, induktv zavarjelek bejutsa ellen akkor nyjt vdelmet az
rnykols, ha mindkt vge referenciapontra van ktve (ez lehet kt kszlk egymstl
galvanikusan fggetlen referenciapontja is).
A passzv elektromos hlzatok majdnem mindig reciprokok [42], ebbl kvetkezen az
elbbiekben lert zavarjel bejuts illetve cskkentsi elvek az rtelemszer vltoztatsokkal
igazak a msik irnyban is, vagyis a hasznos jelnk krnyezetbe kijutsra vonatkozan.
1.10 Alkalmazsi terletek

Az autipari kommunikcis hlzatok alkalmazsi terletei a hlzat kiterjedse alapjn


hrom fbb csoportra bonthatk: kszlken (ECU) belli, kszlkek kztti, de jrmvn
belli, s klvilghoz val kapcsoldds. Ez a hrom terlet tipikusan ms-ms
kvetelmnyeket tmaszt a hlzat megbzhatsga, adatbiztonsga s ra irnt.
A kszlken (ECU) belli sszekttets esetn az tviteli csatorna meglehetsen
biztonsgos, sem kls zavars, sem lehallgats veszlye nem merl fel jelents mrtkben,
az adattviteli tvolsg is limitlt, ezrt meglehetsen olcs eszkzkkel megvalsthat.
Kszlkek (ECU) kztti sszekttets a gpjrmvekben ma elssorban vezetkes tviteli
kzegben valsul meg. A lehallgats eslyt, habr ltezik, lnyegtelennek tekintik, viszont a
jrmvn belli klcsns zavars ltez s fontos veszly, rszben a gyjts s egyb
teljestmny-elektronika impulzusai, rszben maguk a kommunikcis hlzatok, rszben a
krnyezet (RF adk, villmok, elektrosztatikus kisls) ltal okozott zavarjelek ellen kell
vdeni a hasznos jelet. A kszlkek kztti tvolsg mr jelentsebb (nhnyszor 10 mter)
s topolgia szempontok is szerepet jtszanak a hlzatok megbzhat kialaktsa sorn, ezrt
ez jelentsen magasabb ron kivitelezhet a kszlken belli kommunikcihoz kpest.
Az aut klvilggal val kapcsolatt majdnem kizrlag vezetk nlkli kapcsolattal oldjk
meg (kivve pl. diagnosztika), ezek a kapcsolatok jellemzen jobban ki vannak tve zavar
hatsoknak, illetve a lehallgats veszlye is gyakran felmerl. Tovbbi kihvst jelent, hogy a
klnbz, elre meg nem hatrozott gyrtk termkeinek egyttmkdst nehz garantlni.
Ezrt igen nagy szerepe van a szabvnyos, minstett kszlkeknek. Ilyen, kvlrl
hozzfrhet rendszerek segtsgvel a jrm zembiztonsgt befolysol informcik
megvltoztatsa nem engedlyezett, strukturlisan kell gy kialaktani, hogy ne legyen
lehetsges kvlrl befolysolni (specilis kivtelektl eltekintve).
A megvalstott funkci szerint csoportostva jellemz a megbzhatsg illetve r kztti
preferencia jellege. Eszerint megklnbztetnk hajtslnc vezrl, knyelmi rendszereket
vezrl, informcis s multimdia rendszereket vezrl, stb. buszrendszereket, melyek
kztt a svszlessg, a biztonsgkritikussg kritriuma s a megvalsts kltsgvonata
tesznek klnbsget.
Az albbi tblzatban a jelen tananyagban bemutatsra kerl autipari kommunikcis
rendszerek kerltek osztlyozsra a fizikai kapcsolat kzege s a hasznlati terlet alapjn:
1.2. tblzat Kommunikcis hlzatok a hasznlati terlet jellege szerint

jrmvn bell, kszlkek


kszlken belli jrmvn kvli kapcsolat
kztt
CAN, J1708, J1850,
Vezetkes Flexray, LIN, MOST, diagnosztika (K vonal, J1850, CAN,
I2C, SPI, LVDS
(wired) Ethernet (OPEN, RTPGE), J1708), RS232
USB, SENT, LVDS, PLC
WiFi Hot Spot-ok, mobiltelefon
Vezetk
hlzat (GSM/GPRS/UMTS),
nlkli - Bluetooth, WiFi, IRDA
mholdas rendszerek (GPS),
(wireless)
kzlekedsi informcik (RDS)

1.11 Az ipari kommunikcis protokollok osztlyozsa

Az autiparban trtn biztonsgi rendszerek valamint knyelmi berendezsek fejlesztsre,


gazdasgosabb zemanyag-felhasznlsra s a krosanyag-kibocsts cskkentsre irnyul
folyamatos kutatsok, fejlesztsek kvetkeztben a jrmvekbe egyre tbb elektronikai
eszkzt ptenek. A vezrleszkzk szmnak nvekedsvel sszhangban egyre n a
kztk trtn informcicsere intenzitsa.
A SAE (Society of Automotive Engineers) ajnlsra az autipari alkalmazsokat a kvetkez
osztlyokba soroltk:
A osztly alkalmazsok: a karosszria-elektronika olyan kevsb intelligens
eszkzeinek kommunikcija, mint pl. kapcsolk, fnyszrk, tkrbellts, ls
pozicionls, elektromos ablakemel, kzponti zr stb. Az tviend zenetek ebben az
esetben ltalban igen rvidek, a ciklusidejk pedig hossz, gy az ilyen informcik
svszlessg-ignye alacsony, ltalban 10Kb/s alatti. A vezetkezs is egyszer: pl.
egy szl a jelnek, egy a fldelsnek, gy a csompontok sszektsnek kltsge
alacsony.
B osztly alkalmazsok: magasabb szint informcik cserjre szolglnak, pl.
informcitvitel a mszerfal, vagy a lgkondicionl vezrlse fel. 40Kb/s-os
tviteli sebessg a fels hatr.
C osztly alkalmazsok: vals idej (real time critical) informcitvitel, 1-10ms-os
ciklusidvel, s 1ms-nl rvidebb ksleltetssel. Az zenetek ltalban 1 vagy nhny
bjtosak. A svszlessg-igny 1Mbit/s. Alkalmazsi terletek lehetnek pldul a
motorvezrls, vltm, vagy menetstabilizl rendszer kommunikcija.

1.14. bra: Az autipari alkalmazsok besorolsa az SAE ajnlsa szerint

D osztly alkalmazsok: ebben az esetben nagyobb mennyisg adat tovbbtsra


van szksg, az adatblokkok mrete elrheti a nhny kbyte-ot is, mint pl. a
hangrendszer, telefon vagy GPS (Global Positioning System) kommunikcija sorn.
Ekkor ltalban csak nhny csompont van sszekttetsben egymssal, s
informcicserre csak viszonylag ritkn van szksg. Az ignyelt svszlessg
1Mbit/s s 10Mbit/s kztt mozog.
Az ISO 1 ennl egyszerbb s gyakorlatiasabb osztlyozsa csupn kt csoportot klnbztet
meg:
Alacsony sebessg kommunikci: 125kb/s alatt.
Nagysebessg kommunikci: 125kb/s felett.
Az elkvetkez fejezetekben a klnbz autipari kommunikcis protokollok kerlnek
bemutatsra, melyek sszehasonltshoz az 1.21. bra j tmpontot ad.

1
International Standardisation Organisation, Nemzetkzi Szabvnygyi Hivatal
1.15. bra: Jelentsebb autipari kommunikcis protokollok sszehasonlt tblzata

A fenti brn szerepl protokollok rvidtsnek magyarzata:


LIN: Local Interconnect Network
CAN: Controller Area Network (Vezrlterleti Hlzat)
FlexRay: Egy sklzhat flexibilis nagy sebessg kommunikcis rendszer. A FlexRay busz
az X-by-wire-lehetsgekhez kifejlesztett nagy sebessg, real-time adattvitelt biztost
rendszer.
MOST: Media-Oriented Systems Transport. A MOST protokoll egy multimdis optikai
hlzat.
Bluetooth: Interfsz specifikci mobiltelefonok, szmtgpek, stb. rvid tv vezetk
nlkli sszekapcsolsra. Kis hattvolsg, rdifrekvencis kommunikcis szabvny,
amely vezetk nlkl teszi lehetv a klnbz elektronikus eszkzk (pl. PDA, nyomtat,
headset, stb.) kzti drtnlkli adatcsert.
2 LIN: Local Interconnect Network
Az 1999-es vben nhny VLITE buszt hasznl autipari vllalat hatsra kerlt sor a LIN
(Local Interconnect Network) els vltozatnak (LIN 1.0) kidolgozsra. A LIN
kommunikcis protokoll szabvnyostsval az akkor vlasztkosan sokrt megoldst
alkalmaz autipari kishlzatoknl a fejleszts, a termk elllts, a javts s a logisztika
erforrsignyei kisebbek lettek. A LIN alkalmazsa a mai napig az autiparban leginkbb a
hierarchikusan felpl elektronikai eszkzk rendszerben alul elhelyezked, kis
csompontszmmal rendelkez elemi (al)hlzatokra terjed ki.

2.1 A LIN protokoll jellemzi s felptse

A szabvnyostsi folyamattal a specifikcik kibvltek, s a legfrissebb (2010


decemberben kiadott) formjban az albbiakat tartalmazza:
tviteli protokoll (Transmission protocol)
tviteli/kommunikcis kzeg
Fejleszti eszkzk kzti interfsz
Interfszek a szoftverfejlesztshez

2.1.1 A LIN protokoll jellemzi


A LIN egy olyan soros kommunikcis protokoll, mely hatkonyan tmogatja az elosztott
autipari alkalmazsok terletn alkalmazott mechatronikai egysgekbl ll csompontokat.
A LIN protokoll rszletes lerst a kvetkez fejezetek tartalmazzk, azonban fbb jellemzi
pontokba szedve az albbiakban olvashat:

2.1.1.1 Egy mester tbb szolga koncepci


Egy LIN hlzaton ms nven klaszteren (cluster) egy mester csompont s egy, vagy
tbb szolga csompont engedlyezett gy, hogy a maximlis csompontszm nem lpheti tl
a 16 darabot. A mester csompont egy mester folyamattal (master task) s egy szolga
folyamattal (slave task), mg a szolga csompontok csupn egy-egy szolga folyamattal (slave
task) rendelkeznek. A mester folyamat ltja el az zenetek idztst (temez tblzatok) s a
fejlcek kldst (zenet els rsze), valamint a vlaszrszek fogadst. A szolga folyamatok
feladata a fejlcek fogadsa, rtelmezse s a szinkronizci elvgzse, aztn a vlaszrszek
kldse (ha a krt jelnek a kzztevje), vagy fogadsa (ha eleme a jelhez tartoz feliratkoz
csompontoknak). Egy mester csompont egyszerre tbb klaszter tagja is lehet, pldul
diagnosztikai teszter egysg kapcsoldhat hozz CAN hlzaton (2.5 fejezet).

2.1.1.2 Alacsony kltsg


Az autiparban hasznlatos kommunikcis protokollok kzl a legalacsonyabb
kiptsi/fenntartsi kltsggel a LIN rendelkezik, melyet a 1.21. bra is szemlltet. A LIN
protokoll e tulajdonsga fknt az egyszer, s gy olcs ramkreinek ksznhet, hiszen
ezen elemek az UART/SCI (Universal Asynchronous Receiver-Transmitter/Serial
Communication Interface) interfsz hardvert valstjk meg; a szoftver pedig egy viszonylag
egyszer llapotgppel lerhat. A LIN hlzat a busz topolgit tmogatja, melynl egyetlen
vezetkre van felfzve az sszes csompont. Ezzel a kbelhossz is kisebb, ms topolgikhoz
kpest. A maximlis kbelhossz 40 mter.

2.1.1.3 nszinkronizcis kpessg


A LIN koncepcijnak egyik sajtossga, hogy a hlzat szolga csompontjai kvarc, vagy
kermia rezontor hasznlata nlkl kpesek nmagukat szinkronizlni a mester rjhoz.
Minden zenet (frame) kt f rszbl: fejlcbl (header) melyet a mester csompont kld
s vlaszrszbl (response) melyet egy a fejlcben definilt szolga csompont kld ll.
A fejlc tbbek kztt tartalmazza a szinkronizcis mezt (sync field), melynek segtsgvel
minden szolga csompont elvgzi sajt maga szinkronizlst (2.2.5.1 fejezet).

2.1.1.4 Flexibilits
A LIN klaszter csompontokkal bvthet, vagy csompontok vehetk ki a hlzatbl,
brmilyen hardveres vagy szoftveres vltoztats nlkl. Az zenetek cmzse
csompontalap, ezrt a bvts/ritkts csak gy tehet meg, hogy az jonnan kapcsold
csompontot a mester csompont azonostja, s hozzrendel egy csompontcmet (NAD
rtket); csompont konfigurcis szolgltatsok (2.4.1.4 fejezet).

2.1.1.5 Determinisztikussg
A LIN tmogatja a hlzat csompontjainak mkdst a hardver s a szoftver EMC
(Electro Magnetic Compatibility) viselkedsnek elre megjsolhatsga szempontjbl. gy
a jelterjedsi viszonyok s a jelek ksleltetse idben elre szmthatk, modellezhetk.
2.1.1.6 Multicast
A LIN hlzathoz kapcsold sszes csompont azonos idben fogadja a buszon
kzvettett adatot. Ha a mester csompont teszi ezt egy fejlc kldsvel, minden szolga
csompont hallgat, s rtelmezi a fogadott adatot. Ezt kveten egyetlen (ez all kivtelt
kpezhetnek az esemnyvezrelt zenetek, 2.3.2.3.2 fejezet), a fejlcben megadott szolga
elkldi a vlaszrszt, mely kzben az sszes tbbi csompont figyel, s olvassk a buszrl az
adatokat. Ha zenetfogads kzben j zenet elejt jelz megszaktsi mezt (mester kldi)
rzkelnek a szolga csompontok, akkor a korbbi zenet fogadsa/kldse
megszaktsra/eldobsra kerl.

2.1.1.7 Megjsolhat viselkeds temez tblzatok alkalmazsval


A LIN hlzat vezetje a mester csompont, mely a vezrlst temez tblzatok
(schedule table) megfelel idztsvel vgezi. A mester kibocst egy fejlcet (header)
amely az zenet els szakasza, az temez tblzatban val aktulis helyzet alapjn, amely
elre definilja az zenet (frame) jellegt, s annak kommunikcis idignyt. Elfordulhat,
hogy egy mester csompontnl tbb temez tblzat is definilsra kerl, s kztk az ppen
fut alkalmazs t tud vltani (2.3.3 fejezet, 81 oldaltl).

2.1.1.8 Szlltsi rteg s diagnosztikai funkcik elrhetsge


Az adatok tovbbtsa zenetek (keretek (frames) 2) segtsgvel trtnik a LIN
hlzaton, melyeknek kt fajtja van 3: jelhordoz s diagnosztikai zenet. A tovbbiakban az
zenet sz nmagban jelhordoz zenetre utal.
A jelhordoz zenetek adatmezejt alkotjk a jelek, melyek lehetnek skalr rtkek, vagy
bjttmbk (ha a jel hossza nagyobb, mint 8 bit). Brmely kt megegyez azonostj
jelhordoz zeneten bell az adatmezk szerkezete azonos.
A diagnosztikai zenetek tovbbtsa kt, elre lefoglalt azonostval trtnhet: a 60-as a
mester diagnosztikai krzenete, mg a 61-es a szolga diagnosztikai vlaszzenete. Az gy
tovbbtott adatok pontos jelentse fgg az adatmezktl s a kommunikl csompontok
llapottl (2.4 s 2.5 fejezetek).

2
A LIN szabvny keretknt hivatkozik magukra az zenetekre, azonban a keret kifejezs az OSI modell
szerint csupn az Adatkapcsoalti rteg szintjn ltezik. Ezrt a tovbbiakban zenetknt hivatkozik r a szveg.
3
Lteznek mg foglalt zenetek, ksbbi felhasznlsra lefoglalva, de ezek hasznlata nem engedlyezett.
2.1.1.9 Kttt zenetszerkezet s adatbjtok
A LIN buszon megjelenthet informciegysgek formtuma kttt, melyet az
zenetszerkezet definil (2.11. bra). Ezen az zenetek adatmezejt 1-8 adatbjt alkothatja,
egyenknt fix, 10 bites hosszal. Az adatbjtok els bitje a start bit, az utolsja pedig a stop
bit. A kztes 8 bit maga az informci. gy ilyen kis egysgekbe van szerkesztve a jelek
(signals), melyek magt az informcit hordozzk.

2.1.1.10 Hlzattervezs tmogatsa


A LIN folyamatkoncepcija (2.1. bra) egy rsmentes lncot r el a fejleszt eszkzknl
a tervezs sorn, mellyel meggyorstja a fejlesztst s megbzhatbb teszi a kialaktand LIN
klasztert (cluster).
A Csompontjellemz Nyelv Specifikcija (Node Capability Language Specification) mely
e jegyzetnek nem rsze szabvnyostott szintaktikt biztost a kzvetlenl a polcrl (off-
the-shelf) elrhet szolga csompontok kezelsre, automatizlt klaszterek ltrehozshoz. E
specifikcival a csompontokra definilhat egy-egy NCF, azaz Csompontjellemz Fjl
(Node Capability File), melyek lerjk a szolga csompontok szerepkreit a LIN busz
szemszgbl. E fjlok kpezik tovbb a LIN klasztertervez eszkz bemenett.
A Kiptsi Nyelv Specifikcija (Configuration Language Specification) mely e jegyzetnek
szintn nem rsze megadja, hogy az NCF-k felhasznlsval a LIN klasztertervez
eszkzzel hogyan rdemes kialaktani az LDF, azaz a LIN Ler Fjlt (LIN Description). E
fjl tartalmazza a teljes klaszter lerst s a klaszter megfigyelshez szksges sszes
informcit. Ez azrt fontos, mert gy tesztelhet a kiptend LIN klaszter gy, hogy egy
vagy tbb csompont fizikailag nincs jelen (nem elrhet, pldul: mg nincs megvsrolva).
Teht az LDF alkalmazsval gy vgezhetk emulcik, hogy pldul: a LIN rendszer
szerepe nincs meghistva zenet inkompatibilitssal, vagy a hlzat nincs veszlyeztetve
tlterhelssel.
2.1. bra: A LIN klaszter tervezsi folyamatt szemlltet bra

A LIN Ler Fjl szerepkre ketts. Hibakeress: az emltett emulcikhoz az ipar a


szabvnyban jl definilt hibakeres (debugging) eszkzket biztost, melyet a buszelemz s
emultor blokk kpvisel (2.1. bra). Klaszter ltrehozsa: az LDF kpezi a bemenett a LIN
klaszter ltrehoznak/genertornak, mely mr automatikusan ltrehozza a LIN fggvnyeket a
kvnt csompontoknl (2.1. bra: hrom szolga s egy mester csomponttal).

2.1.2 A LIN alkalmazsi terletei

Ahogy az 1.2 fejezetben bemutatsra kerlt, a jrmvekben tallhat elektronikai eszkzk


elosztott rendszeren bell, klnfle szinteken tltik be szerepket. A LIN szempontjbl a
Knyelmi/Komfort elektronika a legfontosabb felhasznlsi terlet. Ennek kt f oka, hogy e
szinten lv eszkzknl megengedhet az emberi reakciidvel sszemrhet vlaszid,
mkdsi tartomny, valamint ezen eszkzk meghibsodsa, vagy egy-egy vagy akr az
sszes alhlzat teljes kiesse sem veszlyezteti a jrm menetbiztonsgt, nem
eredmnyezi a jrm mozgskpessgnek megsznst. gy a Knyelmi/Komfort elektronika
terletn alkalmazhat olyan a tbbi kommunikcis protokollhoz kpest alacsony
kltsg hlzat, mint pldul a LIN. Ezen alhlzatokon raml informci mennyisge
szintn nem nagy, st, a terhelsk sem idben lland (pldul az elektromos ablakemel
hasznlata alkalomszer). Ilyen kisebb alhlzatra felfzve egy-egy csoport elektronikus
eszkzt, nagy mennyisg kbelr s csatlakozsi pont sprolhat meg
A LIN jellemzen az albbi funkciknl kerl alkalmazsra:
Elektromos ablakemel
Ajtzr, kzponti zr
Ftsrendszer vezrls
Elektronikusan llthat visszapillant tkr

2.1.3 Szabvnyosts

Az 1999-es megjelense utn a LIN szabvnyon a 2000-es vben ktszer is mdostottak, gy


a LIN 1.2 2000 Novemberben ltott napvilgot. Kt vvel ksbb, 2002-ben a LIN
Konzorcium megjelentette a LIN 1.3 szabvnyt, melyben a vltoztatsok a LIN Fizikai
rtegt cloztk meg a csompontok sszefrhetsge rdekben.
A LIN 2.0 elnevezse a kiemelked vltoztatsokra utal az t megelz, LIN 1.3-hoz kpest,
hiszen a LIN 2.0 szabvnyban teljesen tdolgozsra kerltek egyes problms terletek,
hozzigaztva a specifikcit az akkori elvrsoknak, mellyel a LIN kereskedelmi
forgalomban knnyen hozzfrhetv vlt. A hatst az elz 3 v tapasztalata s a LIN SAE
(Society of Automotive Engineers) J2602 szabvnya vltotta ki. A vltoztatsokkal a
konfigurci s diagnosztika tmogatottsga megntt valamint specifiklsra kerltek a
csompontler fjlok.
A gyakorlati tapasztalatok vezettek a kvetkez lpshez, melyet a 2006-os LIN 2.1 jelentett,
ahol a korbbi verzikkal val kompatibilits rdekben az egyes alkotrszek pontos
funkcionalitsa kerlt letisztzsra, megktseket vezettek be s egyes elemeket kivettek a
specifikcibl.
Az elkvetkez vekben egy hibalista (errata) sszelltsra kerlt sor, amellyel 2010-ben a
LIN elnyerte legfrissebb alakjt, a LIN 2.2 szabvnyt.

2.1.3.1 A legjabb, LIN 2.2 szabvny


A LIN 2.2 szabvnyban megfogalmazott mester csompont kpes kezelni mind a LIN 1.3
szolgkat s a LIN 2.2 szolgkat. A LIN 2.2 mester gy a LIN 1.3 szabvny szerint mkd
szolga csompontoknl bizonyos funkcikat nem vr el:
Ellenrz sszeg (checksum) megnvelse,
jrakonfigurls s diagnosztika,
Automatikus tviteli sebessg (baud rate) felismerse,
Hiba a vlaszrsznl llapot megfigyelse.
A LIN 2.2 szabvny szerint mkd szolga csompont azonban nem kpes a LIN 1.3 szolga
csompont kezelsre (mivel a LIN 2.2-nl a LIN 1.3-hoz kpest megnveltk az
ellenrzsszeg nagysgt).

2.1. tblzat: LIN szabvnyok sszefoglalsa.

Specifikci Megjelens Lers


ideje
LIN 1.0 1999-07-01 A specifikci kezdeti verzija.
LIN 1.1 2000-03-06
LIN 1.2 2000-11-17
LIN 1.3 2002-12-13
LIN 2.0 2003-09-16 F ttekint lps
LIN 2.1 2006-11-24 Funkcionlis tisztzs, konfigurcis mdostsok,
Szlltsi rteg nvelse s diagnosztikai funkcik
bevtele
LIN 2.2 2010-12-31 Frisstve a LIN 2.1 hibajegyzke (errata) alapjn, bit
mintavtelezsnek megktsein laztsok
LIN 2.2A 2010-12-31 A felbreszt jel javtsa (LIN 2.2 2.6.2-es fejezetben)

A LIN 2.2 szabvnyban definilt Fizikai rteg visszamenleg kompatibilis a LIN 1.3 Fizikai
rtegvel, azonban ez fordtva nem felttlenl teljesl, hiszen a LIN 2.2 szabvny szigorbb
kvetelmnyeket tmaszt. Mindazonltal a LIN 2.2 Fizikai rtege mkdkpes egy LIN 1.3
klaszterben (cluster).
A LIN 2.2 mester csompont kompatibilis a LIN 2.0 szolga csompontokkal akkor, ha
bizonyos elavult funkcikkal (pldul: Assign frame Id) fel van ruhzva a LIN 2.2-es
mester csompont. Egy LIN 2.2 szolga csompont mkdkpes egy LIN 2.0 klaszteren bell
egy elzetes konfigurls utn, mivel a LIN 2.0 csompontoknl a 0x7E csompontcm
(NAD Node Address) ms, diagnosztikai funkcival rendelkezik. A LIN 2.2 szabvny
alapjn definilt csompontok teljesen kompatibilisek a LIN 2.1 csompontokkal.

2.1.4 A LIN protokoll felptse


A LIN klasztert alkot eszkzk kztti informcicsert bemutat LIN kommunikcis
modell (2.2. bra) ltrehozsnl az alkotk szem eltt tartottk az ISO/OSI referencia
modellt, gy a LIN esetben is egymsra pl rtegek definilsa trtnt meg. E rtegek
feladatkrei kell rszletessggel kerltek specifiklsra gy, hogy kzttk ne legyenek
tfedsek. gy a kld csompont esetn a legfels rtegtl indulva a kldend informci
becsomagolsa trtnik, mely a LIN buszon fizikai jelek formjban megjelenik, majd a
fogad oldalon ugyanezen rtegeken a legalstl a legfelsig vgig haladva az informci
kinyerse, s a megfelel alkalmazshoz irnytsa zajlik.
A LIN kommunikcis modellje (2.2. bra) ltal definilt rtegek jellegre tbbnyire igen, de
feladatkrei teljesen mrtkben nem egyeznek meg az OSI modell esetben definiltakkal. A LIN
kommunikcis modelljt ngy rteg alkotja

2.1.4.1 Fizikai rteg specifikcija


A Fizikai rteg az egyedli olyan rteg, amely a LIN busszal kzvetlen sszekttetsben van,
gy a specifikcija olyan krdseket vlaszol meg, hogy konkrtan milyen ramkri
elemekbl pljn fel egy csompont annak rdekben, hogy a buszrl rkez jeleket fogadja,
illetve a kldend jeleket meg tudja jelenteni a buszon. Tovbb a busz viselkedsnek
rszletezse is e rteg feladata, hogy azt hogyan rdemes mintavtelezni. Nhny pontban
sszegezve a Fizikai rteg lerja/megvalstja:
A bitek mintavtelezsnek kritriumait, a szinkronizcis folyamatot
A buszmeghajt s fogad egysgek felptst, viselkedst
Jelspecifikcit (mikor szmt egy jel dominnsnak s mikor recesszvnek)
Feszltsgszinteket, s ramrtkeket illetve ramkri elemek paramtereit, valamint
ezek hatrrtkeinek definilst.

2.2. bra: A LIN kommunikcis modellje, rtegei, prhuzamba lltva az OSI modellel
2.1.4.2 Protokoll specifikci (Adatkapcsolati rteg)
Az Adatkapcsolati s Hlzati rteg szintjt egyttesen kpvisel rteg a Protokoll
Specifikci, mely lnyegben a LIN klaszteren kldhet informciegysgeket, az
zeneteket szerkezett s a kldhet zenetek tpust rja le. Emellett e rteg kezeli az
temez tblzatokat, s rszletezi a hlzati menedzsmentet.

2.1.4.3 Szlltsi rteg s Diagnosztikai specifikci


A LIN hlzaton lv eszkzeinek protokoll-implementcijnak mlysge (mely osztlyba
tartoznak) fggvnyben van lehetsg olyan zenetek kldsre, melyek priorits
szempontjbl a norml (jelhordoz) zenetek felett llnak. Ezek a diagnosztikai zenetek.
A diagnosztikai szerep magba foglalja tbbek kztt a csompont konfigurcis, s
csompont identifikcis szolgltatsokat.
A diagnosztikai zenetek (csomagok) szerkezett s fajtit rszletesen lerja a Szlltsi rteg,
pldul hogy hogyan lehet megvalstani olyan zenetek kldst, melyek nem frnek bele a
nyolc adatbjtba (sszetett zenetek).
A Diagnosztikai specifikci az eszkzk diagnosztikai osztlyokba val besorolst
rszletezi, hogy mely elemek implementcija elengedhetetlen a mester vagy a szolga
csompontoknl az egyes diagnosztikai funkcik megvalstshoz. Emellett kitr
Diagnosztikai mdokra, az ezekhez szksges temezsekre s bizonyos kvetelmnyeket is
megfogalmaz.

2.1.4.4 Alkalmazsi Program Interfsz, Konfigurcis s Identifikcis specifikci


A legfels rteg, azaz az API (Alkalmazsi Program Interfsz) clja a felhasznli alkalmazs
ell elrejteni a LIN hlzat konfigurcis rszleteit, mely mellett egysges felletet biztost a
klnfle alkalmazsi programoknak a LIN klaszterek elrsre. Tovbb a szabvny API
specifikcija megadja a szoftverfejleszts menett, a szksges beptend interfszeket
(mintakdokkal kiegsztve C nyelvben).
A fennmarad Konfigurcis s Identifikcis specifikci clja a szoftverek kzti
eltrsekbl add konfliktusok elkerlse, cskkentse, s szintn programozsi tancsokkal
ltja el a klaszter tervezjt.

2.2 A LIN protokoll Fizikai rtege

A magasabb tviteli megbzhatsg rdekben a korbbi LIN szabvnyokhoz kpest a LIN


transzmitter specifikcijn mdostsokat eszkzltek. Mszakilag a LIN Fizikai rteg
megegyezik a LIN 2.0-sal, csupn bizonyos fogalmazsbeli ktrtelmsgek s egyes hibk
kerltek kikszblsre. A lnyegesebbek a kvetkezk:
A szolgtl szolgig (slave-to-slave) kommunikci letisztzsa.
A tpellts referencia feszltsge egyedien megvlaszthat.
Bvts ngy j fejezetrsszel, melyekbl a bjtmezk bitjeinek mintavtelezsi
idztse kiemelten fontos.
A LIN Fizikai rtege miknt ez ltalnos esetre bemutatsra kerlt az OSI modellnl (1.3
fejezet) fggetlen a LIN magasabb rtegeitl. Ezltal a LIN 2.2A szabvnyt hasznl
eszkzk Fizikai rtegei kpesek egyb megktsek nlkl is kzs klaszteren egyttmkdni
a korbbi szabvnyok szerinti Fizikai rteget hasznl csompontokkal.
A tovbbiakban a LIN tereszt kpessgnek jellemzse kerl bemutatsra. Olyan
krdsekkel, hogy egy csompont hogyan szinkronizlja magt a csatornn megjelen
zenetfolyamhoz az 2.2.5 Idztsi kvetelmnyek fejezet foglalkozik. Ezt kvetve az
olvas arra is vlaszt kaphat az utols alfejezetben, hogy hogyan pl fel s hogyan mkdik
a csompontok fogad/kld egysge, s milyen paramterekkel lehet ket jellemezni.

2.2.1 A LIN buszmeghajt s fogad egysgei


A buszmeghajt s fogad egysgek (bus line drive/receiver) kialaktsa az ISO 9141
szabvnyban megfogalmazottakhoz kttten zajlik. A LIN buszon lv sszes csompont
kln-kln egy-egy ellenllson s egy-egy didn keresztl kapcsoldik a (kls) tpellts
pozitv VBAT lbra (2.3. bra). A dida az elektronikus vezrl egysg, ms nven ECU
(Electronic Control Unit) tpelltsnak megsznse esetn fellp jelensgek megelzsre
szolgl. A LIN specifikci minden esetben az ECU kls elektromos csatlakzsi pontjra
vonatkozik, nem pedig az ECU bels feszltsgre.
Kiemelten fontos szmtsba venni a LIN ad/vev ramkrk tervezsekor az ellenttes
polarits didk parazita feszltsgesseit.
2.3. bra: Egy LIN hlzatra kapcsold egysg felptse

2.4. bra: Klnbsg rzkeltetse kls (VBAT) s bels (VSUP) tpfeszltsg kztt

2.2.1.1 Fizikai egysgek tpelltsa


A VBAT jellje a (kls) tpfeszltsget az ECU csatlakozsi felletn. Egyes elektronikus
elemek, melyek az egysgen bell helyezkednek el, a VSUP bels tpfeszltsget rzkelik. Ez
indokolja a vd/szrelemeket s a dinamikus feszltsgvltozsokat (dynamic voltage
change), s befolysolja az implementciban hasznlatos flvezetelemek pontos rtkt.
2.2.1.2 Jelspecifikci (Bitreprezentci)

2.5. bra: Recesszv s dominns bitnek vett feszltsgszintek a buszvonalon a fogad, s a kld
csompont szemszgbl

Egy bit megfelel kldse s fogadsa rdekben a fogad fl mintavtelezsnek


idpontjban elengedhetetlen a jel megfelel feszltsgszinten (dominns vagy recesszv)
tartsa. Ezrt figyelembe kell venni a fldpont eltoldst, a tpfeszltsg feszltsgesst s
a gyakran/rendszeresen elfordul hibkat a terjedsi ksleltetsnl. A 2.6. bra mutatja azon
paramtereket, melyek ily mdon hatssal vannak a LIN busz viselkedsre, valamint a
paramterek hatrrtkeit a 2.2. tblzat tartalmazza.

2.6. bra: Busz idztsvel kapcsolatos paramterek szemlltetse egy Idztsi diagramon
2.2.1.3 Egyenram (DC) paramterek
A Fizikai rteg egyenram elektromos paramtereit, s a lezr-ellenllsok jellemz
rtkeit a 2.2. tblzat s 2.3. tblzat foglalja ssze. Hacsak nincs mskpp megjellve,
minden feszltsgrtk a helyi ECU fldjhez van referlva, s a pozitvan referlt ramok az
ECU-ba befel folynak. Fontos megjegyezni, hogy integrlt ellenlls/dida kapcsolsnl a
buszvonal s az ECU bels tpelltsa (VSUP) kztt nem alakulhatnak ki parazita ram utak.
2.2. tblzat: Egyenram elektromos paramterek a LIN Fizikai rtegnl

jells min. jell. max. m.e. Lers (bvebb magyarzat a tblzat alatt)

VBAT (a) 8 18 V Az ECU mkdsi feszltsgtartomnya.

VSUP (b) 7.0 18 V A (bels) tpellts feszltsgtartomnya


Az a feszltsgtartomny, melyben az eszkz nem
VSUP_NON_OP -0.3 40 V
szenved srlst.
Meghajt egysg ramlimitje dominns szintnl
IBUS_LIM (c) 40 200 mA (buszvezrl bekapcsolva)
VBUS = VBAT_max (d)
Bejv oldali ramszivrgs a fogad egysgnl;
IBUS_PAS_dom -1 mA dominns szint (buszvezrl kikapcsolva)
VBUS = 0V VBAT = 12V
Bejv oldali ramszivrgs a fogad egysgnl;
recesszv szint (buszvezrl kikapcsolva)
IBUS_PAS_rec 20 A
8V < VBUS < 18V 8V < VBAT < 18V
VBUS VBAT
ramerssg fldrl levlasztott ECU esetn.
GNDDevice = VSUP 8V < VBUS < 18V
IBUS_NO_GND -1 1 mA VBAT = 12V
A helyi fld elvesztse nem lehet hatssal a helyi
hlzat kommunikcijra.
ramerssg VBAT levlasztsval.
VSUP_Device = GND 0V < VBUS < 18V
IBUS_NO_BAT 100 A A csompontoknak mg ezen krlmnyek kztt is ki
kell brniuk a rajtuk tfoly ramot, a busznak pedig
mkdkpesnek kell maradnia.

VBUSdom 0.4 VSUP Fogad dominns feszltsgszintje

VBUSrec 0.6 VSUP Fogad recesszv feszltsgszintje

VBUS_CNT 0.475 0.5 0.525 VSUP Kzprtk: VBUS_CNT = (Vth_dom + Vth_rec)/2 (e)

VHYS 0.175 VSUP Hiszterzis: VHYS = Vth_rec Vth_dom


Feszltsgess a soros didkon:
VSerDiode 0.4 0.7 1.0 V
VSerDiode = VANODE VCATHODE (f)
Bels tpfeszltsg feszltsgesse a fogyaszt fel:
VShift_BAT 0 11.5% VBAT
VShift_BAT = VBATTERY VShift_GND VBAT (g)
Bels tpfeszltsg feszltsgesse a fld fel:
VShift_GND 0 11.5% VBAT
VShift_GND = VGND_ECU VGND_BATTERY (g)
Eltrs a bels tpfeszltsg esse a fogyaszt fel s
VShift_Difference (h) 0 8% VBAT a fld fel vett rtke kztt:
VShift_Difference = | VShift_BAT VShift_GND |

A 2.2. tblzat egyes vltozknl felsindexekben jellseket tartalmaz. E mennyisgek s a


velk egy sorban szerepl egyb mennyisgek lersai:
a. VBAT: A tpellts feszltsgrtkt a vezrlegysg (ECU) csatlakoz pontjnl a VBAT
rtke adja meg, mely lehet, hogy eltr az elektromos elemek VSUP bels tpfeszltsgtl.
b. VSUP: A vezrlegysgen bell a buszmeghajt s fogad egysgek szmra a VSUP jelenti a
tpfeszltsget, mely eltrhet a vezrlegysg VBAT kls tpfeszltsgtl.
c. Az IBUS jelenti a csatlakozsi pontba befoly ram erssgt.
d. A kld/fogad egysgnek kpesnek kell lennie legalbb 40mA ramot elnyelni. A
csatlakozsi pontba befoly maximlis ramerssg egyenram felttelek mellett nem
haladhatja meg a 200mA ramerssget, a lehetsges krosodsok elkerlse rdekben.
e. Vth_dom: A fogad oldalon a recesszvrl dominns szintre trtn lvlts kszbrtke.
Vth_rec: A fogad oldalon a recesszvrl dominns szintre trtn lvlts kszbrtke.
f. VANODE: A dida and lbn mrhet feszltsg.
VCATHODE: A dida katd lbn mrhet feszltsg.
g. VBATTERY: A jrm tpelltsnak (akkumultor) kapcsain mrhet feszltsg.
VGND_ECU: A helyi ECU fldpontja s a jrm tpelltsnak a fldpotencilja kztti
feszltsgeltrs.
h. E knyszer csak a D1 s D2 kitltsi tnyezre (duty cycle) vonatkozik.

2.3. tblzat: Lezr/felhz ellenllsok rtkei

jells min. jell. max. m.e. Megjegyzs

Rmaster 900 1000 1100 A sorosan kttt dida nem elhagyhat!

Rslave 20 30 60 k A sorosan kttt dida nem elhagyhat!

2.2.1.4 Vltakozram (AC) paramterek


A Fizikai rteg vltakozram elektromos paramtereit a 2.4. tblzat, az 2.5. tblzat s a
2.6. tblzat tartalmazza, s e paramtereket idztsi diagramon a 2.6. bra szemllteti. A
buszvonal karakterisztikja erteljesen befolysolja a vltakozram karakterisztikkat,
ahogy ez a 2.2.1.2 fejezetben is olvashat. A busz idllandjt (s a busz teljes hosszra
vett kapacitst) (2.2.2 fejezet) krltekinten kell megvlasztani, klns figyelmet
szentelve a jelterjedsnek, hogy mg a legrosszabb esetben kialakul feltteleknl is
mkdkpes maradjon a hlzat. A kvetkez, 2.4. tblzat ismerteti a 20kBit/s tviteli
sebessgen a megfelel mkdshez szksges idztsi paramterek rtkeit.
2.4. tblzat: LIN Fizikai rteg buszvezrl AC paramterek (20kBit/s): Busz terhels felttelei
(CBUS , RBUS): 1nF , 1k ; 6.8nF , 660 ; 10nF , 500

jells min. jell. max. m.e. Lers/Megjegyzs


THRec(max) = 0.744VSUP; THDom(max) = 0.581VSUP;
D1
0.396 [-] VSUP = 7.0V18V; tbit = 50s;
(Duty Cycle 1)
D1 = tbit_rec(min) / 2tbit
THRec(min) = 0.422VSUP; THDom(min) = 0.284VSUP;
D2
0.581 [-] VSUP = 7.6V18V; tbit = 50s;
(Duty Cycle 2)
D2 = tbit_rec(max) / 2tbit

A 2.5. tblzat a 10.4kBit/s tviteli sebessgen val megfelel mkdshez szksges


idztsi paramterek rtkeit tartalmazza (a buszterhels felttelei azonosak).

2.5. tblzat: LIN Fizikai rteg buszvezrl AC paramterek (10.4kBit/s):Busz terhels felttelei
(CBUS , RBUS): 1nF , 1k ; 6.8nF , 660 ; 10nF , 500

jells min. jell. max. m.e. Lers/Megjegyzs


THRec(max) = 0.778VSUP; THDom(max) = 0.616VSUP;
D3
0.417 [-] VSUP = 7.0V18V; tbit = 96s;
(Duty Cycle 3)
D3 = tbit_rec(min) / 2tbit
THRec(min) = 0.389VSUP; THDom(min) = 0.251VSUP;
D4
0.590 [-] VSUP = 7.6V18V; tbit = 96s;
(Duty Cycle 4)
D4 = tbit_rec(max) / 2tbit

Az alkalmazs specifikus implementciknak (ASICs) teljestenik kell a fenti kt tblzat


egyikt, vagy mind a kettejket. Ha egyttesen teljesl a kt tblzat, akkor a megfelel
mdot a busz bitsebessgn alapul vve rdemes kivlasztani.

2.6. tblzat: LIN Fizikai rteg fogad oldali AC paramterek:RxD terhels felttelei: CRxD =
20pF , Rpull-up = 2.4k

jells min. jell. max. m.e. Lers/Megjegyzs


Fogad oldali jelterjeds ksleltets (propagation
trx_pd 6 [-]
delay)
Fogad oldali jelterjeds ksleltets felfut l s lefut
trx_sym -2 2 [-]
l szimmetrija

Az LIN busz elektromgneses kompatibilitsa (EMC) fgg a jel alakjtl, melyet olyan
tnyezk befolysolnak, mint pldul: jelvltozsi sebessg (slew rate), dI/dt, d2V/dt2. A
jelalak megfelel megvlasztsa egyrszrl fontos, hogy a bitsebessg kitolhat legyen
20kBit/s-ig, msfell hogy cskkentse az elektromgneses kisugrzst.
2.2.2 A buszvonal karakterisztikja

A lefut s felfut lek maximlis jelvltozsi sebessge a gyakorlatban le van korltozva a


busz kld/fogad egysgei ltal biztostott aktv jelvltozsi sebessg ltal. A jel felfut
lnek minimlis jelvltozsi sebessgt pedig megadja az RC tag idllandja. A busz
kapacitsnak alacsony rtken tartsa azrt is fontos, hogy a jelalak aszimmetrija kicsi
maradjon. A mester csompont kapacitst rdemes nagyobbra vlasztani, mint a szolga
csompontok kapacitst, azrt, hogy a hlzatban vltoz csompontszm esetre is
biztostva legyen egy tartalk. A teljes buszvonal kapacitsa CBUS az albbiak szerint
szmthat:

C=
BUS CMASTER + n CSLAVE + CLINE
'
LEN BUS (1)

Ahol a LEN (az angol length szbl) jelentse hosszsg. A RC tag idllandja pedig:

= CBUS RBUS (2)

Ahol a buszvonal ellenllsa:

RBUS = RMASTER || RSLAVE1 || RSLAVE 2 || || RSlave _ n || (3)

A fent hasznlatos paramtereket az albbi, 2.7. tblzat rja le s ad rjuk megktseket.

2.7. tblzat: Buszvonal karakterisztika s paramterei

jells min. jell. max. egysg Lers

LENBUS 40 m A buszvonal teljes hossza


A teljes buszvonal kapacitsa, belertve a
CBUS 1 4 10 nF
mester s a szolga csompontok kapacitst is
1 5 s A teljes rendszer idllandja

CMASTER 220 pF A mester csompont kapacitsa

CSLAVE 220 250 pF Egy szolga csompont kapacitsa

CLINE 100 150 pF/m A buszvonal kapacitsa egysgnyi hosszon

A CMASTER s CSLAVE rtkek meghatrozzk a teljes csompont kapacitst az ECU


csatlakozsnl, belertve a buszmeghajt egysgeket s az sszes tbbi LIN buszra
kapcsold komponenst, pldul kapacitsokat vagy vd ramkrket. Egy LIN hlzaton
elhelyezked csompontok szma nem haladhatja meg a 16-ot, mivel ennl magasabb
csompontszm esetn a hlzat impedancija meggtolhatja a hibamentes kommunikcit a
legrosszabb krlmnyekhez tartoz felttelek mellett. Minden egyes jabb csompont
hozzadsval megkzelten 3%-al cskken a hlzat ellenllsa (30k || ~1k).
2.2.3 Nem norml zem mkdsek

2.2.3.1 Mkdsi tartomnyon kvli tpfeszltsg szintnl


Ha a VBAT rtke a 8-18V-os tartomnyon kvl van, akkor elfordulhat, hogy az ECU mg
mindig mkdkpes marad, azonban a kommunikci fennmaradsa mr nem biztostott. Ha
LIN hlzaton az ECU nem szndkozik kldst kezdemnyezni, akkor a buszmeghajt
egysge nem llthatja dominns szintre a buszvonalat. Ha a LIN busz recesszv szinten van,
akkor a LIN fogad egysg kimenetnek biztostania kell a recesszv llapothoz szksges
szintet.

2.2.3.2 Meghibsodsi mdok esetn


A LIN eszkznek a felttelezhet esemnyeknl elll sszes llapotvltozst (pldul:
hmrsklet miatti lells) specifiklni kell, le kell rni az eszkz adatlapjn. Ezekre pldk:

Tpellts vagy a fldpont elvesztse


A tpelltsukat, vagy a fldponttal val sszekttetsket elveszet ECU-k nem
zavarhatjk/akadlyozhatjk a norml kommunikcit a fennmarad hlzatrsz elemei
kztt. A kapcsolat visszanyersnl pedig , ahogy a csompont visszatr a norml zemi
llapotba semmilyen mdon nem avatkozhat bele a LIN busz mkdsbe.

A buszvezetk rvidre zrja a tpelltst a fldponttal


Ha a LIN busz vezetke rvidre zrja a pozitv tpellts csatlakozsi pontjt (< 26.5V) a
fldponttal, akkor a hlzati kommunikci megszakadhat, de semmilyen krosods nem
rheti az ECU-t. A hiba megsznsvel a norml zemi llapotba val visszatrs sorn
semmilyen mdon nem trtnik beavatkozs a LIN busz mkdsbe.

2.2.4 Bitsebessg tolerancia


A LIN busz tereszt kpessgnek kihasznltsgt a (aktulis) bitsebessg (bit rate) rja le. A
bitsebessg tolerancia egy olyan rtket definil, amely megadja a referencia bitsebessgtl
val eltrst, s egyesti magban a kvetkez paramtereket:
Bit id (bit time) mrsi hibja szolga mdban
Bitsebessg belltsbl ered pontatlansgok (egy rendszeresen elfordul
hibalehetsg a bitrta nem kellen finom megadhatsga/felbontsa miatt)
rajel forrsnak stabilitsa a szolga csompontnl (a szinkronizcis (bjt) mez
vgtl, egszen az zenet vgig, azaz az utols mintavtelezett bitig van szerepe)
rajel forrsnak stabilitsa a mester csompontnl (a szinkronizcis (bjt) mez
vgtl az zenet vgig, azaz az utols elkldtt bitig jtszik szerepet)

Csupn bels kalibrcival mkd integrlt (on-chip) rajel-genertorok esetn rhet el a


14%-os frekvencia tolerancia, melynek ksznheten a bitsebessg tolerancia is 14%-os
lesz. A pontossg elengedhetetlen az zenetfolyamban szerepl sznetek rzkelshez
(bvebben: 2.3.2.1 fejezet). A kvetkez zenetnl a finom kalibrcit a szinkronizcis
(bjt) mez biztostja, ezzel garantlva a megfelel fogadst s tovbbtst. Az integrlt (on-
chip) oszcilltornak figyelembe kell vennie a bitsebessg rtkt, tekintettel az zenet teljes
hosszra, szmolva minden olyan hatssal, mely befolysolhatja a bitsebessg rtkt, legyen
az akr hmrsklet-, vagy feszltsgingadozs.
A LIN busz esetben a bitsebessg rtke 1-20kbit/s rtket vehet fel. A bitsebessg elmleti
rtkt a nominlis bitsebessg, vagy ms szval a nvleges bitsebessg (nominal bit rate)
definilja, melyet jelljn FNom.
Elfordulhat olyan eset, amikor nem LIN Fizikai rteghez kapcsoldnak LIN elemek (ISO
11898), ekkor azonban a bitsebessgnek rdemes szablyozhatnak lennie.
2.8. tblzat: A bitsebessg tolerancia klnbz rtkei a nominlis, illetve a mester csompont
bitsebessghez viszonytva

Jells
F/FNom
(mrtkegysg
Lers vagy
nlkli
F/FMaster
mennyisgek)
FTOL_RES_MASTER Mester csompont bitsebessgnek eltrse a nominlis rtktl. < 0.5%
Szinkronizcit nem alkalmaz szolga csompontnl a bitsebessg eltrse
FTOL_RES_SLAVE < 1.5%
a nominlis bitsebessgtl.
Szinkronizcit alkalmaz szolga csompontnl a bitsebessg eltrse a
FTOL_UNSYNC < 14%
nominlis rtktl; a szinkronizcit megelzen.
Szinkronizcit alkalmaz szolga csompontnl a bitsebessg eltrse a
FTOL_SYNC < 2%
mester csomponthoz kpest; a szinkronizci utn.
Kt szolga csompont kzti kommunikci esetn a bitsebessgeik
maximlis eltrse. Az albbi megktsekkel:
FTOL_SL_to_SL |FTOL_RES_SLAVE1 FTOL_RES_SLAVE2| < FTOL_SL_to_SL < 2%
|FTOL_SYNCH1 FTOL_SYNCH2| < FTOL_SL_to_SL
| (FTOL_RES_MASTER + FTOL_SYNCH1 ) FTOL_RES_SLAVE2 | < FTOL_SL_to_SL

2.2.5 Idztsi kvetelmnyek


Az idztsi kvetelmnyek a LIN hlzaton a minden egyes zenet kldsnl lezajl
szinkronizcis folyamatra s a buszon megjelen adatbjtok bitjeinek mintavtelezsre
vonatkoz megktseket foglaljk ssze. Ha kln nincs megjellve, akkor a bitidzts
mindig a mester csompontot hasznlja referenciaknt.

2.2.5.1 Szinkronizcis folyamat


A LIN esetben a szinkronizci folyamata minden zenet elejn megtrtnik, a
szinkronizcis mezn bell. E mez egy bjt hossz (1+8+1 bit), ezrt szoks szinkronizcis
bjtmeznek is nevezni. E mez tartalma mindig 0x55, ami kettes szmrendszerben felrva
01010101. Fontos megjegyezni, hogy a mez start bitjnek rtke recesszv (1), ami
megnyitja, s a mez stop bitjnek rtke pedig dominns (0), ami lezrja a szinkronizcis
mezt, s ez minden egyes bjtmezre igaz (bvebben: 2.3.2.1 fejezet). A szinkronizcis
folyamat a lefut lek rzkelsn alapul, melyek a 2, 4, 6 s 8. bitek vgn (a start bitet is
beleszmolva) helyezkednek el, s egyben lehetsget adnak a Tbit bitid (basic bit time)
egyszer meghatrozshoz 4 mrt rtkkel, ahogy ez a 2.7. bra is mutatja.
2.7. bra: Szinkronizcis mez

2.2.5.2 Mintavtelezsi id
Egy bjtmez (byte field) bitjeinek mintavtelezse az albbi brn (2.8. bra) lthat mdon
valsul meg, s e mdszerhez tartoz paramtereket a 2.9. tblzat tartalmazza.
A bjtmez szinkronizlsa a start bit lefut lre trtnik meg, melynek pontossgt a tBFS
(Byte Field Synchronization) adja meg. A LIN 2.2 szabvny jtsa, hogy e tBFS id
mintavtelezsnek mikntje nincs megktve, csupn az, hogy a trshatron bell
megvalsuljon.
A bjtmez start bitjnek lefut lre trtnt szinkronizci utn magukra az adatbitekre
trtn szinkronizci egy mintavtelezsi ablak segtsgvel valsul meg. Ezen ablak
szlessge a tEBS-tl, a legkorbbi mintavtelezett bittl (Earliest Bit Sample), a tLBS-ig, az
utoljra mintavtelezett bitig (Latest Bit Sample) tart. Az utoljra mintavtelezett bit (tLBS)
fgg a bjtmez szinkronizcis pontossgtl, tBFS-tl, s e fggsget kt idrtk, a tLBS s
tBFS kztt a kvetkez egyenlettel lehet definilni:

t LBS= 10 /16 TBit t BFS (4)


2.8. bra: Bit mintavtelezsnek idztse

Az elkvetkez bitek mintavtelezse ugyanezzel az idkzzel trtnik. Az elz (n 1) bit


EBS rtke s az aktulis (n) bit EBS rtke hatrozza meg a tSR-t, a mintavtelezsi ablak
ismtldsi idejt (Sample window Repetition time):

tSR =t EBSn t LBSn1 =t EBSn t LBSn1 =TBit (5)

2.9. tblzat: A bit mintavtelezs idztshez

jells min. jell. max. m.e. Lers/Felttel


tBFS 1/16 2/16 Tbit Bjtmez detektls pontossgnak rtke
tEBS 7/16 Tbit Legkorbbi bit mintavtelezsi id, tEBS tLBS
tLBS Tbit Utbbi mintavtelezett bit, tLBS tEBS

Olyan eszkzk esetben, melyek tbb mint egy mintt vesznek egy bit lefolysa alatt, a bit
mintavtelek tbbsge sikeresen meghatrozza a biten belli adatot, tovbb ezek az EBS s
az LBS kztt kell, hogy legyenek.
2.3 A LIN Protokoll Specifikcija

E fejezetben foglaltak az OSI modellel prhuzamot vonva az Adatkapcsolati s Hlzati


rteg szintjt kpviselik, azonban a LIN szabvny protokollspecifikci nven hivatkozik r,
mely a buszon (zenetek tovbbtsa) s a csompontokon bell lezajl folyamatok
(llapotvezrls status management) viselkedst foglalja magba. A 2.3 fejezetben lertak
egyetlen egy LIN klaszterre s a hozz kapcsold csompontokra vonatkoznak, hiszen ha
egy (ltalban mester) csompont tbb LIN klaszter kommunikcijban is rszt vesz, akkor
ennek kezelse magasabb szint rtegek (pldul: az alkalmazs) feladatkre.

2.3.1 Jelkezels

A jelek (signal) tovbbtsa mindig az zeneteken 4 bell az adatmez rszben trtnik.

2.3.1.1 Jelfajtk
Egy jel lehet skalr rtk, vagy bjttmb. Egy skalr jel 1-16 bit hosszsg lehet. Ha a
hossza 1 bit, akkor logikai tpus jelrl (Boolean signal) lehet beszlni; ha a hossza 2-16 bit
kztt van, akkor eljel nlkli egszknt (unsigned integer) van kezelve. A bjttmbk 1-8
bjtbl ll sorozatok.
Minden jelnek van pontosan egy, elre meghatrozott kzztevje (publisher), azaz e jelet
mindig ugyanaz a csompont kldi egy klaszteren bell. Egy adott jelet a klaszteren lv
csompontok mindegyike ltja, s kzlk egy sem, egy vagy tbb csompont is feliratkozik
r. E csompontok a jel szempontjbl a feliratkoz (subscriber) csompontok.
Minden jelnek van kezdeti rtke, mely addig rvnyes, amg a kzztev csompont egy j
rtket nem r bele, valamint egy feliratkoz csompont nem fogad egy j, frisstett rtket.
A skalr jelek rsa s olvassa automatikusan vgrehajtott mveletek, gy pldul sosem
fordulhat el, hogy egy alkalmazs rszlegesen frisstett jelet fogadjon. Ez bjttmbkre is
vonatkozik, habr a konzisztencia nem garantlt a jelek kztt.

2.3.1.2 Jelek zenetbe helyezse


A jelek a legkisebb helyirtk bittel (LSB Least Significant Bit) kezddnek, s a
legnagyobb helyirtkvel (MSB Most Significant Bit) vgzdnek. Az zenetbe
helyezs/szerkeszts sorn a bjthatrokon kvl nincs egyb megkts a skalr jelekre.

4
Az angol nyelv LIN szabvnyokban a keret angol megfelelje (frame) hasznlt, azonban a jegyzet
szndkosan hvja zenetnek, mivel a keret fogalom az OSI modellnl mr definilva lett.
Minden egyes bjt egy bjttmbn bell lekpez egy zenet-bjtot, kezdve a legkisebb
szmozs (els) adatbjttal (2.3.2.1.4 fejezet)
Szmos jel illeszthet egy zenetbe egszen addig, amg nem fedik egymst. Megjegyzend,
hogy egy jel zenetbe szervezse s onnan trtn kinyerse nagyobb hatkonysggal
implementlhat szoftver alap csompontoknl, ha a jelek bjtra rendezettek s/vagy nem
keresztezik a bjthatrokat.
Ugyanazt a jelet tbb zenetbe is bele lehet szerkeszteni mindaddig, amg a jelnek a kzztev
csompontja azonos. Ha egy csompont egy olyan jelet fogad, melyet tbb zenet is
tartalmaz, akkor mindig az utolsnak fogadott jel rtkt tekinti rvnyesnek.

2.3.1.3 Jelfogads s jelklds


Fontos definilni minden jelnl a fogads s a klds idpontjt. Ez segti a tervez s tesztel
eszkzk jelidzts analzist, gy minden implementci elre megjsolhat mdon fog
viselkedni.
Az albbi definci nem tartalmaz tnyezket gy, mint bit sebessg tolerancia, jitter, puffer-
msols vgrehajtsi id, stb. Ezeket akkor rdemes figyelembe venni, ha rszletesebb
elemzs elvgzsre van szksg, teht az albbi csupn definci kiindulsi alapknt szolgl
az elemzsekhez.

2.9. bra: Jelklds idztse

Az albbiakban szerepl idalap (time base) mint intervallum s idalap jelz (time base
tick) mint idpont fogalmakat rszletesen a 2.3.3.1 fejezet definilja. Egy jel fogadottnak
s az alkalmazs szmra elrhetnek tekinthet az albbi esetekben:
Mester csompontnl a kvetkez idalap jelznl a maximlis zenethossz utn. A
mester csompont periodikusan frissti a sajt fogadott jelt az idalap kezdetekor
(pldul: folyamat (task) szinten).
Szolga csompontnl akkor, ha a fogadott zenet ellenrzsszege helyes. A szolga
csompont kzvetlenl frissti a fogadott jelet az zenet befejeztvel (pldul:
megszakts szinten).
Mester s szolga csompont esetn ezen idpillanatokat a 2.9. bra szemllteti.
Egy jel elkldttnek/kibocstottnak tekinthet (az utols idpont, amikor az alkalmazs rhat
a jelbe) az albbi esetekben:
Mester csompont esetn, mieltt az zenet adsa elkezddik.
Szolga csompont esetn akkor, amikor az zenet ID fogadsa megtrtnt.

2.10. bra: Jelfogads idztse

2.3.2 zenettvitel

A LIN buszon elfordul/tovbbthat entitsokat zeneteknek nevezik, azaz brmely adat,


amely kibocstsra kerl, ilyen zenet formt kell, hogy magra ltsn. Ha a szvegben
elfordul zenetet alkot elemek megnevezsnl a mez sz szerepel, akkor abban a start
s stop bitek is szerepelnek, ha ilyen rsze van az zenet elemnek. Pldul: a vdett
azonost mez 10 bit hossz, 8 bit informci s a start illetve stop bit. Azonban a vdett
azonost mr csupn a 8 bitnyi informcit jelli (ltalnos felpts: 2.12. bra).

2.3.2.1 Az zenetszerkezet
A LIN hlzaton tovbbthat sszes zenet szerkezete azonos, melyet a 2.11. bra
szemlltet. Az zenetek egy megszaktsi mezvel (break field) kezddnek, majd ezt kveti 4-
11 darab egybjtos mez, melyeket bjtmezknek (byte field) hvnak, mivel szerkezetileg e
mezk ugyangy plnek fel (2.12. bra).
Egy zenet kldsi ideje az egyes bjtok, a vlaszrsz sznet (response space) s a bjtkzi
sznetek (inter-byte spaces) kldsi idejnek sszege. Az zenetek szerkezetileg a
csompontok szemszgbl kt rszre bonthatk: fejlc (header) vagy krs, s vlasz
(response) vagy vlaszrsz. A fejlcet mindig a mester csompont kldi, mellyel a krst
kzli a szolga csompontok fel, majd a vlaszrszt (ha van) a szolga csompontok egyike
kldi el. Hogy melyik csompont, azt az zenet cmzse dnti el.
A fejlc hrom mezbl, a megszaktsi mezbl, szinkronizcis mezbl (sync field) s a
vdett azonost mezbl (PID Protected Identifier field) ll. A fejlc a megszaktsi mez
lefut lvel kezddik, s egszen a vdett azonost stop bitjnek felfut lig tart.
A vlaszrsz szintn hrom rszbl, a vlaszrsz sznetbl, az adatmezbl s az
ellenrzsszeg mezbl (checksum field) ll. A vlaszrsz a vdett azonost mez stop bitje
utn kezddik, s egszen az ellenrzsszeg mez stop bitjnek felfut lig tart.
Egy bjtkzi sznet kpviseli a sznetet kt adatbjt mez kztt, amely az elz adatbjt
mez stop bitje utn, de mg a kvetkez adatbjt mez start bitje eltt van. A vlaszrsz
sznet az a mez, amely a vdett azonost mez utn, de mg az els adatbjt mez eltt van.
Mind a bjkzi szneteknek, mind a vlaszrsz sznetnek nem-negatvnak kell lennik (gy
nem lehet tfeds az egyes mezk kztt).

2.11. bra: Az zenet felptse

Minden egyes bjtmez (kivtel a megszaktsi mez) 10 bitbl pl fel, s szerkezett a 2.12.
bra mutatja. A start bit az els bit, amely mindig dominns, azaz 0 rtket reprezentl. Ezt
kveti a nyolc bitnyi informci, melynek 0. bitje az adat LSB-je, s a 7. bitje az adat
MSB-je. Vgl egy zr bit, a stop bit kvetkezik, amely mindig recesszv, azaz rtke 1.

2.12. bra: A bjmezk szerkezete


2.3.2.1.1 Megszaktsi mez
A LIN hlzathoz kapcsold csompontok szmra a megszaktsi mez jelzi egy j zenet
kezdett. Ez az egyetlen olyan mez, melynek struktrja nem teljesti a fenti brn (2.12.
bra) lthat bjtmez felptsi kvetelmnyeit. A megszaktsi mezt mindig a mester
csompont mester folyamata (master task) generlja, s legalbb 13 egymst kvet nvleges
bitidnyi dominns rtkeket tartalmaz (ez maga a megszakts), melyet a megszakts
hatrol (break delimiter) kvet, s egyben lezrja a megszaktsi mezt. A megszakts
hatrol legalbb 1 nvleges bitid hossz 5.
A csompontok a megszaktsi mez rzkelsre egy kszbrtket hasznlnak, amely 11
dominns szolga bitnyi bitidt jelent. Abban az esetben, ha egy szolga csompont bitsebessg
tolerancia rtke FTOL_RES_SLAVE (2.8. tblzat) rtknl jobb (pldul: kristly vagy kermia
oszcilltort alkalmaz), akkor a megszaktsi mez rzkelsre hasznlt kszbrtk lehet 9.5
dominns nominlis bitnek megfelel bitid. A szolga csompontok szmra nem
kvetelmny a megszakts hatrol hossznak ellenrzse.

2.13. bra: A megszaktsi mez felptse

2.3.2.1.2 Szinkronizcis (bjt) mez


A szinkronizcis mez mindig a (hexadecimlis) 0x55 rtket tartalmazza, amely 0 s 1
rtkek vltakoz sorozata, ahogy ez a kvetkez brn (2.14. bra) is lthat.

2.14. bra: A szinkronizcis mez struktrja

A szolga folyamat (slave task) feladata a megszaktsi-, s a szinkronizcis mez rzkelse.


Egy elvrt, de nem minden esetben rgztett kvetelmny, a megszaktsi-, s a
szinkronizcis mez rzkelse abban az esetben is, ha ez rszlegesen tlapoldik
(superimposed) egy adatbjttal. Ez akkor trtnhet meg, amikor adattvitel feldolgozsa
kzben a hlzaton megjelenik egy megszaktsi/szinkronizcis mez. Ekkor mindig az
aktulis folyamat megszaktsa a szolga folyamat feladata s az j zenet fogadsnak s
feldolgozsnak elksztse, lebonyoltsa.
5
Az UART csak teljes biteket engedlyez, azonban elfordulhat, hogy a megszakts hatrol hossza rvidebb
egy nvleges bitidnl. gy a szabvny ajnlsa szerint rdemes a megszakts hatrolt egy nvleges bitidnl
hosszabbra vlasztani.
2.3.2.1.3 Vdett azonost mez
A vdett azonost mez kt kisebb rszre oszthat: az zenet azonostt (frame identifier) s
a parits bitek (parity bits). A 8 bit hossz vdett azonost mezbl a 0-5 bitek tartalmazzk
a 6 bit hossz zenet azonostt, mg a 6. s 7. bitek a parits bitek.
Az zenet azonost 6 bitje 26=64 darab klnbz zenet megklnbztetst engedi egy
LIN hlzaton. Ezen azonost alapjn az zeneteket hrom csoportba lehet sorolni:
0-59 (0x00 0x3B) kztti rtkek a jelhordoz zeneteknek vannak fenntartva.
60 (0x3C) s 61 (0x3D) rtkek a diagnosztikai s konfigurcis adatok jelzsre
szolgnak. A 60-as a mester krzenet, mg a 61-es szolga vlaszzenet jelli.
62 (0x3E) s 63 (0x3F) rtkek a jvbeli felhasznls rdekben foglalt azonostk.
A ksbbiekben az zenet azonostnak az n. bitjt jellje IDn, mg a parits biteket P0 s P1.
A parits bitek az zenet azonostjbl vannak szmtva, mely a kvetkez kt egyenlet
szerint trtnik:

P0 = ID0 ID1 ID2 ID4 (6)

( ID1 ID3 ID4 ID5 )


P1 = (7)

2.15. bra: A vdett azonost mez felptse.

2.3.2.1.4 Adatmezk
Egyetlen egy zenetek 1-tl 8 bjtig terjed adat kzvettsre kpes. Az, hogy egy adott
azonostval rendelkez zenet mennyi adatot tartalmaz, le van rgztve az kzztev, s az
sszes feliratkoz csompontnl.

2.16. bra: Az adat mezt alkot adatbjtok szmozsa

Abban az esetben, ha egy adatentits mrete meghaladja a 8 bitet, azaz nem fr bele egy
bjtmezbe, akkor azt tbb bjtmezbe trdelve kzvetti a csompont. Ekkor az els adatbjt
mez elejn lesz az LSB, s az utols adatbjt mez tartalmazza az MSB-t.
2.3.2.1.5 Ellenrzsszeg
Az zenet utols, vr mezje az ellenrzsszeg mez. Az ellenrzsszeg ellltsa szerint
megklnbztethet klasszikus ellenrzsszeg (classic checksum), s bvtett
ellenrzsszeg (enhanced checksum). A klasszikus ellenrzsszeg kiszmtsban csak az
adatbjtok szmtanak; s mester krzenetek, szolga vlaszzenetek s LIN 1.x verzij
szolga eszkzk kommunikcija esetn hasznlatos.
A bvtett ellenrzsszeg kiszmtsnl az adatbjtok mellett a vdett azonost is szerepet
kap. E fajta ellenrzsszeg a LIN 2.x verzij szolga eszkzk kztti kommunikci sorn
hasznlatos.
Az ellenrzsszeg mez pontosan egy bjtmezt foglal el. A kt ellenrzsszeg tpus kzl
mindig a mester csompont hatrozza meg, hogy melyik hasznlatos, s elre definilva van,
hogy egy adott azonostval rendelkez zenet esetn melyik hasznlatos (a klasszikus a LIN
1.x, mg a bvtett a LIN 2.x szolga eszkzk esetn).
A 60-as (0x3C) s a 61-es (0x3D) azonostval rendelkez zenetek esetn mindig klasszikus
ellenrzsszeg-szmts kerl alkalmazsara.
Plda ellenrzsszeg szmtsra
Az albbi tblzatban (2.10. tblzat) egy plda lthat, hogy ngy bjt esetn hogyan trtnik
az ellenrzsszeg kiszmtsa. Ha egy zenet 4 adatbjtot tartalmaz, vagy egy vdett
azonostt s hrom adatbjtot, akkor a szmts mdja megegyezik. Legyenek az adatbjtok:
0x4A, 0x55, 0x93 s 0x55.

2.10. tblzat: Ellenrzsszeg szmtsra plda

Mvelet hex tvitel D7 D6 D5 D4 D3 D2 D1 D0


0x4A 0x4A 0 1 0 0 1 0 1 0
0x4A +0x55= 0x9F 0 1 0 0 1 1 1 1 1
hozzadand 0x9F 1 0 0 1 1 1 1 1
0x9F +0x93= 0x132 1 0 0 1 1 0 0 1 0
hozzadand 0x33 0 0 1 1 0 0 1 1
0x33+0x55= 0x118 1 0 0 0 1 1 0 0 0
hozzadand 0x19 0 0 0 1 1 0 0 1
Invertls 0xE6 1 1 1 0 0 1 1 0
0x19+0xE6= 0xFF 1 1 1 1 1 1 1 1

Az sszegzs eredmnye 0x19. Ezt invertlva megkaphat a vgs eredmny, az


ellenrzsszeg: 0xE6. A fogad csompont ezt megkapva knnyen ellenrizheti az zenet
helyessgt gy, hogy megegyez mdon sszeadja a bjtokat (0xE6), majd az invertls
nlkl hozzadja az ellenrzsszeghez (0x19). Ha az gy kapott eredmny 0xFF, akkor az
ellenrzsszeg helyes (a 2.10. tblzat utols sora).

2.3.2.2 zenethossz
Egy zenet tovbbtshoz szksges nominlis (hossz)rtk megegyezik az zenetben
szerepl bitek szmval (leszmtva a vlaszrsz sznetet s a bjtkzi szneteket).
A nominlis megszaktsi mez (legalbb) 14 nominlis bit (megszakts + megszakts
hatrol), a nominlis szinkronizcis mez 10 nominlis bit, mg a nominlis vdett
azonost szintn 10 nominlis bit hosszsg. Ezltal a fejlc nominlis hossza 34 bit:

THeader _ Nom= 34 TBit (8)

Az egyenletben TBit egy bit kzvettshez szksges nominlis id (definilva: 2.2.5.1


fejezet). A vlaszrsz NData darab adatbjtbl ll s egy ellenrzsszegbl:

TResponse _ Nom = 10 ( N Data + 1) TBit (9)

Ezekbl a nvleges zenetkldsi id:

T=
Frame _ Nom THeader _ Nom + TResponse _ Nom (10)

A fejlc hossza vltoz nagysg lehet, hiszen a megszaktsi mez 13 nominlis bitnl
mindig nagyobb, gy bevezetsre kerlt a THeader_Max rtk, mely korltozza a fejlc maximlis
mrett.
Maximlisnak vve a bjtkzi szneteket, a kzvettsi id legfeljebb 40%-al lehet nagyobb,
mint a nominlis kzvettsi id. Ez a jrulkos idnvekeds arnyosan oszlik meg a fejlc ,
a mester folyamat, s a vlaszrsz , azaz a szolga folyamat kztt:

= 1.4 THeader _ Nom


THeader _ Max (11)

= 1.4 TResponse _ Nom


TResponse _ Max (12)

T=
Frame _ Max THeader _ Max + TResponse _ Max (13)

Pldul ha a mester csompont 0,5%-al lassabb, mint az FNom nominlis (nvleges)


bitsebessg rtke (2.2.4. fejezet tblzat eltti bekezds), akkor is a fejlc kldsi idejnek
1,4THeader_Nom alatt kell maradnia.
Minden feliratkoz csompontnak kpesnek kell lennie zrus tlnylssal rendelkez (azaz
TFrame_Nom hosszsg) zenetek fogadsra. A TFrame_Max rtket nem a csompontok, hanem
klnfle eszkzk s tesztek ellenrzik. A fogad csompont legfeljebb addig fogadhat el
egy zenetet, amg a kvetkez zenet adst nem rzkeli (pldul: megszaktsi mez),
azonban az rvnyessgi id tlnylhat a TFrame_Max rtken.

2.3.2.3 zenettpusok
A tovbbthat zenetekre vonatkoz elfeltteleket a klnfle zenettpusok rjk le. Egyes
zenettpusok specilis rendeltetssel vannak felruhzva, s elfordulhat, hogy egy
csompont, vagy egy klaszter nem tmogatja az sszes lehetsges zenettpust (pldul:
diagnosztikai zenetek nem tmogatottak az I. diagnosztikai osztlyba tartoz eszkzknl,
2.5.2.1 fejezet). Egy zenetben a nem hasznlt, vagy nem definilt bitek rtkeinek minden
esetben recesszvnek kell lennik.

LIN zenet

Diagnosztikai
Jelhordoz zenet Foglalt zenet
zenet

ltalnos zenet nll zenet (SF)

Esemnyvezrelt
Kezd zenet (FF)
zenet

Sporadikus zenet Kvet zenet (CF)

2.17. bra: A LIN protokollnl elfordul zenettpusok. 6

2.3.2.3.1 ltalnos zenet


Egy zenet akkor minsl ltalnos zenetnek (unconditional frame), ha az azonostjnak
rtke 0 s 59 (0x00 0x3B) kztt van. Egy ltalnos zenet fejlce mindig akkor kerl
tovbbtsra, amikor a mester folyamat megkezdi a megfelel zenethely (frame slot)
feldolgozst. A fejlcre a vlaszrszt mindig az ltalnos zenet kzztevje (mindig a
szolga folyamat) biztostja. Az ltalnos zenet sszes feliratkozjnak fogadnia kell az
zenetet s azt elrhetv kell tennie az alkalmazsa szmra (felttelezve, hogy nem
kvetkezett be hiba a kommunikci sorn). A 2.18. bra hrom egyms utn kldtt
klnfle ltalnos zenetet mutat be. Az tvitelt minden esetben a mester fogja
kezdemnyezni, s az ltalnos zenetnek mindig egy kzztevje lehet, illetve 1 vagy tbb
feliratkozja.

6
Az esemnyvezrelt-, s a sporadikus zenetek valjban specilis ltalnos zenetek.
2.18. bra: Hrom ltalnos zenet tovbbtsa a LIN klaszteren

2.3.2.3.2 Esemnyvezrelt zenet


Az esemnyvezrelt zenetek (event triggered frames) clja, hogy ritkn elfordul
esemnyek bekvetkezsnl a LIN klaszter vlaszadsi kpessgt nvelje, anlkl hogy
tlzott svszlessget engedne a (verseng polling) szolga csompontoknak.
Minden olyan csompontnak, amely feliratkozja az esemnyvezrelt zenetnek, fogadnia kell
azt s a benne tallhat adatot is fel kell hasznlnia; mg akkor is, ha egy ltalnos zenet
fogadsa/feldolgozsa folyamatban volt/van az esemnyvezrelt zenet megjelensekor.
Ha egy esemnyvezrelt zenettel sszekapcsolt ltalnos zenet ltalnos zenetknt van
temezve, akkor a vlaszrsz minden esetben elkldsre kell, hogy kerljn (pldul:
temezett ltalnos zenetekhez hasonlan).

Esemnyvezrelt sszekapcsolt ltalnos zenetek:


Ekkor esemnyvezrelt zenetek szlltjk a vlaszrszt egy-, vagy tbb ltalnos zenetnek.
Egy esemnyvezrelt zenettel sszekapcsolt ltalnos zenetekre rvnyes:
Mretk (hosszuk) azonos.
Ugyanazt az ellenrzsszeg-szmtsi mdszert hasznljk (azaz a klasszikus s
bvtett mdszerek kevert megoldsa nem engedlyezett).
Az els adatmez le van foglalva a szolga vdett azonostjnak (mg ha az
sszekapcsolt ltalnos zenet ltalnos zenetknt is van temezve ugyanabban vagy
egy msik temez tblzatban).
Klnbz szolga csompontok ltal kerlnek kzztevsre.
Az esemnyvezrelt zenetet temez tblzat nem tartalmazhatja kzvetlenl az
sszekapcsolt ltalnos zeneteket.

Esemnyvezrelt zenet kldse:


Egy esemnyvezrelt zenet fejlce akkor kerl elkldsre, amikor az esemnyvezrelt zenet
szmra fenntartott zenethely (frame slot) feldolgozsa megkezddik. Az sszekapcsolt
ltalnos zenet kzztevje csupn a vlaszrszt kzvetti, ha az ltalnos zenetben
szlltott jelek legalbb egyike frisstve van. Ha a vlaszrsz sikeres tovbbtsa megtrtnt,
akkor ezt kveten mr nem minsl frisstend/frisstett jelnek.
Ha a szolga csompontok egyike sem vlaszol a fejlcre, akkor a fennmarad zenethely
resen marad (hallgatnak a csompontok) s a fejlc figyelmen kvl hagysa kvetkezik be
(pldul: nincs frisstend jel egyik csompontnl sem).
Ha tbb mint egy szolga csompont vlaszol a fejlcre ugyanabban a kijellt zenethelyen,
akkor tkzs fog bekvetkezni.

tkzs megoldsa:
A mester csompontnak kell megoldania az tkzst egy tkzsmegold temez tblzat
(collision resolving schedule table) (temez tblzatok: 2.3.3 fejezet) alkalmazsval, ezrt
minden egyes esemnyvezrelt zenetnek van egy trstott/hozz kapcsold temez
tblzata. A vltst az tkzsmegold temezsre automatikusan vgzi a mester csompont
vezrlje (pldul: nem az alkalmazs ltal trtnik). Az tkzsmegold temezse a
kvetkez zenethely kezdetn kerl aktivlsra. Ebben az tkzsmegold tblzatban
minden egyes sszekapcsolt ltalnos zenet legalbb egyszer szerepel. Az tkzsmegold
temezse az sszekapcsolt zeneteken tl tartalmazhat ms ltalnos zeneteket is, melyek
hossza eltr lehet (rjuk nem rvnyes a hosszra tett megkts).
Miutn az tkzsmegold temez tblzat feldolgozsa megtrtnt, a mester csompont
vezrljnek vissza kell vltania az elz temez tblzatra. Az temez tblzat folytatsa
az tkzs megjelensnl lv temez belps utni belpsi pontnl trtnik (vagy az
els belpsi pontnl, ha az tkzs az utols belpsi pontnl jelentkezett).
Ha az tkzst kivlt szolga csompontok egyike visszalp, anlkl, hogy az tvitelben
adatsrls/vesztesg keletkezne, a mester csompont ezt nem rzkeli. A szolga
csompontnak, amelyik visszavonta a vlasznak tovbbtst, egszen addig kell jra
prblkoznia a vlasznak ismtelt elkldsvel, amg az sikeresen be nem fejezdik,
msklnben a vlaszrsz elveszne.
Ha a mester csompont alkalmazsa mg az tkzs megoldsa eltt tvlt egy msik
temez tblzatra, akkor az tkzsmegolds elmarad. Ekkor az tkzst kivlt szolga
csompontok tovbbra is vrakozni fognak a sajt vlaszrszk tovbbtsra.
1. plda esemnyvezrelt zenetre:
2.19. bra: Plda esemnyvezrelt zenetre

Egy temez tblzat tartalmazzon egyetlen egy esemnyvezrelt zentet az ID=0x10


azonostval. Legyen kt darab ltalnos zenet trstva az esemnyvezrelt zenethez, az els
a szolga 1-nl (ID=0x11) a msodik pedig a szolga 2-nl (ID=0x12). Az tkzsmegold
tblzat tartalmazza a kt ltalnos zenetet. Ekkor a 2.19. bra szemllteti a busz
viselkedst az esemnyvezrelt zenet megjelensekor.

2. plda esemnyvezrelt zenetre:


Az esemnyvezrelt zenetek egyik tipikus felhasznlsi mdja az ajtkilincsek figyelse egy
ngyajts kzpontizr rendszerben. Ilyen mdon a rendszer j vlaszidt szolgltat, mgis
minimlis a busz terheltsge. Abban az igen ritka esetben, amikor tbb utas egyszerre nyomja
le a kilincset a rendszer nem fog egyetlen lenyomst sem elveszteni (figyelmen kvl
hagyni), csupn tbb idt fog ignyelni az esemny feldolgozsa.

2.3.2.3.3 Sporadikus zenet


A sporadikus zenet (sporadic frame) tpus lnyege, hogy egy bizonyos fok dinamikus
viselkedst csempsz a determinisztikus s vals idej temez tblzatba anlkl, hogy a
fennmarad tblzatrsz megjsolhatsga elveszne/cskkenne.
A jelhordoz zeneteknek azon csoportjt, melynek tagjai ugyanazt az zenethelyet
hasznljk, sporadikus zeneteknek hvjk. Amikor a sporadikus zenet kldse esedkes, az
ltalnos zenetek frisstst ignyls szempontjbl ellenrzsen esnek t. Ha nincs
frisstend jel, akkor a sporadikus zenetre sznt zenethely resen marad. Ha viszont van
egy zeneten bell egy, vagy tbb frisstend jel, akkor ezen zenet tovbbtsa fog
megtrtnni. Ha tbb mint egy jel frisstse esedkes klnbz zeneteken bell, akkor a
legmagasabb priorits zenet frisstse fog megtrtnni. A fennmarad alacsonyabb
priorits zenetek nem fognak elveszni, hiszen az elkvetkez sporadikus zenetekre sznt
zenethelyeknl a tovbbtsuk megtrtnik. Egy frisstend jeleket tartalmaz zenet
tovbbtsa addig toldik, amg nem lesz a legmagasabb priorits.
Ha egy ltalnos zenet kldse sikeresen megtrtnt, akkor ezt kveten az zenet mr nem
fgg a tovbbtstl egszen addig, amg legalbb egy jel nem frissl az zeneten bell.
ltalnossgban a tbbszrs sporadikus zenetekhez ugyanaz az zenethely van
hozzrendelve, s ezen zenetekbl a legmagasabb priorits kerlhet tovbbtsra az adott
zenethelyen. Ha egyetlen egy ltalnos zenet tovbbtsa sincs fggben, akkor az
zenethely resen marad (a csompontok adsmentesen figyelik a buszt). A sporadikus
zenetek priorits-kiosztst a LIN szabvny Kiptsi Nyelv Specifikcija tartalmazza.
A mester csompont az egyetlen kzztevje az ltalnos zeneteknek egy sporadikus
zeneten bell, gy a mester folyamat az egyedli, amely tudja, hogy mikor van fggben egy
ltalnos zenet kldse.

Plda sporadikus zenetre:


Legyen egy sporadikus zenet az egyetlen zenet az aktv temez tblzatban. A sporadikus
zenethez meghatrozott szm ltalnos zenet van rendelve, melyekbl az egyik
azonostja 0x22. Norml esetben a sporadikus zenethelye res. A msodik zenethelyen
legalbb egy hozzrendelt zenet a 0x22 azonostval frisstsre kerl.

2.20. bra: Plda sporadikus zenetre

Elfordulhat, hogy egy sporadikus zenethez rendelt ltalnos zenet nem ugyanabban az
temez tblzatban van alloklva, amelyben a sporadikus zenet.

2.3.2.3.4 Diagnosztikai zenet


A diagnosztikai zenetek (diagnostic frames) mindig Szlltsi rteghez (rszletesen: 2.4
fejezet) tartoz adatokat szlltanak, s minden esetben 8 adatbjtot tartalmaznak. A 60-as
(0x3C) zenet azonost a mester (diagnosztikai) krzenetet, mg a 61-es (0x3D) a szolga
(diagnosztikai) vlaszzenetet jelli. Az adat rtelmezshez szksges informcit a
Csompont Konfigurcis-, az Identifikcis-, s a Diagnosztikai Specifikcik tartalmazzk
(melybl a jegyzet tfogan csak az utolst dolgozza fel: 2.5 fejezet).
Mieltt a mester folyamat kldene egy mester diagnosztikai krzenetet, eltte lekrdezi a
diagnosztikai modult, hogy szksg van-e zenettovbbtsra, vagy nincs, s a busz maradjon
kihasznlatlan. A mester csompont a szolga vlaszzenet fejlct felttlenl elkldi. A
szolga folyamatok a diagnosztikai moduljaikkal sszhangban fogjk kzztenni a vlaszokat
s fognak feliratkozni a klnbz vlaszokra.

2.3.2.3.5 Foglalt zenetek


A LIN 2.x klaszterek esetben a foglalt zenetek (reserved frames) nem kerlhetnek
felhasznlsra, ugyanis ksbbi fejlesztsek cljbl lettek gy megvlasztva. Ezen zenetek
azonostja 62 (0x3E) illetve 63 (0x3F).

2.3.3 temez tblzatok


A LIN kommunikcis protokoll kulcstulajdonsga az temez tblzatok (schedule tables)
hasznlata. E tblzatok biztostjk, hogy a busz tlterhelse soha sem kvetkezik be,
valamint kulcssszetevknt garantljk a jelek periodikussgt.
Mivel a LIN klaszteren a mester folyamat kezdemnyez minden adattvitelt, gy kivitelezhet
a determinisztikus viselkeds. A mester csompont feladata, hogy egy mkdsi mdban
fontos sszes zenetnl rendelkezsre lljon a tovbbtsukhoz szksges id. E fejezetben
kerlnek bemutatsra az temez tblzatokhoz kapcsold kvetelmnyek. A legtbb
kvetelmny sszer magyarzata a konfliktusmentessg elrse, illetve a LIN protokoll
egyszer s hatkony implementcijnak megvalstsa.

2.3.3.1 Idalap s jitter


Egy LIN klaszter temez tblzatainl hasznlatos legkisebb idegysg az idalap (time
base) (jele: Tbase), mely a mester csompontba kerl implementlsra s az temez
tblzatok idztsnek vezrlsre szolgl. Ez azt jelenti, hogy a tblzat elemeinek, az
zeneteknek, az idalap jelent tmpontot. Az idalap ltalnosan 5 s 10 ms kztt van, azaz
egy idintervallumot jell. A kezdeti s vgpontjt ezen idintervallumoknak egy-egy
(periodikusan kibocstott) idalap jelz (time base tick) hatrozza meg (2.9. bra s 2.10.
bra).
Egy zenethely mindig egy idalap jelznl kezddik. Az idalap jelz s a fejlc kldsnek
kezdeti idpontja (a megszaktsi mez lefut le) kztti minimlis s maximlis ksleltetst
rja le a jitter. Az zenetek vge s a kvetkez zenet kezdete kztt eltelt idt az zenet
kzti sznet rja le, melynek mindig nem-negatvnak kell lenni.
2.3.3.2 zenethely
Az temez tblzat idztst vezrl msik id a TFrame_Slot, mely megadja az temezsbe
val belps (egy zenet tvitel inicializlsa) s a kvetkez temezsi belps esedkessge
kztti idt. Ezen TFrame_Slot idt az idalap egszszm-szorosval (n) ki lehet fejezni:

TFrame _=
Slot Tbase n (14)

Termszetesen az n egsz szm minden egyes zenethely esetn eltr (lehet). Egy
zenethelynek elg hossznak kell lennie ahhoz, hogy a maximlis zenet tviteli idn tl a
mester folyamatnl jelentkez jitter se okozhasson problmt:

TFrame _ Slot > jitter + TFrame _ Max (15)

2.21. bra: zenethely

2.3.3.3 temez tblzatok kezelse


Az aktv temez tblzat feldolgozsa addig van folyamatban, amg egy msik krt temez
tblzat kivlasztsa meg nem trtnik. Amikor a jelenlegi temez tblzat a vghez r, az
temezs jra indul a tblzat elejtl. Az aktulis tvlts mindig az zenethely kezdetnl
kerl megvalstsra. Ez azt jelenti, hogy egy krs az temez tblzat vltsra sosem fogja
megszaktani az ppen fut adattvitelt.

2.3.4 Folyamat-modellek

A korbbiakra hivatkozva a mester csompont tartalmaz egy mester folyamatot s egy szolga
folyamatot, mg a szolga csompont csupn egy szolga folyamattal rendelkeznek. E
fejezetben e mester/szolga folyamat elgondols viselkedse s felptse kerl bemutatsra.
2.3.4.1 Mester folyamat modellje

2.22. bra: Mester folyamat llapotgpe

A mester folyamat felels a megfelel fejlcek ellltsrt, azaz meghozza a dntst, mely
zenet kldse kvetkezzen, s karban tartja az zenetek kztti idztseket. Mindezeket az
temez tblzatokkal sszhangban teszi.

2.3.4.2 Szolga folyamat modellje


A szolga folyamat felels az zenetek vlaszrsznek kldsrt akkor, ha az adott csompont
a kzztevje a krt zenetnek. Emellett e folyamat vgzi az zenetek fogadst akkor, ha az
adott csompont a buszon megjelen zenetnek feliratkozja. A szolga folyamat kt
llapotgppel modellezhet:
Megszaktsi/Szinkronizcis mez szekvencia rzkel
zenet feldolgoz

2.3.4.2.1 Megszaktsi/Szinkronizcis mez szekvencia rzkel


A szolga csompont szmra elengedhetetlen, hogy mr szinkronizlt llapotban legyen a
vdett azonost mez kezdetnl, msklnben nem lenne kpes a mez megfelel
fogadsra/rtelmezsre. Termszetesen a szolga csompontnak a fennmarad teljes
zenetrszre is szinkronban kell lennie a megfelel bitsebessg tolerancia (2.2.4 fejezet)
hasznlatval. gy a sikeres szinkronizci rdekben minden zenet egy megszaktsi
mezvel s ezt kveten egy szinkronizcis mez kezddik. Ez a szakasz a LIN klaszteren
val kommunikci sorn egyedi, s minden szolga csompont szmra elegend
idt/informcit szolgltat ahhoz, hogy rzkeljk egy j zenet kezdett, s hogy az abban
hasznlt bitidt meghatrozzk, mely a fennmarad zenetrsz egszre alkalmazva lesz. gy
a szolga folyamat Megszaktsi/Szinkronizcis mez szekvencia rzkel rsznek feladata az
j zenete kezdetnek rzkelse s a szinkronizci elvgzse a vdett azonost mez
kezdetig.

2.3.4.2.2 zenet feldolgoz


Az zenet feldolgoz kt llapottal rendelkezik: Ttlen llapot (Idle) s Aktv llapot (Active).
Az Aktv tovbbi t bels llapotot tartalmaz: a PID, az Rx adat, a Tx adat, az Rx
ellenrzsszeg s a Tx ellenrzsszeg llapotokat.
Az zenet feldolgozt, legyen akrmilyen llapotban is, egy jonnan berkez
Megszaktsi/Szinkronizcis mez szekvencia mindig meg fogja szaktani. Amint a
Megszaktsi/Szinkronizcis mez szekvencia vtele megtrtnt brmilyen llapotban is
volt addig az zenet feldolgoz tlp a PID llapotba. A PID llapotnl hrom eset
lehetsges:
A fogadott PID ismeretlen, vagy az zenet hibs. Ekkor az zenet feldolgoz visszalp
Ttlen llapotba.
A fogadott PID egy kldend zenethez tartozik. Ekkor a csompont Tx lba vlik
aktvv s megkezdi a krt vlaszrsz forgalmazst, mellyel prhuzamosan figyeli a
LIN buszt, hogy onnan a megfelel rtket olvassa-e vissza.
A fogadott PID egy fogadand zenethez tartozik. Ekkor a csompont Rx lba vlik
aktvv s figyeli a LIN buszt, fogadja a ms ltal forgalmazott vlaszrszt.
Ha fogads kzben (Rx adat llapot) zenet hiba, vagy klds kzben (Tx adat llapot)
visszaolvassi hiba kvetkezik be, az zenet feldolgoz hiba a vlasznl rtkkel visszatr
Ttlen llapotba.
2.23. bra: zenet feldolgoz llapotgpe

Az Rx adat llapot utn, ha nincs zenet hiba, akkor az ellenrzsszeg fogadsa (Rx
ellenrzsszeg llapot) kvetkezik. Ha az ellenrzsszeg szmtott s fogadott rtke
megegyezik, akkor az zenet fogadsa sikeres volt, s pozitv rtkkel (sikeres tvitel)
visszatr Ttlen llapotba az zenet feldolgoz. Ha viszont a kt ellenrzsszeg eltr, akkor
az zenet feldolgoz hiba a vlasznl rtkkel tr vissza Ttlen llapotba.
A Tx adat llapot utn, ha nincs visszaolvassi hiba, akkor az ellenrzsszeg kldse (Tx
ellenrzsszeg llapot) kvetkezik. Ha a visszaolvasott ellenrzsszeg megegyezik az
elkldttel, akkor az zenet kldse sikeres volt, s az zenet feldolgoz pozitv rtkkel
(sikeres tvitel) visszatr Ttlen llapotba. Ha viszont visszaolvassi hiba trtnik az
ellenrzsszeg kldse sorn, akkor hiba a vlasznl rtkkel tr vissza Ttlen llapotba.
2.3.5 Hlzatmenedzsment

Egy LIN klaszter hlzatmenedzsmentje a klaszter fellesztsre (wake up) (2.3.5.2 fejezet)
s pihen llapotba lptetsre (go to sleep) (2.3.5.3 fejezet) korltozdik. gy az egyb
hlzati menedzsmenthez kapcsold feladatok gy, mint konfigurci rzkels vagy
vszzemmd/szksgfuts (limp home) menedzsment 7 megoldsa mr az alkalmazsokra
maradnak.

2.3.5.1 Szolga kommunikcis modellje


Egy ltalnos szolga csompont kommunikcis modelljnek viselkedst ler llapotgpet
mutat be a 2.24. bra. A hrom lehetsges llapot:

Inicializls:
Azonnal ebbe az llapotba kerl a csompont a tpforrs els rkapcsolst kveten,
jraindtsnl (reset) vagy felbresztsnl. A szolga csompont elvgzi a szksges
inicializlst s tlp az zemi llapotba. Az inicializlsok mindig a LIN-re vonatkoz
inicializlsokat takarjk. Az jraindts s a felbreszts maguk utn vonhatnak egyb
inicializlsi feladatokat is.

zemi llapot:
Ezen llapotban valsulhatnak meg az zenetkldsek s fogadsok.

Alv llapot:
A busz jelszintje folyamatos recesszv, s a klaszteren csak a felbreszt jel(ek) tovbbtsra
kerlhet sor.

2.24. bra: Szolga csompont kommunikcis llapotgpe

7
Rendszerhiba esetn cskkentett funkcionalitssal, de mkdkpes szinte tarts.
2.3.5.2 Felbreszts (Wake up)
Brmely a LIN klaszterhez kapcsold alv llapotban lv csompont kezdemnyezheti a
felbresztst (wake up) gy, hogy elkld egy felbreszt jelet. Ezzel a busz minimum 250s
s maximum 5ms ideig dominns llapotba kerl, majd akkor vlik rvnyess, ha a busz
visszatr recesszv llapotba. Ha mester csompont kezdemnyezi a felbresztst, elindthat
egy megszaktsi mezt, pldul kibocst egy szoksos fejlcet, mivel a megszakts gy fog
viselkedni, mint egy felbreszt jel. Ebben az esetben a mester csompontnak biztostania
kell, hogy ez a fejlc/zenet nem kerl majd feldolgozsra egyik szolga csompontnl sem,
mivel mg lehet, hogy nem bredtek fel, s nem kszek a fejlc fogadsra.
A klaszterhez kapcsold sszes tpelltssal elltott szolga csompontnak rzkelnie kell a
felbreszt jel (ha legalbb 150 s szles), melyet kveten legksbb 100ms-on bell
ksznek kell lennik a buszon rkez parancsok fogadsra. A felbreszt jel rzkelsi
kszbe 150s, mg alapesetben az impulzusgenertor 250s szles jelet biztost. A kett
metszete a kalibrlatlan szolga csompontok szmra is elg idt biztost a felbredshez.
Ha a felbreszt jelet egy szolga csompont kldte, akkor e csompont azonnal kszen fog
llni az adattvitelre. A mester csompont szintn hamar felbred, s csak amikor az sszes
szolga csompont kszen ll, akkor a mester elkezdi sugrozni a fejlceket annak rdekben,
hogy rjjjn a felbreszts okra.

2.25. bra: Felbreszt jel fogadsa szolga csompontok esetben

A mester csompont szmra vagy alkalmazs specifikus vagy a klaszter tervezje definilja,
hogy a felbreszt jel utn mennyi id mlva lesz ksz a mester csompont a kommunikci
kezdemnyezsre.

2.26. bra: Felbreszt jelekbl ll blokk


Ha a mester csompont nem kezd el megszaktsi mezt sugrozni vagy a felbresztst
kezdemnyez csompont nem rzkel ms csompontoktl felbreszt jelet (150-250ms-on
bell) a buszon, akkor a felbresztst kezdemnyez csompont jabb felbreszt jelet bocst
ki. Ezt az esetet mutatja be a 2.26. bra. Abban az esetben, ha a szolga csompont felbreszt
jele ugyanabban az idben indul el, mint a mester csompont megszaktsi mezje, akkor ezt a
szolga csompont felismeri/rzkelni fogja.
Hrom egymst kvet sikertelen krs utn a csompontnak legalbb 1.5 msodpercet kell
vrnia, mieltt mg elkezden sugrozni a negyedik felbreszt jelet. E nagy sznet indoka,
hogy a klaszter kpes legyen ez idben kommuniklni akkor, ha a felbresztst kezdemnyez
csompont meghibsods miatt kldi a jeleket, pldul, ha nem rzkeli a buszon a sajt jelt,
akkor ez a vgtelensgig ismtldne.
Nincs megktse, hogy egy szolga csompont hnyszor kldhet felbreszt jelet. Habr
elvrt, hogy egy szolga ne kzvettsen egy blokknl tbb felbreszt jelet. A 2.27. bra
mutatja azt az esetet, amikor egy szolga csompont sokszor egymst kveten prblja
felbreszteni a klaszter egyb csompontjait.

2.27. bra: Felbreszt jelekbl ll hossz sorozat

2.3.5.3 Alv llapotba lptets (Go to Sleep)


A mester csompont tllthatja a LIN klasztert alv llapotba gy, hogy egy alv llapotba
lptet parancsot sugroz. E krs nem felttlenl eredmnyezi a szolga csompontok
alacsony fogyaszts mdba kapcsolst. A szolga csompontok alkalmazsai mg aktvak
maradhatnak a parancsot kveten is, azonban ez alkalmazs-specifikus.
Az alv llapotba lptet parancs egy mester krzenet, melyben az els adatmez csupa
nulla, s a fennmarad 7 darab adatbjt 0xFF, ahogy ez az albbi tblzatban lthat.

data1 data2 data3 data4 data5 data6 data7 data8


0x00 0xFF 0xFF 0xFF 0xFF 0xFF 0xFF 0xFF

A szolga csompont figyelmen kvl hagyja az adatmezket 2-tl 8-ig, s csak az els
adatmezt rtelmezi. Alapesetben a mester kezdemnyezheti az alv llapotba lpst, de a
szolga csompontok is megtehetik ezt, ha 4 msodpercig nem szlelnek buszforgalmat.
A szolga csompontok egy rsze automatikusan belp alv llapotba 4 msodpercen bell, de
legksbb 10 msodperc inaktivits utn. A busz inaktv llapot azt jelenti, hogy nincs
jelszintvltozs (a tskk, ingadozsok szrst kveten) a buszon, ellenkezje pedig a
busz aktv llapott definilja.
2.4 A LIN protokoll Szlltsi rtege

A Szlltsi rteg definilja azon adatok tovbbtst, melyek egy vagy tbb zenetbe vannak
rendezve. E rteg kommunikcijt a csompontok diagnosztikai zenetek alkalmazsval
valstjk meg. A Szlltsi rteg rdekben szabvnyostott API-t, azaz alkalmazsi program
interfszt (Application Program Interface) a LIN szabvny Alkalmazsi Program Interfsz
Specifikcija tartalmazza.
A Szlltsi rteg alkalmazhatsgval olyan rendszerekre fkuszl, melyeknl a
diagnosztikai kommunikci gerincbusz (back-bone bus) felhasznlsval valsul meg, s
ahol a rendszer tervezje ugyanezen diagnosztikai tulajdonsgokat ms LIN klaszterek esetn
is alkalmazni kvnja. Az zenetek valjban megegyeznek az ISO 15765-2 Szlltsi
rtegben definiltakkal s a csomagszerkezet is rendkvl hasonl (2.4.1 fejezet). Egy
tipikus rendszer konfigurcijt mutatja a 2.28. bra.
A Szlltsi rteg clja:
A mester csompont terheltsge alacsony szinten maradjon.
Teljes (vagy ennek egy rszre kiterjed) diagnosztika biztostsa kzvetlenl a LIN
szolga csompontok fel.
Nagyteljestmny csompontokat tartalmaz klaszterek ptse.

2.28. bra: Szlltsi rteget alkalmaz ltalnos LIN klaszter felptse

2.4.1 Csomagszerkezet

A Szlltsi rtegben kzvettett elemeket ahogy ez az OSI modellnl bemutatsra kerlt


csomagoknak (packet) hvjk. Ezen elemeknek a LIN protokoll a PDU (Packet Data Unit),
vagyis a csomag adategysg nevet adta. Egy PDU lehet egy teljes zenet, vagy egy zenet
rsze (vagy sszetett PDU: 2.4.2.2 fejezet).
A kliens oldal (teszter egysg, mester csompont) ltal kldtt zenet sszefoglalan krs,
vagy krzenet, mg a server oldal (mester csompont, szolga csompont) ltal kldtt
zenet neve vlasz, vagy vlaszzenet. Ezek teht zenetek, nem csupn zenetrszek. Az
alsbb rtegeknl a fejlc s vlaszrsz az zenet kt zenetrszt rjk le.
A Szlltsi rteg zeneteinek adott azonosti vannak, mivel ezek a diagnosztikai zenetek (2
db azonost: 60 (0x3C) s 61 (0x3D)) a szabvny kialaktsnl lettek definilva. Azt, hogy
egy diagnosztikai zenet mely csompontnak szl, azt az adatmezejnek els bjtja fogja
eldnteni, mely a cmzett csompont (vagy funkci) NAD rtkt tartalmazza. Ez egyben a
PDU els (hasznos) bjtja.
A PDU-k szerkezetnek trgyalshoz rdemes egy a kvetkez brn (2.29. bra) lthat
struktrt definilni, amely az ISO Szlltsi rteg zenetei s a LIN Szlltsi rteg zenetei
kzti trgyalsmdot leegyszersti. Elsknt az bra bal oldaln lthat rsz (NAD) kerl
tovbbtsra, majd balrl jobbra haladva folytatdik, egszen az adatbjtokig. Az brn SF:
nll zenet; FF: kezd zenet; CF: kvet zenet.

2.29. bra: LIN Szlltsi rtegnl tmogatott PDU-k felptse

A krseket minden esetben mester krzenetek (nem csupn a fejlc) tovbbtjk, mg a


vlaszokat szolga vlaszzenetek (fejlc s vlaszrsz) kzvettik. A kvetkez alfejezetek
definiljk a PDU-kat alkot elemeket.

2.4.1.1 Csompontcmzs
A NAD (Node Address) rtk mindig egy szolga csompont 8 cmt adja meg, mely
meghatrozza, hogy a mester krzenet melyik szolga csomponthoz szl. Emellett a NAD
hivatott azonostani a vlaszzenetet oly mdon, hogy az melyik szolga csomponttl
rkezett.

8
Egy fizikai szolga csomponthoz tartozhat tbb logikai szolga csompont is, s mindegyik logikai
csomponthoz kln NAD rtket kell rendelni.
Az albbi, 2.11. tblzat tartalmazza a lehetsges NAD rtkeket, melyek norml esetben 1 s
127 kztt kell, hogy legyenek; mivel a 0 s 128-255 rtkek a szabvny ksztsnl egyb
clokra le lettek foglalva.

2.11. tblzat: NAD rtkek

NAD rtk Lers


0 Foglalt rtk az alv llapotba lptet parancsnak (2.3.5.3 fejezet)
1 (0x01) 125 (0x7D) Szolga csompontok (vagy logikai egysgeik) cme (NAD)
126 (0x7E) Funkcionlis csompont cm (functional NAD), csak diagnosztikai clokra
fenntartva (Szlltsi rteg)
127 (0x7F) zenetszrsi szolga csompont cm (broadcast NAD)
128 (0x80) 255 (0xFF) Szabad felhasznls. E tartomnyban tallhat cmek szabadon
felhasznlshatk diagnosztikai clokra (2.5.4 fejezet)

2.4.1.2 Protokoll Vezrl Informci


Ha a gerincbuszon elhelyezked teszter egysgnek szksge van folyamatirnytott PDU-kra,
akkor ezek generlsa csakis a mester csompont segtsgvel valsulhat meg.
A PCI (Protocol Control Information), protokollvezrl informci tartalmazza a Szlltsi
rteg folyamatirnytsi informciit.

2.12. tblzat: PCI bjt felptse

Tpus PCI tpusa Kiegszt informci


B7 B6 B5 B4 B3 B2 B1 B0
SF 0 0 0 0 hossz
FF 0 0 0 0 hossz/28
CF 0 0 1 0 zenetszmll

Konfigurcis PDU esetn (csompont konfigurcinl) az nll zenet (SF) (Single Frame)
tpus PCI belefr egy PDU-ba, azaz maximlisan t adatbjtot tartalmazhat. A hossz
rtknek termszetesen a hasznlt adatbjtok szma plusz egy (SID vagy RSID miatt:
2.4.1.4 s 2.4.1.5 fejezetek) rtket kell tartalmaznia.
A kezd zenet (FF First Frame) tpus PCI arra szolgl, hogy jelezze egy sszetett PDU
(multi PDU) zenet kezdett. A LIN buszon egy kezd zenet (FF) megjelense utn bizonyos
szm kvet zenet (CF) tovbbtsa fog megtrtnni. Ugyanis a kezd zenet (FF)
kldsnl fel kell tntetni az adatbjtok szmt, plusz egyet (SID vagy RSID), oly mdon,
hogy e hossz+1 legfels ngy szignifikns bitje kerl a PCI mezbe (ezrt tartalmaz osztst a
2.12. tblzat az FF sorban a B3-B0 biteknl), az als nyolc bjt pedig a LEN mezbe kerl
elkldsre.
sszetett PDU zenet elejt jelz kezd zenet (FF) tpus PCI utn a kvet zenetek (CF
Consecutive Frames) tovbbtsa kvetkezik, melyekbl az els szmozsa 1, a msodik 2,
s gy tovbb. Ha tbb mint 15 kvet zenetek (CF) kldsre van szksg a teljes zenet
tvitelhez, akkor az zenetszmll nulltl jrakezddve folytatdik.

2.4.1.3 hossz mez


A hossz, vagy LEN (Length) bjt csak kezd zenetnl (FF) kerl felhasznlsra, s e mez
tartalmazza az sszetett zenet teljes hossznak als nyolc kevsb szignifikns bitjt. gy egy
(sszetett) zenetben elkldhet maximlis adatmret korltozva van 12 bitre, amely
2121=4095 (0xFFF) bitnyi adatot jelent.

2.4.1.4 Szolgltats azonost


A krzenetben megfogalmazott szolgltats az SID rtk, a szolgltats azonost (Service
Identifier), mely a szolga csompontnak ad informcit a vgrehajtand szolgltatsrl. Az
SID szmozsa kveti az ISO 15765-3 szabvnyt, valamint a csompont konfigurcijnak
(0xB0-0xB7) definilst a gyrtra bzza.

2.13. tblzat: Csompont konfigurcis s identifikcis szolgltatsok (hasznlatban lv SID


rtkek)

SID Szolgltats jellege Hivatkozs/Referencia


0x00-0xA7 diagnosztikai clokra fenntartva foglalt ISO 15765-3 szabvnyon bell
0xB0 NAD kijellse opcionlis LIN 2.2A specifikci
Csompont konfigurcis rendeltets

0xB1 zenetazonost kijellse elavult LIN 2.0 specifikci


Azonost informcik lekrse
0xB2 ktelez LIN 2.2A specifikci
(olvassa)
0xB3 Feltteles NAD vlts/mdosts opcionlis LIN 2.2A specifikci
Adat eldobsa
0xB4 opcionlis LIN 2.2A specifikci
(kezdeti konfigurci)
0xB5 Foglalt foglalt foglalt rtk
0xB6 Konfigurci elmentse opcionlis LIN 2.2A specifikci
Tartomny kijellse zenet
0xB7 ktelez LIN 2.2A specifikci
azonostjra
0xB8-0xFF diagnosztikai clokra fenntartva foglalt ISO 15765-3 szabvnyon bell

2.4.1.5 Vlaszzenet szolgltats azonost


Az RSID, a vlaszzenet szolgltats azonostja (Response Service Identifier) rja el a
vlaszzenet tartalmt. Az RSID rtke pozitv vlasz esetn mindig SID+0x40. Ha a krt
szolgltats tmogatott a szolga csompont ltal, akkor a vlaszads ktelez (mg akkor is
ha krs zenetszrs volt). Azt, hogy egy adott szolgltats tmogatott-e, a szabvny NCF
rsze, a csompontjellemz fjl rja le.
Egy szolga csompont a konfigurcis krst fogadva, azt azonnal feldolgozza, s a
kvetkez temezsi rszt vrva kszen ll a szolga vlaszzenet elkldsre.

2.4.1.6 Adatbjtok
Az adatbjtok fordtsa/rtelmezse (egy darab PDU esetn maximlisan 6 darab) fgg az
zenet SID illetve RSID rtktl. sszetett PDU esetn termszetesen csak az sszeillesztst
kveten lehet a teljes zenetet elemezni. Ha a PDU (csak CF s SF PDU-kra rvnyes) nincs
teljesen kihasznlva, akkor a fennmarad ki nem hasznlt adatbjtok rtke 255 (0xFF) lesz
(csupa egyes, azaz recesszv rtk). Ez azrt fontos, mert a diagnosztikai zenetek minden
esetben nyolc bjt hosszak.

2.4.2 A kommunikci sorn tovbbthat zenetek

A Szlltsi rteg szmra kvetelmny, hogy az zenetei kizrlagosak legyenek, mely


szerint egy idben csak egyetlen zenet lehet aktv.
Ha egy fogad csompont olyan zenetet rzkel, melynek NAD rtke a sajt rtkvel
azonos, vagy a cm megegyezik az zenetszrsi cmmel, s nincs ms aktv zenet, akkor a
csompontnak az zenet fogadst s feldolgozst vgre kell hajtania.
Ha funkcionlisan cmzett zenet rkezik, s nincs ms aktv zenet, akkor az zenet
fogadst s feldolgozst vgre kell hajtani. Aktv zenetnl rkez funkcionlisan cmzett
zenet a szolga csompontok ltal figyelmen kvl lesz hagyva. A szolga csompont
megszaktja a Szlltsi rteg zenetnek feldolgozst miutn:
rvnyes mester krzenetet fogad,
mester krzenetet fogad, mely a LIN protokoll szerint rvnyes, de a tartalmazott
adat valtlan (pldul: rossz/hibs a fogadott PCI).
A szolga csompont folytathatja a Szlltsi rteg zenetnek feldolgozst miutn:
rvnytelen mester krzenetet fogad (hiba a fejlcben, ellenrzsszegben, vagy
egyb zenetkldsi hiba esetn)

2.4.2.1 nll zenet (SF)


zenet kldse egszen hat adatbjtig (a SID mezt is belertve) tehet meg egyetlen, azaz
nll PDU zenet (SF) elkldsvel. A funkcionlisan cmzett zenetek minden esetben
nll zenetek (SF).
2.4.2.2 sszetett zenet
Egy olyan zenet elkldse, mely tbb mint hat adatbjtot tartalmaz, de kevesebbet, mint
4095, megvalsthat adatdarabols s sszetett PDU zenetek (Multiple Frame) segtsgvel.
Ezen zenettovbbts mindig egy kezd zenet (FF) PDU-val kezddik (melyben informci
van a kldend adat hosszrl), s ezt kveti legalbb kett kvet zenet (CF) PDU, melyek
magt az adatot tartalmazzk.

2.4.3 Hibakezels
Azokat az nll zeneteket (SF), melyeknl az adatbjtok hosszrtke nagyobb, mint hat
bjt, a fogad figyelmen kvl hagyja. Azon kezd zenet (FF), melynek hosszrtke kisebb,
mint ht bjt, figyelmen kvl lesz hagyva a fogad oldalon. Valamint azok a kezd zenetek
(FF), melyek hosszrtke nagyobb, mint a szolga elrhet maximlis puffer-mrete, szintn
figyelmen kvl vannak hagyva, s a fogad oldal nem kezdi el az rkez zenetelemek
fogadst.
Azt a PDU-t, mely ismeretlen PCI tpushoz tartozik, minden csompont figyelmen kvl
hagy, kivve, ha az nll zenet (SF), illetve kezd zenet (FF).
Egy nll zenet (SF), vagy egy kezd zenet (FF) rzkelsnl, ha a NAD rtk nem a
funkcionlis NAD, akkor az addig fut zenetet feldolgozst megszaktja a csompont. Egy
j zenet fogadsa (a fogad oldalon) akkor indul el, ha a fogadott NAD rtk alapjn az egy
zenetszrsi NAD, vagy megegyezik a csompont sajt NAD rtkvel.
Egy nem rvnyes/nem vrt sorszmmal (SN) rendelkez kvet zenet (CF) fogadst a
fogad csompont megszaktja.
A fogad csompont szintn megszaktja az zenet fogadst, ha egy N_Cr idtllpst szlel
(2.4.4 fejezet). A kld csompont megszaktja az zenet kldst, ha egy N_As idtllpst
szlel (2.4.4 fejezet).

2.4.4 Idztsi megktsek

Az Szlltsi rteg idztsi megktseit/knyszereit (az ISO 15765-2 szabvnyt alapul vve)
a 2.14. tblzat rja le, s a 2.30. bra s a 2.31. bra szemlltetik az idtartomnyon. Mivel a
LIN tereszt kpessge kisebb, mint a CAN tereszt kpessge, az paramtereket
egymshoz illeszteni kell. Ezen paramterek a Szlltsi rteg rszt kpezik, s nem
hatroznak meg/kpeznek semmilyen knyszert a csompont konfigurcijra.
A kttt kommunikci kvetelmnyeinek kielgtsre kerltek megfogalmazsra a
teljestsi kvetelmnyek, melyek minden kommunikciban rszt vev elemre (peer)
rvnyesek. A LIN temezse vltozhat a klnfle felhasznl-specifikus eseteknl, hiszen
egy bizonyos alkalmazs lehet, hogy definil egyb teljestsi kvetelmnyeket a 2.14.
tblzatban megadott tartomnyokon bell.
Az idtllpsi rtkek mindig a teljestsi kvetelmnyek rtkein tl vannak definilva,
garantlva a rendszer mkdst s megelzve a teljesthetetlen kvetelmnyeket (pldul:
magasabb buszterhelsnl). A 2.14. tblzatban szerepl idtllpsi rtkek az
implementciban megadhat legfels rtkeket/hatrt reprezentljk.

2.14. tblzat: Szlltsi rteg idztsi paramterei

Adatkapcsolati
Idztsi Teljestsi
Lers rteg szolgltatsa
paramter kvetelmnyek (ms)
Kezdet Vg (ms)
Amikor a
Amikor a Szlltsi
diagnosztikai
Kld oldalon a LIN rteg diagnosztikai
N_As zenet kldtt 1000 N/A
zenet tovbbts ideje zenet kldst
sttusza meg
kri
van erstve
Amikor az utols Amikor a
diagnosztikai Szlltsi rteg (N_Cs + N_As)
A kvetkez CF
N_Cs zenet kldtt a CF-et N/A <
kldsig eltel id
sttusza meg van kldttnek (0.9N_Crtimeout)
erstve tekinti
Amikor a
Amikor az elz
kvetkez
diagnosztikai
A kvetkez CF diagnosztikai
N_Cr zenet kldtt 1000
rzkelsig eltel id zenet kldtt
sttusza jelezve
sttusza jelezve
van.
van.

Megjegyzend, hogy az N_Cs paramter nem ignyel idtllps figyelst a kld csompont
esetn, mivel az N_As biztostja a megfelel idtllpsi kvetelmnyeket. Habr az N_Cs
paramtert figyelembe kell venni a rendszertervezsnl (idzts s kld oldali
szoftvertervezs) gy ht a kld oldalon az idtllps (N_Cr) figyelmen kvl hagyhat.
Az albbi brk (2.30. bra s 2.31. bra) gy hivatottak az idztsi paramtereket bemutatni,
hogy nem ktdnek semmilyen implementcihoz. A mester s szolga csompontok
viselkedsei az alacsonyabb rtegekben ltalnostva szerepelnek.
2.30. bra: Szlltsi rteg idztse a kld oldalon

2.31. bra: Szlltsi rteg idztse a fogad oldalon

2.5 Diagnosztika a LIN hlzaton

A LIN diagnosztika olyan eljrsokat definil, melyekkel megvalsthat a diagnosztikai


adatok tovbbtsa mester s a szolga csompontok kztt. A kls teszter egysg s a mester
csompont kzti kommunikci a gerincbuszon (back-bone bus) trtnik, melyen hasznlatos
protokollra nem terjed ki a LIN szabvny (lehet pldul: CAN 3. fejezet). Diagnosztikai
szempontbl hrom csoportba sorolhatk a szolga csompontok:
I. osztly: a norml (jelhordoz) zenetek mellett csupn az nll zenetek (SF)
tmogatottak a Szlltsi rteg szolgltatsaibl. (2.5.2.1 fejezet)
II. s III. osztly: az ide tartoz eszkzk a teljes Szlltsi rteg implementcijt
tartalmazzk, azonban hogy pontosan mely diagnosztikai szolgltatsai tmogatottak,
az a felhasznl/fejleszt ltal kerlnek specifiklsra (ktelez, opcionlis). (2.5.2.2.
s 2.5.2.3. fejezet)

2.5.1 A Szlltsi rteg szolgltatsa

A diagnosztikai zenetek kldsre a Szlltsi rteg szolgltatsai kt esetben kerlhetnek


felhasznlsra:
A teszter diagnosztikai krzenetet akar kldeni egy szolga csompontnak.
Egy szolga csompont kvn diagnosztikai vlaszzenetet kldeni a teszternek.
Fontos kiemelni, hogy a kommunikcit vezrl egysgnek el kell kerlnie azokat az
eseteket, amikor tbb szolga csompont is vlaszolhat egyszerre, hiszen ez az zenetek
tkzst eredmnyezn, amely hibt okoz a LIN hlzaton. Ahogy korbban
megfogalmazsra kerlt, a LIN a hlzati hierarchiban jellemzen alul elhelyezked kis,
alhlzatok esetben alkalmazott. Ebbl kifolylag a teszter egysg mindig a LIN hlzaton
kvl helyezkedik el, s a LIN hlzathoz a mester csomponton keresztl kapcsoldik.

2.32. bra: CAN diagnosztikai krzenet tovbbtsa a LIN hlzatra

A fenti brn (2.32. bra) lthat pldnl egy kls, CAN hlzaton elhelyezked teszter
kri diagnosztikai zenetnek tovbbtst a LIN hlzatra. Ezt kveten a kvetkez brn
(2.33. bra) lthat mdon a szolga csompontok ltal fogadott diagnosztikai krzenetre
adott vlaszt, a mester csompont fogja tovbbtani a teszter egysg fel.
2.33. bra: LIN diagnosztikai vlaszzenet tovbbtsa a CAN hlzatra

Minthogy a LIN hlzat mester csompontja ltalban nagyteljestmny ECU, tmogatja,


vagy legalbb is betartja az ISO 14229-1 protokollban megfogalmazottakat. A diagnosztikai
teszter s a mester csompont egy gerincbusz (back-bone bus) segtsgvel kapcsoldik
egymshoz, gy a mester feladata, hogy az sszes a gerincbuszrl rkez diagnosztikai zenet
krst megcmezze (mivel a teszter egysg erre a funkcira nem kpes) s gy tovbbtsa a
szolga csompontoknak. Emellett a mester csompont feladata mg az egyes szolga
csompontoktl rkez diagnosztikai vlaszzeneteket tovbbtsa a teszternek.
Minden diagnosztikai kr, s vlaszzenet irnytsa (route) trtnhet a Hlzati rtegen
bell (pldul, ha nincs Alkalmazsi rtegbeli tvonalkeress), ha a mester csompont
diagnosztikja s Szlltsi rteg protokollja ezt lehetv teszik. Ekkor ugyanis a mester
csompontnak nem csupn a LIN Szlltsi rteg protokolljt kell kielgtenie, hanem a
gerincbusz Szlltsi rteg protokolljt is (CAN-hez kapcsolds esetn: ISO 15765-2
szabvny).
A szolga csompontok legtbbszr olyan elektronikai eszkzk, melyek kzvetlenl nem
vesznek rszt a magas-szint adatkommunikciban, gy kicsi az ignyk a diagnosztikai
zenetekre, habr a legtbb szolga kld hibajelzseket jelhordoz zenetek felhasznlsval.
A diagnosztikai s a csompont-konfigurcis szolgltatsok ugyanazzal az azonostval
rendelkez zenetet hasznljk (0x3C a mester krzenet, 0x3D a szolga vlaszzenet),
mgis a konfigurcis s diagnosztikai szerepkrk eltrek.
A csompont-konfigurcis szolgltatsokat a mester csompont fggetlenl valstja meg,
mg a diagnosztikai szolgltatsok krst mindig valamilyen kls (off-line) vagy bels (on-
line) tesztegysg kezdemnyezi. Mindkt szolgltats ugyanazokat a csompontcmzsi
(NAD) mdokat s Szlltsi rteget-protokollt hasznlja azzal a kivtellel, hogy a
konfigurcinl nll zenetek (SF) (Single Frame) kerlnek felhasznlsra. Csupn a
szolga csompontok rendelkeznek NAD-al, s az ltaluk kldtt diagnosztikai
vlaszzenetekben a sajt cmk szerepel.
rdemes megemlteni, hogy egy fizikai csomponthoz (egy szolghoz) akr tbb logikai
csompont is rendelhet, s mindegyik logikai csompontnak kln cme (NAD rtke) van.

2.5.2 Diagnosztikai osztlyok

Az emltettek alapjn diagnosztika szempontbl hrom csoportba sorolhatk a szolga


csompontok, ahol a besorols a diagnosztikai funkciik s ezek komplexitsa alapjn
trtnik meg. A tmogatott szolgltatsok krt rszletesen a 2.15. tblzat szemllteti.

2.5.2.1 I. diagnosztikai osztly


Az I. diagnosztikai osztlyba olyan intelligens eszkzk tartoznak, melyeknl nincs szksg
diagnosztikai zenetekre, vagy csupn igen kis mennyisgben. Az aktutor-vezrls, szenzor-
olvass s hibamemria-kezels a mester csompont feladata, melyekhez jelhordoz
zeneteket hasznl fel. Ezrt ilyen eszkzk esetn nem szksges specilis diagnosztikai
funkcik tmogatsa, hiszen a hibajelzs mindig jelhordoz zenet felhasznlsval valsul
meg.
gy a hasznlhat/engedlyezett diagnosztikai zenetek kre leszkl a csompont-
konfigurcira (azaz a 2.13. tblzat szerinti 0xB0-0xB7 SID rtkek hasznlhatk), melyhez
a Szlltsi rteg szolgltatsaibl az nll zenetek (SF) elgsgesek. Semmilyen egyb
diagnosztikai szolgltats nincs tmogatva az I. diagnosztikai osztlyba tartoz eszkzknl.

2.5.2.2 II. diagnosztikai osztly


A II. diagnosztikai osztlyba sorolhat szolga csompontok nagyban hasonltanak az I.
osztlyba sorolt trsaikhoz, csupn azzal a tbbletfunkcival rendelkeznek, hogy biztostanak
csompont-azonost szolgltatst.
A kiterjesztett csompont azonosts (extended node identification) ltalnossgban szksges
az autipari vllaltok alkalmazsaiban. gy ezen esetekben a teszter s mester csompontok az
ISO 14229-1 szabvny diagnosztikai szolgltatsait felhasznlva nyerik ki a kiterjesztett
csompont azonostssal kapcsolatos informcikat.
2.15. tblzat: A diagnosztikai szolgltatsok I, II s III osztly szolga csompontoknl 9

UDS szolgltats
Szolga diagnosztikai osztly I II III
indexe (SID)
Diagnosztikai Szlltsi Rteg Protokoll kvetelmnyek
nll zenet (SF) tmogatsa +
Teljes Szlltsi rteg protokoll + +
Szksges Konfigurcis Szolgltatsok
zenetazonost tartomnynak kijellse + + + 0xB7
Azonost informcik lekrse/olvassa
+ + + 0xB2-0x00
(0 = product id)
Azonost informcik lekrse/olvassa
opcionlis opcionlis + 0xB2-0xXX
(minden egyb informci)
NAD kijellse opcionlis opcionlis opcionlis 0xB0
Feltteles NAD vlts/mdosts opcionlis opcionlis opcionlis 0xB3
Pozitv vlasz a tmogatott konfigurcis
+ + + service+0x40
szolgltatsokra
Szksges UDS Szolgltatsok
Adat olvassa azonostssal: 0x22
hardver s szoftver verzi + + 0x22
hardver cikkszm (OEM specifikus) + + 0x22

diagnosztika verzija + + 0x22


Paramterolvass azonostval + + 0x22
Paramterrs azonostval ha elrhet ha elrhet 0x2E

Munkaszakasz (Session) vezrlse + 0x10


Szenzor s aktutor adatok olvassa
+ 0x22
azonostval
I/O vezrls azonostval + 0x2F
Hibamemria (DTC) olvassa s trlse + 0x19, 0x14
Rutin vezrlse ha elrhet 0x31

Egyb diagnosztikai szolgltatsok ha elrhet


Flash-programozsi szolgltatsok
Flash-programozsi szolgltatsok opcionlis 0x00

Az aktutor-vezrls, szenzor-olvass s hibamemria-kezels tovbbra is a mester


csompont feladata, melyet jelhordoz zenetek segtsgvel valst meg. Teht e
feladatkrkre nem szksges egyb diagnosztikai zenetek definilsa. A Szlltsi rteg

9
Az res cellk a nem elrhet vagy nem tmogatott, mg a + jelek a ktelez szolgltatsokat jellik.
megfelel implementcija lnyeges az sszetett zenetek (multi-frames) tovbbtsa
rdekben.
A szolga csompontok tmogatjk az ISO 14229-1 diagnosztikai szolgltatsokat (2.13.
tblzat), melyek a kvetkezk:
llapot paramterek olvassa (SID 0x22) abban az esetben, ha ez alkalmazhat. Az
llapot paramterek olyan adatokat jelentenek, amelyeket az ECU-k tesznek
elrhetv (pldul: olajhmrsklet, jrm sebessge).
A paramterek rsa (SID 0x2E), ha ez megvalsthat.
A csompont azonosts (SID 0x22) definilsa mr a felhasznl feladata, s az
elvrsoktl eltr lehet a megvalstsa.
Egy a II. osztlyba tartoz csompont kpes I. osztlyba tartoz csompontknt is mkdni
akkor, ha a mester csompont nem tmogatja a II osztly diagnosztikai szolgltatsait. Ekkor
nem ignyel klnsebb beavatkozst a lefokozott szolga csompont. A szolgltatsok
rszletesen az albbi tblzatban (2.15. tblzat) olvashatk.

2.5.2.3 III. diagnosztikai osztly


Az elz diagnosztikai osztlyokhoz kpest a III. osztlyba sorolt eszkzk (szolga
csompontok) rendelkeznek kiterjesztett feladatokkal, felelssggel a sajt, helyi
informcifeldolgozsrt (pldul: helyi rzkel, vagy beavatkoz krk). E szolga
csompontok az alapvet szenzoros/aktutori feladatokon tl vgrehajtanak ms
folyamatokat, ezrt esetkben szksges a kiterjesztett diagnosztikai szolgltatsok
tmogatsa. A III. diagnosztikai osztly eszkzk rendelkeznek bels hibamemrival, s
hozz kapcsold olvas s trl szolgltatsokkal. E csompontok ignyelnek egy klnll
NAD rtket a LIN klaszteren bell. Emellett a teljes Szlltsi rteg szksges
implementcija szksges, az sszetett zenet (multi-frame) tovbbts tmogatsa
rdekben.
rtelemszeren a III osztlybeli eszkzk tmogatjk a II. osztly eszkzknl elrhet
szolgltatsokat, valamint ezen tlmenen az elz tblzatban (2.15. tblzat)
megfogalmazottakat.

2.5.3 Mester csompont kvetelmnyei

Ha csak I. osztlyba tartoz csompontok vannak az adott LIN klaszteren bell, akkor az alap
LIN konfigurci elgsges, teht a mester csompontnak nem szksges a teljes
diagnosztikai Szlltsi rteg protokollt tartalmaznia.
Ha mr II. vagy III. osztlyba tartoz csompontok is megtallhatk egy klaszteren bell,
akkor a mester csompont szmra elengedhetetlen a teljes LIN Szlltsi rteg
implementlsa.
Az I s a II. diagnosztikai osztlyba tartoz szolga csompontok biztostanak jelhordoz
zenet alap hibakldsi, szenzorelrsi s I/O egysg elrsi lehetsgeket. A mester
csompont feladata a fogadott hibazenetek s a hozzjuk rendelt Diagnosztikai hibakdok,
rvidtve a DTC-k (Diagnostic Trouble Code) kezelse. Ez kzvetlenl a teszterhez
kapcsold UDS azaz Egysges Diagnosztikai Szolgltats (Unified Diagnostic Service)
krseket szolglja ki, s gy funkcionl, mint egy diagnosztikai alkalmazsi rteg tjr
(gateway). Az UDS szolgltatsok pedig elrst biztostanak a LIN buszon lv szenzorok s
aktutorok jeleihez.
A III. diagnosztikai osztlyba tartoz szolga csompontok mr nll diagnosztikai
entitsoknak felelnek meg. Ezen csompontok diagnosztikai kpessgeinek rdekben a
mester csompont nem implementl egyb diagnosztikai szolgltatsokat.

2.5.4 Felhasznl ltal definilt diagnosztika


Tekintettel a fent lert hrom diagnosztikai osztlyon tl elkpzelhet egyb diagnosztikai
zenetek definilsa egy mg kihasznlatlan (cm)tartomnyon. E tartomnyba akkor
tartoznak bele az zenetek, ha els adatbjtjuk 128 (0x80) s 255 (0xFF) kz esik (2.11.
tblzat). A felhasznl ltal definilt diagnosztikai zenetek ignye azon alapul, hogy:
elfordulnak nem szabvnyostott zenetek,
lehetnek indokolt fellvezrlsek, mivel egy terv mindig specilisan egy adott
szksglet kielgtsre van optimalizlva.
E felhasznl ltal definilt diagnosztika nem szabvnyostott, gy a LIN 2.2A specifikcija
bvebben nem rszletezi.

2.5.5 A jelalap diagnosztika kvetelmnyei

Elfordulhatnak olyan esetek, amikor a szolga csompontok nem rendelkeznek olyan


hibamemrival, melyet kpes kzvetlenl elrni egy kls teszter eszkz a diagnosztikai
protokoll segtsgvel. Ekkor implementlhat a szolga csompontokra (I s II. osztly
esetn) az jelhordoz zenet alap diagnosztika.
Ktfle hibatovbbts lehetsges jelhordoz zenetek segtsgvel:
Egy mr ltez jelbe kdolva periodikusan kzvettett hibainformcik
felhasznlsval (pldul: egy jel fels bitjei specilis hiballapotokat jeleznek).
Olyan komponensek szmra, melyek nem generlnak periodikus jelet, elrhet a nem
periodikusan tovbbtott informci (pldul: szolga csompont bels hibja).
Mivel az els (periodikus) csoportba tartoz hibk felhasznli eset specifikusak s az
OEM 10ek ltal definiltak, gy nincsenek lergztve a LIN szabvnyon bell.
Azonban a msodik (nem periodikus) csoportba sorolhat szolga csompontokra (melyek
helyileg kpesek a hibk rzkelsre s ezeket nem tovbbtjk alaprtelmezetten jelhordoz
zenetekkel) nzve implementlva kell lennie a jelhordoz zenet alap hibatovbbtsnak.
Minden egyes olyan hibhoz clszer egy hiballapot jelet (failure status signal)
hozzrendelni, mely egy elklntett DTC-t (Diagnostic Trouble Code) hozna ltre a mester
csomponton bell. Minden egyes szolga csompont jelhordoz zenetek felhasznlsval
tovbbtja azon hiballapot jeleit a mester csompontnak, melyeket megfigyel. A hiballapot
jelben tallhat informcinak tartalmaznia kell azon lehetsges llapotokat, melyek a szolga
csompont komponenseinl elfordulhatnak:

2.16. tblzat: Jelalap hiballapotok

Lers
nincs elrhet teszteredmny, alaprtelmezett rtk, kezdeti rtk
teszteredmny: sikertelen
teszteredmny: sikeres

A 2.16. tblzatban olvashat informcik hivatottak jelezni a mester csompontnak az egyes


komponensekben elfordul hibkat, melyekkel trstott DTC-ket a mester csompont
elraktroz.
Arra clszer trekedni, hogy minden egyes helyettesthet komponensre legyen definilva
legalbb egy jel a karbantarts s javtsok egyszerstse, gyorstsa rdekben. Ha egy
szolga tbb mint egy fggetlen funkcit lt el, akkor javasolt minden egyes funkcihoz egy
kln hiballapot jel hozzrendelse.

2.5.6 Szlltsi protokoll kezelse a LIN mester csompontnl


E fejezetben az temezs s temezs kezelse kerl bemutatsra, amely nlklzhetetlen a
szolga csompontokkal lebonyoltand diagnosztikai kommunikcihoz. A LIN hlzat

10
Original Equipment Manufacturer: Olyan vllalatok gyjtneve, melyek az eredeti gyrttl vsrolt
termkeket sajt termkkbe ptve, s sajt nven adnak tovbb.
mester csompontja kezeli az temezst s ennek rszeknt a diagnosztikai zenetek
tovbbtst. A mester csompont egyarnt magba foglalja a LIN klaszteren bell zajl, s a
gerincbuszon trtn kommunikci megvalstsrt felels kt Szlltsi rteg protokollt,
melyekkel a mester csompont irnyt szerepet kap a diagnosztikban.

2.5.6.1 Funkcionlis csompontcm


A Szlltsi rteg definil egy specilis funkcionlis NAD rtket (0x7E) a diagnosztikai
krzenetek kzvettsre. A zenetszrsi NAD (broadcast NAD) (0x7F) szerepkre nem
terjed ki a diagnosztikra, hiszen a mester csompont erre krt vlasza tkzseket idzne el
a LIN hlzaton.

2.5.6.2 Diagnosztikai mester krzenet temezse


A diagnosztikai szolgltatsokat tmogat mester csompontnak van egy diagnosztikai
krzeneteket temez tblzata, mely sorai tartalmazzk az nll mester krzeneteket
(single master request frame).
Az emltett temez tblzat akkor kerl hasznlatba, amikor egy diagnosztikai mester
krzenet elkldsre kerl. Termszetesen ezen zenet elkldse eltt, s azt kveten,
norml kommunikcihoz hasznlatos temez tblzat van hasznlatban. Teht a
diagnosztika hatssal van a norml temez tblzatok teljes idztsre, hiszen ekkor a norml
kommunikci felfggesztsre kerl, ahogy ez a 2.34. bra bal oldaln lthat.

2.34. bra: Diagnosztikai mester krzenet (balra) s szolga vlaszzenet (jobbra) kzbeiktatsa
a norml temez feladatok kz
2.5.6.3 Diagnosztikai szolga vlaszzenet temezse
A diagnosztikai szolgltatsokat tmogat mester csompontnak az elzekben
emltetteken kvl van egy diagnosztikai szolga vlaszokat temez tblzata, melynek
sorai magukba foglaljk a lehetsges nll szolga vlaszzeneteket (single slave response
frame).
A diagnosztikai szolga vlaszokra rvnyes temez tblzatot szintn a norml
kommunikcit irnyt temez tblzatok kz kell beiktatni minden olyan esetben, amikor
egy szolga csompont diagnosztikai vlaszzenetet kld. Az elz fejezetben emltett mester
csompontnl bemutatott hats az idztsre itt is rvnyes, amely a 2.34. bra jobb oldaln
lthat.

2.5.6.4 Diagnosztikai zemezs elvgzse


Norml kommunikci esetn, amikor nincs diagnosztikai kommunikci, nem trtnik
diagnosztikai temez tblzatok iktatsa, mely viselkeds a mester csompontok norml
(zemi) mkdsvel megegyezik.
A mester csompont kt temezsi mdszert tmogathat:
Felfggesztses Diagnosztikai Md (Interleaved Diagnostic Mode) (ktelez)
Csak Diagnosztikai Md (Diagnostic-Only-Mode) (opcionlis)

2.5.6.4.1 Felfggesztses Diagnosztikai Md


Diagnosztikai temezs elvgzsnl a mester csompont elsknt befejezi az aktulisan
fut norml kommunikcis temezst, s ezt kveten vlt t a krt diagnosztikai temezsre
a diagnosztikai zenetek tovbbtsa rdekben. A kvetkez diagnosztikai temezs eltt a
mester csompont jra hasznlatba helyezi a norml kommunikcihoz szksges temezst.
Ez a Felfggesztses Diagnosztikai Md, amely az alaprtelmezett belltsa a mester
csompontoknak. E mdot hasznlva biztostottnak kell lennie az OEM specifikus
diagnosztikai kvetelmnyeknek megfelel idszeletnek a diagnosztikai rszfeladatok kztt.
2.35. bra: Norml diagnosztikai kommunikci (Felfggesztses Diagnosztikai Md temezse)

A diagnosztikai mester krzeneteket temez tblzat meghvsnak gyakorisga szorosan


sszefgg a tovbbtand diagnosztikai adat mennyisgvel, amelyet elre le kell rgzteni a
mester csompont Szlltsi rteg protokolljban (Pldul: 2 meghvs 10 felhasznli
adatbjt tovbbtst teszi lehetv).
A diagnosztikai szolga vlaszzeneteket temez tblzatok esetn fellp felfggeszts
mrtke a kldend vlaszzenet adatmennyisgtl fgg. E felfggeszts idejt a mester
csompont biztostja egszen addig, amg a fogads sikeresen be nem fejezdik, vagy egy
Szlltsi rteg protokollban bekvetkez idtllps nem rkezik.
Amikor egy szolga csompont elkezdi sugrozni diagnosztikai vlaszzenett a mester
csompontnak a diagnosztikai temezst kell kzbeiktatnia, mg akkor is, ha egyes fejlcekre
adott vlaszok mg nem rkeztek meg. Ez addig rvnyes, amg meg nem jelenik:
egy P2max/P2*max idtllps (2.5.8 fejezet), vagy
egy Szlltsi rteg protokoll idtllps (2.4.4 fejezet).
2.36. bra: Diagnosztikai szolga vlaszzeneteket temez tblzat

2.5.6.4.2 Csak Diagnosztikai Md


A mester csompontnl a Csak Diagnosztikai Md opcionlisan implementlsra kerlhet,
amely csupn diagnosztikai kommunikcit jelent, norml kommunikcis temezst
mellzve. A diagnosztikai zenetek kldsnek alapelve hasonl a Felfggesztses
Diagnosztikai Mdhoz, azzal a kivtellel, hogy nincs norml kommunikcis temezs a
diagnosztikai temez tblzatok kztt.
E md clja az optimalizlt diagnosztikai adattovbbts (pldul: szolga csompont
azonostsa, vagy flash-programozs kzben). E mdban elfordul klnbz eseteket a
2.37. bra mutatja be.

2.37. bra: Csak Diagnosztika Md felhasznli esetei


A Csak Diagnosztika Md engedlyezhet s letilthat diagnosztikai szolgltats
krzenettel a kls teszter egysg fell (pldul: a Kommunikci Vezrlsi szolgltats az
UDS-ben a norml kommunikci letiltsra a LIN klaszteren azt eredmnyezi, hogy
aktivldik a Csak Diagnosztika Md).
Csak Diagnosztika Mdban, ha nincs aktv adattovbbts, akkor a mester csompont vgig
diagnosztikai szolga vlaszzeneteket temez tblzatokat futtat.

2.5.7 tvitelkezel kvetelmnyei


A mester csompontnak kell rendelkeznie az tvitelkezel (transmission handler) szksges
implementciival, melynek mkdst (llapotgpt) a 2.38. bra mutatja be. Az
tvitelkezel tartalmazza a csompont diagnosztikai szempontbl lehetsges llapotait s
llapottmeneteit, s feladata az ezek kztti vltsok kezelse.
A mester csompontra implementlva kell lennie annyi tvitelkezelnek, ahny LIN
klaszterhez kapcsoldik a mester csompont. E kezelk egymstl fggetlenl mkdnek.
Az tvitelkezel kpes Felfggesztett Diagnosztikai-, s Csak Diagnosztikai Mdban is
zemelni. Megjegyzend, hogy a kijelents felttelezi, hogy klaszterenknt van legalbb egy
aktv mester-szolga fizikai kapcsolat s ltezik valamilyen adattovbbts.
Egy mester csompont esetn, ha ez fizikailag kialaktsra kerlt, mindig van lehetsg tbb
LIN klaszterbe sugrzsra, nem szmolva a jelenleg aktv kapcsolatokkal. Egy klaszteren
bell megfogalmazott kommunikcis megktsek miatt az albbi kommunikcis
elgondolsok nem tmogatottak:
Vlaszzenetek a szolga csompontoktl egy funkcionlis krzenetre. Ezt gy
kerlik el, hogy a kls teszter eszkz biztostja, hogy a funkcionlis krzenetek
nem ignyelnek vlaszt (Pldul: a TesterPresent krs egy 1-re lltott Pozitv
vlaszzenetet elnyom jelz flag segtsgvel). Msklnben a felfggesztett
funkcionlis krs megsemmisti a szolga vlaszzenetet, ahogy tbb szolga
csompont is prbl vlaszt kldeni.
Aszinkron klds/fogads a szolga csompontoktl, amely prioritsos krsektl
mentes (azonban e megkts Csak Diagnosztikai Mdban thghat felhasznl
specifikus implementci esetn).

2.5.7.1 Mester csompont tvitelkezelje


Az emltettek alapjn teht a mester csompont tartalmazza az tvitelkezel implementcijt,
s attl fggetlenl, hogy a mester csompont Felfggesztses Diagnosztikai Mdban, vagy
Csak Diagnosztikai Mdban mkdik, a 2.38. bra lthat implementci rvnyes, azonban
az llapotok a kt mdnl kis mrtkben eltrek.
Az albbi mester llapotok mindkt diagnosztikai md estn elfordulnak:
Ttlen (Idle) (Vrakozsi) llapot:
A mester csompont se nem kezdemnyez adst, se nem fogad berkez zeneteket a
LIN hlzatrl, csupn a gerincbuszon (pldul: CAN) rkez krseket kpes
fogadni.
Tx funkcionlis aktv llapot:
Ha a mester csompont ebben az llapotban van, akkor ppen funkcionlis cmzst
vgez/irnyt (route) a gerincbusztl a klaszter fel. Ez csakis egy nll zenettel
(SF) valsthat meg, a Szlltsi rteg-protokoll megktseinek betartsval.
Tx fizikai aktv llapot:
Ebben az llapotban a mester csompont ppen kld egy a gerincbuszrl rkez
jelek alapjn egy konkrt szolga csompont szmra megcmezett adatot (fizikai
cmzs). Ekkor a mester csompont llapota: elfoglalt. gy nem kpes egyb
adatirnytsi (route) feladatok vgrehajtsra, mg ha a gerincbuszrl ilyen irny
krsek is rkeznnek. Emellett a mr berkezett szolga diagnosztikai vlaszzeneteket
sem kpes a gerincbuszra kikldeni/tovbbtani.
Rx fizikai aktv llapot:
Ekkor a mester csompont egy szolga csomponttl berkezett vlaszzenetet irnyt a
gerincbusz fel. Emellett lehetsges a funkcionlisan cmzett krsek tovbbtsa a
LIN hlzatra, azonban tovbbi fizikai tvitel kezelse a szolga csompontok fel mr
nem lehetsges.
Funkcionlis felfggesztett Tx fizikai aktv alatt:
Ebben az llapotban egy szolga csompont fel adatirnyts folyik, amikor is a
mester csompont elvgzi a berkez funkcionlis cmzsi krseket (melyek a
gerincbuszrl rkeznek a LIN klaszter fel). Funkcionlisan cmzett nll zenetek
(SF) tovbbthatk, de az aktv szolga csompont ezeket figyelmen kvl hagyja, amg
a fizikailag cmzett adst rzkeli.
Funkcionlis felfggesztett Rx fizikai aktv llapot:
Ebben az llapotban egy szolga csomponttl rkez adatok fogadsa folyik, amikor is
a mester csompont elvgzi a berkez funkcionlis cmzsi krseket (melyek a
gerincbuszrl rkeznek a LIN klaszter fel). Funkcionlisan cmzett nll zenetek
(SF) tovbbthatk, de az aktv szolga csompont ezeket figyelmen kvl hagyja, amg
a fizikailag cmzett vlaszklds folyik.

2.38. bra: Mester csompont tvitelkezelje

A fenti brn (2.38. bra) szerepl 14 darab llapottmenet lersa:


1. Ttlen llapotbl Tx fizikai aktv llapotba
Induls: Fizikai ads indul a gerincbuszon (a tesztertl) a szolgk fel.
Hats: Diagnosztikai mester krzeneteket temez tblzat indtsa s a Szlltsi
rteg-protokoll kezelse.
2. Tx fizikai aktv llapotbl Ttlen llapotba
Felttel: A fizikai adattovbbts irnytsa a gerincbuszrl a klaszter fel
befejezdtt, vagy egy Szlltsi rtegbeli adsi hiba (pldul: idtllps)
jelentkezett a gerincbuszon.
Tevkenysg: A mester krzeneteket temez tblzatok befejezse.
3. Tx fizikai aktv llapotbl Tx fizikai aktv llapotbl
Felttel: A fizikai adattovbbts irnytsa a gerincbusztl a szolga csompontig
jelenleg is folyamatban van (pldul: van mg egyb irnytsra vr adat).
Tevkenysg: A mester krzeneteket temez tblzat futtatsnak folytatsa, s a
Szlltsi rteg-protokoll kezelse (azaz adattovbbts irnytsa (route) a
gerincbusz s a szolga csompontok kztt)
4. Tx fizikai aktv llapotbl Funkcionlis felfggesztett Tx fizikai aktv llapotba
Felttel: Funkcionlisan cmzett krs fogadsa a gerincbusz fell.
Tevkenysg: Szolga csompont fel foly fizikai tovbbts megszaktsa, s az
nll mester krzenet temezsnek elvgzse, a funkcionlisan cmzett krs
tovbbtsa a klaszter fel.
5. Funkcionlis felfggesztett Tx fizikai aktv llapotbl Tx fizikai aktv llapotba
Felttel: A funkcionlisan cmzett krs irnytsa (route) a klaszter fel befejezdtt.
Tevkenysg: A szolga csompont fel folytatott s elbbiekben megszaktott
kommunikci (klds) folytatsa.
6. Tx fizikai aktv llapotbl Rx fizikai aktv llapotba
Felttel: A szolga csompont fel foly fizikai tovbbts sikeresen befejezdtt.
Tevkenysg: A mester krzeneteket temez tblzat futtatsnak befejezse, s a
szolga vlaszzeneteket temez tblzat futtatsa, valamint az elzleg megcmzett
szolga csomponttl berkez vlasz kezelse.
7. Rx fizikai aktv llapotbl Rx fizikai aktv llapotba
Felttel: Szolga csompont vlasza mg nem indult el, vagy mg nem fejezdtt be.
Tevkenysg: A szolga vlaszzeneteket zemez tblzat s a LIN Szlltsi rteg-
protokoll kezelse (a szolga vlaszzenet tovbbtsnak irnytsa a gerincbuszra).
8. Rx fizikai aktv llapotbl Funkcionlis felfggesztett Rx fizikai aktv llapotba
Felttel: Funkcionlisan cmzett krzenet fogadsa a gerincbuszrl.
Tevkenysg: A szolga csompont vlaszzenetnek megszaktsa, valamint az
nll mester krzenetet temez tblzat beiktatsa s a funkcionlisan cmzett
krs tovbbtsa a klaszter fel.
9. Funkcionlis felfggesztett Rx fizikai aktv llapotbl Rx fizikai aktv llapotba
Felttel: A funkcionlisan cmzett krs irnytsa (route) a klaszter fel befejezdtt.
Tevkenysg: A szolga vlaszzeneteket zemez tblzat jraindtsa s az
elzekben megszaktott fogads folytatsa a szolga csompont fell.
10. Rx fizikai aktv llapotbl Ttlen llapotba
Felttel: A szolga csompont fell trtn fogads befejezdtt, vagy a gerincbuszon
egy a Szlltsi protokollon belli hiba megjelense, vagy idtllps
(P2max/P2*max) jelentkezse. (A negatv vlasz kd 0x78 kezelse alapjn, amely
az ISO 15765-3 szabvnyban van definilva).
Tevkenysg: A szolga vlaszzenetek temezsnek befejezse.
11. Ttlen llapotbl Tx funkcionlis aktv llapotba
Felttel: Funkcionlisan cmzett krs fogadsa a gerincbusz fell.
Tevkenysg: Az nll mester krzenetet temez tblzat indtsa a
funkcionlisan cmzett krsek elkldsre a klaszter fel.
12. Tx funkcionlis aktv llapotbl Ttlen llapotba
Felttel: A funkcionlisan cmzett krs irnytsa (route) a klaszter fel befejezdtt.
Tevkenysg: A mester krzenetek temezsnek befejezse.
13. Ttlen llapotbl Ttlen llapotba
Felttel: Nincs fizikai adattovbbts-irnyts a gerincbuszon semelyik irnyba (sem
a klaszter fel, sem a diagnosztikai teszter egysg fel).
Tevkenysg: Felfggesztses Diagnosztikai Mdban a mester kr-, s a szolga
vlaszokat temez tblzatok iktatsa sznetel. Csak Diagnosztikai Mdban a
szolga vlaszzenetet zemez tblzatok indtsa.
14. Ttlen llapotbl Rx fizikai aktv llapotba
Felttel: A szolga csompont megindtotta a vlaszzenetnek tovbbtst az egyik
szolga vlaszzenetet temez tblzat alapjn.
Tevkenysg: A szolga csompont fell berkez vlasz kezelse s az
adattovbbts irnytsnak megkezdse a gerincbusz irnyba.

2.5.7.2 Szolga csompont tvitelkezelje


Minden szolga csompontra implementlva van egy tvitelkezel, melynek llapotgpt
mutatja az 2.39. bra. A szolga tvitelkezeljnek clja a diagnosztikai kommunikci
tkzsmentes megvalstsa a LIN klaszteren bell.
A diagnosztikai kommunikci sorn norml esetben az zenetszrsi NAD nem hasznlatos.
Ha ez mgis megtrtnne, a szolga csompont fel fogja dolgozni az zenetszrsi NAD
rtkkel (0x7F) kldtt krzenetet gy, mintha az a sajt NAD rtkvel lett volna
elkldve. Megjegyzs: az zenetszrsi NAD rtke 0x7F, mg a funkcionlis NAD rtke
0x7E.
Az albbi szolga diagnosztikai llapotok fordulhatnak el:
Ttlen (Idle) llapot:
A szolga csompont se nem kezdemnyez adst se nem fogad berkez zeneteket a
LIN hlzatrl. Kvetkezetesen elrhet minden a mester csompont fell rkez
mester krzenet szmra. A szolga csompont nem vlaszol szolga
vlaszzenetekre.
Fizikai krs fogadsa:
A szolga csompont fogadja s feldolgozza a mester csomponttl rkez zenetet.
Ekkor a szolga figyelmen kvl hagy minden funkcionlisan cmzett adst a mester
csomponttl.

2.39. bra: Szolga csompont tvitelkezelje

Fizikai vlasz kldse:


Ebben az llapotban a szolga csompont mg feldolgozza az elzleg fogadott
krzenetet, kszen ll a fizikai vlaszzenet elkldsre, vagy mr folyamatban van
a vlaszzenet kldse. A szolga csompont nem fogad s nem is dolgoz fel
felfggesztses funkcionlisan cmzett (NAD 0x7E) adst. Azonban a fizikai
adattvitelt fogadnia kell, s ez el fogja nyomni a jelenlegi kr-, vagy vlaszzenetet.
Ha e fizikai krzenet a szolga csompontnak van cmezve, akkor feldolgozza, s
vlaszol r.
Funkcionlis krs fogadsa
Ebben az llapotban a szolga csompont ppen egy funkcionlis krsre vlaszol.
Megjegyzs: a szolga csompont nem vlaszol ms szolga vlaszzenetre.
A fenti brn (2.39. bra) szerepl 10 darab llapottmenet lersa:
1. Ttlen (Idle) llapotbl Fizikai krst fogad llapotba
Felttel: A mester csompont krzenett kezdi el forgalmazni, s az zenet NAD
rtke egyezik a szolga csompont sajt NAD rtkvel.
Tevkenysg: A fizikai krzenet fogadsa s feldolgozsa a Szlltsi rteg
kvetelmnyeit kielgtve.
2. Fizikai krst fogad llapotbl Ttlen llapotba
Felttel: Hiba a Szlltsi rtegben, vagy a fogadott mester krzenetnek NAD
rtke eltr a szolga csompont sajt NAD rtktl.
Tevkenysg: A krs fogadsnak s feldolgozsnak megszntetse. Nem vlaszol
szolga vlaszzenetekre.
3. Fizikai krst fogad llapotbl Fizikai krst fogad llapotba
Felttel: A fizikai krzenet fogadsa nem fejezdtt be teljesen, s a mester
krzenet NAD rtke azonos a szolga csompont sajt NAD rtkvel.
Tevkenysg: A krs fogadsnak s feldolgozsnak folytatsa.
4. Fizikai krst fogad llapotblFizikai vlaszt kld llapotba
Felttel: A fizikai krzenet fogadsa befejezdtt.
Tevkenysg: Diagnosztikai krs feldolgozsa. Ha a feldolgozs kzben egy j
fizikai krzenet rkezik a szolga sajt NAD rtkvel, a szolga csompont
eldobja/elveti a jelenlegi kr-, vagy vlaszzenetet s fogadja az j mester
krzenetet.
5. Fizikai vlaszt kld llapotbl Fizikai vlaszt kld llapotba
Felttel: A fizikai vlaszzenet kldse mg nem fejezdtt be teljesen. A berkez
funkcionlisan cmzett krsek figyelmen kvl vannak hagyva.
Tevkenysg: A szolga vlaszzenetekre trtn vlasz kldsnek folytatsa a
Szlltsi rteg kvetelmnyeinek megfelelen.
Megjegyzs: Egy szolga csompont nem fog feldolgozni funkcionlisan cmzett
krst, amg fizikai kld llapotban van. Ezrt a kls tesztegysg ltal
biztostottnak kell lennie, hogy funkcionlisan cmzett krsek (amelyet minden
szolga egysgnek fel kell/kellene dolgoznia) csak akkor kerlnek kldsre, ha nem
vrhat/nincs fggben lv tovbbi vlasz egyetlen szolga csomponttl sem.
Msklnben nincs garancija s nem is jelzi semmi a kls tesztegysg fel, hogy
egy szolga csompont feldolgozta-e a funkcionlis krst.
6. Fizikai vlaszt kld llapotbl Ttlen llapotba
Felttel: A fizikai vlaszzenet elkldse megtrtnt, LIN Szlltsi rteg hiba
jelentkezett, vagy egy olyan krzenet rkezik be, melynek NAD rtke eltr a
szolga csompont sajt NAD rtktl.
Tevkenysg: A kr-, s vlaszzenetek adatainak elvetse. Szolga vlaszzenetekre
val vlasz lelltsa.
7. Ttlen llapotbl Funkcionlis krst fogad llapotba
Felttel: Egy mester krzenet rkezik, melynek NAD paramtere egyezik a
funkcionlis NAD rtkvel.
Tevkenysg: A mester krzenet fogadsa s feldolgozsa a Szlltsi rtegben
megfogalmazott szablyok szerint. Nem vlaszol szolga vlaszzenet fejlcekre.
8. Funkcionlis krst fogad llapotbl Ttlen llapotba
Felttel: A funkcionlis krzenet feldolgozsa megtrtnt.
Tevkenysg: Minden ms vlaszzenet elvetse. A szolga vlaszzenetekre val
vlasz lelltsa.
9. Ttlen llapotbl Ttlen llapotba
Felttel: Nincs fogadsban lv kr-, sem kldsben lv vlaszzenet.
Tevkenysg: Nem vlaszol semmilyen szolga vlsz zenetre.
10. Fizikai vlaszt kld llapotbl Fizikai krst fogad llapotba
Felttel: Az elz krs feldolgozsa folyamatban van, s egy diagnosztikai mester
krzenet rkezik be, a szolga csomponttal megegyez rtk NAD mezvel.
Tevkenysg: Az ppen fut vlaszzenetben lv adat elvetse, majd az j fizikai
krs fogadsa, feldolgozsa kerl sorra LIN Szlltsi protokoll kvetelmnyeinek
megfelelen.

2.5.8 Szolga diagnosztika idztsi kvetelmnyei

E fejezetrsz foglalkozik a LIN klaszter tervezse sorn szmtsba veend idztsi


paramterek kvetelmnyeivel. Az idztsi paramterek figyelse, I. s II. diagnosztikai
osztlyba tartoz eszkzk esetn, a mester csompontba kell, hogy implementlva legyen.
III. osztly eszkzk estn az implementci a szolga csompontoknl szksges.
A diagnosztikai kommunikci idztsi sor diagramjt mutatja az 2.40. bra, ahol szerepl
teszter eszkz szemlltetse s mkdse csupn egy plda a lehetsges esetek kzl.
2.40. bra: Diagnosztikai kommunikci esetn (a teszter egysg fell a LIN hlzat fel egy
gerincbusz segtsgvel) az idztsi sor diagramja
2.17. tblzat: A diagnosztikai kommunikcinl elfordul idztsek

min. rtk/
Paramt rintett teljestmny max. rtk/
Lers
er eszkz kvetelmn idtllps
y
Idklnbsg a diagnosztikai krs utols
zenetnek fogadsa s azon idpont kztt,
mester amikor a szolga csompont a vlaszzenet
P2 csom- feltltshez szksges adatot mr biztostotta. 50ms 500ms
pont A maximum rtke definilja azt az idt,
ameddig a szolga csompontnak vlaszolnia
kell, mieltt mg eldobn a vlaszt. 11

Az a legkisebb id, amelyre a szolga


mester csompontnak szksge van, hogy felkszljn
STmin csom- a kvetkez diagnosztikai krzenet 0ms N/A 12
pont fogadsra, vagy a kvetkez diagnosztikai
vlaszzenet kldsre.11
Idklnbsg egy NRC 0x78 kldse, s azon
mester
idpont kztt, amikor a szolga csompont a
P2* csom- P2 2000ms
vlaszzenet feltltshez szksges adatot
pont
mr biztostotta.

11
Minden szolga csompont definilja a sajt minimlis rtkt az NCF (Node Capability Language)
Specifikciban.
12
Not Applicable: A szabvnyban nem elrhet, nincs definilva az rtke.
3 CAN: Controller Area Network
A 80-as vek elejn a Bosch mrnkei megvizsgltk a ltez hlzati protokollokat a
szemlyautkban trtn felhasznlhatsguk szempontjbl. Mivel az akkor hasznlatos
hlzati protokollok kzl egyet sem talltak megfelelnek, 1983-ban j buszrendszer
tervezst kezdtk meg, valamint hozz ill hlzati protokoll fejlesztsbe fogtak. F cljuk
a vezetkek szmnak cskkentse s a biztonsg nvelse volt, melyeket az 1986-os13
megszletsekor a CAN (Controller Area Network) protokoll sikeresen alkalmazott.
Napjainkra a CAN szles krben elterjedt kommunikcis protokoll vlt az ipar szmtalan
terletn. Ennek ksznheten nagyon sok cg gyrt s forgalmaz hardver s szoftver
eszkzket a CAN-hez kapcsoldan.

3.1 A CAN protokoll jellemzi s felptse

A CAN olyan hlzatot reprezentl, amelyben a vezrleszkzket (pl.: mikrokontrollerek,


DSP) egy soros buszrendszer kti ssze, ezltal alkalmas elosztott irnyt rendszerek
megvalstsra. A vezrleszkzk a hlzat csompontjai (node), amelyek
zenetkeretekkel/zenetekkel (message frame) kommuniklnak egymssal. Az zenetkeret
fajtik szerint tbbfle felptssel s klnfle mezkkel rendelkezhetnek (3.3.1 fejezet).

Vilgts Klma
Motor Blokkols Elektromos
vezrls gtl zr

Mszerfal

Lgzsk
tvitel- Aktv fel- Elektromos
vezrl fggeszts Elektromosan ablakemel
llthat ls

3.1. bra: A CAN eltt alkalmazott rendszerstruktra

A rendszer kifejlesztst az motivlta, hogy az ignyek nvekedsvel, az egymssal


kommunikl elektronikus eszkzk illetve csompontok szma egyre ntt a klnbz

13
1986-ban a detroiti SAE kongresszuson Automotive Serial Controller Area Network nven mutattk be
elszr.
rendszereken (jrmvek, hajk, gyrtsorok, replgpek) bell. A CAN megjelense eltt
ezeket a csompontokat kzvetlenl ktttk ssze, ami a vezetkek bonyolult rendszert
eredmnyezte (3.1. bra). Ezt a kzvetlen sszekttetsen alapul hlzatok tbbsgt
felvltotta a CAN, melynek kialaktst az 3.2. bra szemllteti.

Motor Blokkols Elektromos


Vilgts Klma
vezrls gtl zr

CAN CAN CAN CAN CAN

Nagysebessg CAN bus C C Kissebessg CAN bus


A Mszerfal A
(High Speed CAN) N N (Low Speed CAN)

CAN CAN CAN CAN CAN

tvitel- Aktv fel- Elektromosan Elektromos


Lgzsk
vezrl fggeszts llthat ls ablakemel

3.2. bra: CAN alkalmazsval elll rendszerfelpts

3.1.1 A CAN protokoll jellemzi

3.1.1.1 Tbb-mester (Multimaster)


Nincs kivlasztott busz-vezrl (bus master), minden csompont teljesen egyenrang,
kpes az zeneteit nllan, brmely msik csompont segtsge nlkl tovbbtani az
adatbuszon (data bus), ha az felszabadult. Egy csompont lellsa esetn az egsz rendszer
nem vlik mkdskptelenn, csak a teljestkpessge cskken.

3.1.1.2 zenetkzpontsg
Az zenetek azonostsa nem a kld vagy a fogad csompont cme alapjn trtnik
(mint ltalban a tbbi buszrendszernl), hanem egyedi azonost (identifier) alapjn, amit az
zenetek a hordozott informci fontossga szerint kapnak. gy az zenet azonostja
(Azonost mezeje) hatrozza meg az zenet prioritst, valamint kzvetlenl szerepet jtszik
a buszrt val versengs eldntsben is. E versengsi folyamat az arbitrci (arbitration)
(3.2.3 fejezet).

3.1.1.3 Nem-destruktv arbitrcis mechanizmus


A CAN n. prioritsos CSMA/CD+CR 14 mdiaelrsi technikt hasznl. Az adatbuszt
elrni kvn csompontok vrnak a busz felszabadulsig, majd megkezdik a

14
Vivjel rzkelses tbbszrs hozzfrs tkzsrzkelssel, (Carrier Sense, Multiple Access/Collision
Detection + Contention-Resolution).
kommunikcit, amely egy specilis zenet kezdete bittel (3.3.1.1 fejezet) indul s egyben
szinkronizlja az sszes kommunikcis partnert. Ezutn trtnik az zenetazonost
tovbbtsa. Tbb partner egyidej adsi szndka esetn ebben a szakaszban trtnik az
tkzs feloldsa, bitszint arbitrcival. Ezt a technikt nem-destruktv arbitrcis
mechanizmusnak (non-destructive arbitration) nevezzk, mivel a vesztes csompont gy
mond le busz-ignyrl, hogy emiatt az tvitt magasabb priorits zenet nem srl. Ez
annyit jelent, hogy mindennem ksleltets nlkl a legmagasabb priorits zenet
tovbbtdik a buszon.

3.1.1.4 zenetszrs (Broadcast)


A CAN buszon telefonkonferencia szer kommunikci zajlik. Ahogy a telefonkonferencia
brmely rsztvevje szabadon elmondhatja a mondanivaljt, amelyet minden ms rsztvev
hall, ppgy brmelyik csompont elkldheti az zenett a CAN buszon, s azt minden egyes,
ugyanarra a buszra csatlakoz csompont megkapja. Azonban a bemondott informci csak
akkor rtelmezhet, ha egyidben csak egy rsztvev beszl. Hogy ppen melyik
konferenciatag ez a kivltsg, azaz melyik csompont hasznlhatja a buszt a sajt zenete
elkldsre, azt az arbitrci folyamata hivatott eldnteni.
A csompontok az zenetazonostk alapjn dntik el, hogy pufferelik-e azt ksbbi
kirtkels cljbl, vagy figyelmen kvl hagyjk zenetszrs (message filtering) ltal. Az
zenetszrt a felhasznli alkalmazs lltja be. (3.2.2.1.1 fejezet)

3.1.1.5 Esemnyvezrelt
A kommunikci adott esemny bekvetkezsnek (j informci generldott egy
csompontban) hatsra kezddik el. Az j zenettel rendelkez csompont maga kezdi meg
az tvitelt. gy jelents kommunikcis idt takart meg pldul azokhoz a rendszerekhez
kpest, amelyekben a csompontok minden ciklusban adott idszelettel rendelkeznek,
melyben az j informcijukat elkldhetik. Ugyanis ez esetben, ha nincs j informcija egy
csompontnak, akkor ez az idszelet krba vsz, mg esetlegesen egy msik, j informcival
rendelkez eszkznek vrnia kell, amg sorra kerl.
Lehetsg van ciklikus informcicserre is, ekkor bels ra, vagy egy msik csompont
kezdemnyezi a kommunikcit (ISO 11898-4: 3.1.3 fejezet).

3.1.1.6 Tvoli vlaszkrs


Az esemnyvezrelt kommunikcit kiegsztve a CAN lehetsget biztost n. tvoli
vlaszkr zenetek kldsre. Ezek segtsgvel egy fogad csompont krheti a szmra
szksges informci elkldst a megfelel kld csomponttl. A krs, s a vlasz kln
zenetet kpez. (3.3.1.2 fejezet) Fleg a csompontok llapotnak (aktv/inaktv)
lekrdezsre hasznljk ezt a technikt.

3.1.1.7 Rugalmassg
A csompontokat dinamikusan rkapcsolhatjuk, illetve levlaszthatjuk a buszrl anlkl,
hogy a tbbi csompont kommunikcijt zavarnnk, gy a rendszer rugalmasan alakthat.
Egy rendszeren bell 32 csompont lehet szabvnyos buszmeghajtk esetn, valamint
64-128 darab lehet alkalmazs-specifikus meghajtk esetn.
zenetek szma a rendszerben: Standard zenetformtum esetn 211 (= 2048),
Kiterjesztett zenetformtum esetn 229 (=536 870 912) darab klnbz azonostj
zenet lehetsges.
Adatmennyisg zenetenknt: 0-8 bjt. Ezek a rvid zenetek elegendk a
jrmvekben valamint begyazott illetve automatizlt gyrt rendszerekben trtn
kommunikcihoz, s egyben garantljk a lehet legrvidebb buszelrsi idt a nagy
priorits zenetek szmra, valamint ers zavars kzegben trtn kommunikci
esetn a zavar jellel val sszetkzs kisebb valsznsgt.
Maximlis zenethossz: beszrt bitekkel (3.2.4.1 fejezet) egytt 117 bit standard
zenetformtum esetn, 136 bit kiterjesztett zenetformtum esetn.
Bitrta: 5kbit/s s 1Mbit/s kztt programozhat (a buszhossztl fggen).

3.1.1.8 Vals idej megvalsthatsgi lehetsg


Az adattovbbts maximlis sebessge 1Mbit/s (40m-es buszhossznl), az zenetek
rvidek, a ksleltetsi id maximlva van, az arbitrci (3.2.3 fejezet) pedig gyors. Az utbbi
tulajdonsgok alkalmass teszik a CAN rendszert a vals idej esemnyek (pl.: ABS,
motorvezrls) irnytsra.

3.1.1.9 Alacsony kltsg


A kivitelezshez szksges eszkzkre nagy igny van az ipar klnbz terletein, ezrt a
sorozatgyrts alacsony rat s kedvez teljestmny-r viszonyt eredmnyez. A csavart rpr,
amelyet a CAN rendszereknl a leggyakrabban hasznlnak, szintn olcs, mert ez az egyik
leggyakoribb buszfajta. A rendszer zemeltetsi kltsgnek cskkentse rdekben a
csompontok tllhatnak n. alv llapotba (sleep mode), amely azt jelenti, hogy bels
aktivitsuk megsznik s lekapcsoljk a buszmeghajtkat, ezltal cskkentve a rendszer
ramfogyasztst. Az alv llapot kveti az n. bredsi fzist-t (wake-up), aminek
kvetkeztben a bels aktivits jra indul. A rendszernek lehetsge van arra, hogy egy
specilis azonostval rendelkez zenet elkldsvel aktivljon egy csompontot.

3.1.1.10 Megbzhatsg
Kifinomult hibadetektl s hibakezel mechanizmusokkal rendelkezik, mint pldul:
15 bites, 6-os Hamming-tvolsg CRC-vel (Cyclic Redundancy Check), amely 5
hibs bit felismerst teszi lehetv zenetenknt.
Nem rendszeres hibk helyrelltsa a hibs zenetek automatikus jrakldsvel.
Ismtld hibk kikszblse a hibs csompont kikapcsolsval, ami
determinisztikuss teszi a rendszer esetleges hibk utni helyrellsnak idejt.
Az elektromgneses interferencikra alacsony az rzkenysge.
A rendszer garantlja, hogy a kld-csompont ltal elkldtt adatok megegyeznek a fogad-
csompontok ltal fogadott adatokkal. tlagos terhels mellett statisztikailag 1000 v alatt
egy olyan hiba fordul el, amelyet a rendszer nem szlel.

3.1.1.11 Hiba detektls a kommunikcis mdium szintjn


A CAN vezrlk (CAN controller) sok fajta vezetkhibt ismernek, s definilnak:
szakads, testzrlat, egyb zrlatok. A protokoll nem rja le, hogy mi a teend a fenti hibk
esetn, de az jabb CAN vezrlkben legalbb a fenti esetek egyiknek kezelse
implementlva van.

3.1.1.12 Nyugtzs
Az zenetek globlis Nyugtz mezvel (Acknowledgement field) (3.3.1.1.6 fejezet)
rendelkeznek, amely jelzi a kld csompontnak, hogy legalbb egy kommunikcis
partnerhez hibtlanul megrkezett az zenet. gy a kld informcit kap arrl, hogy mg a
buszhoz van-e csatlakoztatva, vagy sem. Az zenetszrs-jelleg zenettovbbts
kvetkeztben minden csompont nyugtz jellel vlaszol, ha nem szleltek hibt.

3.1.1.13 Teljes rendszerre nzve konzisztens zenettvitel


A rendszer minden egyes elkldtt zenetre garantlja, hogy azt vagy minden csompont
elfogadja, vagy minden csompont elutastja. Ha legalbb egy vev hibt szlel a fogads
sorn, akkor egy Hibazenettel (Error frame) (3.3.1.3 fejezet) rgtn megszaktja az tvitelt,
s jelzi a tbbi fogad llomsnak, hogy hagyjk figyelmen kvl az zenetet, a kldnek
pedig, hogy kldje el ismt azt. Ez eredmnyezi a teljes zenet-konzisztencit a rendszerben,
azaz vagy minden egyes csompont megkapja ugyanazt az informcit ugyanabban az
idpillanatban, vagy egyik sem. Ez az elosztott rendszerekben igen fontos tulajdonsg, mivel
gy garantlhat, hogy a klnbz mikrokontrollerek ne dolgozzanak ugyanahhoz a
vltozhoz tartoz eltr adatokon egyidben.

3.1.1.14 Csompontok kztti szinkronizci


zenetek sikeres kldse s fogadsa utn az sszes rsztvev csompontban egy
megszakts (interrupt) generldik, amit fel lehet hasznlni az elosztott vezrlrendszer
rinak belltshoz. Az rk szinkronizltsga elengedhetetlen felttele az elosztott vals
idej alkalmazsok mkdsnek.

3.1.1.15 Szles eszkzvlasztk


Manapsg a legtbb mikrokontroller gyrt (a legnevesebbek pl. Intel, Motorola, Siemens,
Philips) knlatban megtallhatk CAN chipek is, amelyek a nagy vlasztk s az rverseny
miatt meglehetsen olcsn beszerezhetk, s gy gyorsan elterjednek.

3.1.2 A CAN alkalmazsi terletei


A fentieket sszefoglalva teht megllapthatjuk, hogy a CAN ra alacsony, a kiptett
rendszer megbzhat, s vals idej krnyezetben is alkalmazhat, flexibilis protokoll. Ezen
igen elnys tulajdonsgokat figyelembe vve rthet, hogyan vlhatott az autipari s az
ipari automatizlsi alkalmazsok terletn napjaink vezet protokolljv, amely egyre jabb
s jabb terleteket hdt meg, mint pldul orvosi elektronika, hztartsi eszkzk,
pletautomatizls, irodai automatizls, vasti rendszerek, hajzs, mezgazdasgi gpek,
replgp-elektronika, PLC 15, robotvezrls, intelligens motorvezrls, valamint
rtechnolgia. Teht a CAN igen szles krben hasznlt rendszer, mely egyre jabb s jabb
terleteket hdt meg.
1998-ban 31 milli, 1999-ben 57 milli j CAN csompontot helyeztek zembe vilgszerte. A
2001-es vben ez a szm meghaladta a 200 millit, majd 2003-ban a 350 millit [14].
A globlis elterjedshez s hasznlhatsghoz, a kompatibilitsi problmk elkerlshez
azonban szksg volt a protokoll szabvnyostsra.

15
Programozhat logikai vezrl (Programmable Logic Controllers)
3.1.3 Szabvnyosts

Hrom vvel az els CAN vezrl chipek megjelense 16 utn, 1990-ben, a Bosch fle CAN
specifikcit nemzetkzi szabvnyostsra nyjtottk be. gy szletett meg az ISO
(International Standardization Organization) s a SAE (Society of Automotive Engineers)
egyttmkdse sorn az ISO 11898 nemzetkzi szabvny. A klnbz megoldsok
egysgestshez, valamint a CAN tovbbi technikai fejldsnek biztostshoz szksg volt
egy felhasznlkbl s gyrtkbl ll semleges platformra. 1992 mrciusban
hivatalosan is megalakult a CAN in Automation (CiA) nemzetkzi felhasznli s gyrti
csoport. A CiA munkja sorn leszktette a legals OSI rteg specifikcijt vezetk,
csatlakoz s Ad-vev chip (Transceiver chip) ajnlsra, kidolgozta a CAL-t (CAN
Application Layer), amely az ISO/OSI referencia modellhez kpest a CAN-bl addig hinyz
Alkalmazsi rteget ptolja. Ksbb olyan tovbbi CAN Alkalmazsi rtegek kidolgozsval
foglalkoztak, mint a SDS (Smart Distributed System), DeviceNet stb.
1993-ra megjelent az ISO 11898-as CAN szabvny, amely a protokoll 11 bites azonostj,
standard formtum zenetein tl a Fizikai rteget is definilja, 1Mbit/s-os tviteli sebessgig
(CAN Specification 1.2).
Az zenetek fajtinak nvekedsvel szksgess vlt a 29 bites azonostj, kiterjesztett
formtum zenetek (3.3.1 fejezet) specifiklsa, melyet a CAN Specification 2.0 definil,
amelyet az ISO 11898 kiegsztseknt rgztettek. Maga a CAN Specifikci 2.0 a Bosch
mrnkei ltal mr 1991 szeptemberben megalkotsra kerlt, s az albbi kt f fejezetbl
valamint fggelkbl ll:
CAN Specifikci 2.0 A fejezet (Part A), amely csak a standard formtum
zeneteket definilja. Ez magba foglalja a korbbi CAN Specifikci 1.2-t (CAN
Specification 1.2).
CAN Specifikci 2.0 B fejezet (Part B), pedig a standard s a kiterjesztett
formtum zeneteket egyttesen specifiklja.
CAN Specifikci 2.0 Fggelk tmutatst ad arra vonatkozlag, hogy hogyan
rdemes megvalstani a CAN protokollt gy, hogy megfeleljen a szabvny A vagy B
fejezetben lertaknak.
Az tdolgozott CAN specifikcik szabvnyostsa napjainkban is folyik. Az albbi
szabvnyokat a kvetkez feladatkrkre dolgoztk ki:

16
1987: Intel 82526, nem sokkal ksbb: Philips 82C200
ISO 11898-1: a CAN Adatkapcsolati rtegnek s a fizikai jelterjedsnek (physical
signaling) a lersa.
ISO 11898-2: a CAN nagysebessg Fizikai rtegt jellemzi, amely leginkbb az
autiparban s ipari vezrlseknl hasznlatos (two-wire balanced signaling).
ISO 11898-3: a CAN alacsony sebessg, hibatr Fizikai rtegt rgzti.
ISO 11898-4: a CAN idvezrelt kommunikcija (TTCAN = Time-Triggered CAN),
ahol a CAN Adatkapcsolati rtegben tallhat rendszerra temezi az zeneteket
(messages).
ISO 11898-5: a CAN nagysebessg Fizikai rtegnek lersa alacsony energiaszint
mdban (Low-Power Mode).
ISO 11898-6: a CAN nagysebessg Fizikai rtegnek lersa szelektv felbreszt
funkci (selective wake-up function) esetn.
ISO 11992-1: a teherautkra, trlerekre szabott CAN protokoll lersa.
ISO 11783-2: 250kbit/s bitsebessg mezgazdasgi szabvny
SAE J1939-11: 250kbit/s bitsebessg rnykolt csavart rpr (STP Shielded
Twisted Pair) kzeg lersa.
SAE J1939-15: 250kbit/s bitsebessg rnykolatlan csavart rpr (UTP
Unshielded Twisted Pair) kzeg lersa, cskkentett rteggel.
SAE J2411: Egyvezetkes CAN (SWC Single-Wire CAN) megvalstsnak lersa.

3.1.4 A CAN protokoll felptse


Az adatcsert az ISO/OSI referencia modellbl megismert mdon egymsra pl rtegek
valstjk meg. Minden rteg a kzvetlenl alatta elhelyezked rteg szolgltatsait
felhasznlva szolgltatsokat nyjt a felette lv rtegnek. A valsgban a kommunikci
fgglegesen, logikailag viszont vzszintesen trtnik. Minden rteg a trsentitsval
kommunikl, azaz a tvoli rendszer azonos magassgban elhelyezked rtegvel. Ezt az
elkldeni kvnt adat megfelel becsomagolsval (megfelel kerettel ltja el azt) s lejjebb
kldsvel teszi meg, egszen a Fizikai rtegig. A fogad rtegek felfel tovbbtjk az
adatot, minden szinten kicsomagolva azt. Ezzel az elgondolssal a rtegek a feladataik alapjn
tisztn elklnthetk egymstl. Minden rteg csak a kzvetlen als s fels szomszdjait
ismeri, s tovbbtja azok zeneteit mdosts s feldolgozs nlkl.
A CAN az ISO/OSI referencia modell ht rtegbl hrmat definil, a tervezs tlthatsga,
valamint a megvalsts hatkonysga s rugalmassga rdekben (3.3. bra). A CAN
protokoll a Fizikai s az Adatkapcsolati rteget definilja, amelyet kiegszthetnek magasabb
szint protokollok, melyeket az alkalmazsi rteg r le. Ilyen magasabb rend protokollok:
CANOpen
Device Net
Smart Distributed Systems (SDS)
J1939
CAN Application Layer (CAL)
CANKingdom
OSEK/VDX 17

Alkalmazsi rteg Adat objektumok Alkalmazsi rteg


/Application layer/ /Application layer/

Adatkapcsolati rteg Adatkapcsolati rteg


/Data link layer/ /Data link layer/

Objektum alrteg Objektum alrteg


/Object sublayer/ Azonost+Adat /Object sublayer/

tviteli alrteg tviteli alrteg


/Transfer sublayer/ /Transfer sublayer/

Recesszv
Fizikai rteg Fizikai rteg
/Physical layer/ Dominns /Physical layer/

CAN busz

3.3. bra: A CAN protokoll felptse a CAN Specifikci 2.0 alapjn

3.1.4.1 Fizikai rteg


A Fizikai rteg (3.2 fejezet) felels a csompontok kztti tnyleges jeltovbbtsrt (bitek
tovbbtsa). A digitlis jelek analgg (s vissza) alaktsn kvl ez a rteg vgzi a busz
paramtereinek megfelel bit idztst s szinkronizlst. A Fizikai rtegeknek az egsz
hlzaton bell azonosaknak kell lennik.

17
OSEK: Open Systems and their Interfaces for the Electronics in Motor Vehicles. A rvidts valjban nmet
szavakbl szrmazik, gy a K a Kraftfahrzeugen (Motor Vehicles) szbl. VDX: Vehicle Distributed eXecutive.
3.1.4.2 Adatkapcsolati rteg
A CAN Adatkapcsolati rtege (3.3 fejezet) a CAN Specifikci 2.0 B rsze alapjn Logikai
kapcsolatvezrlsre (Logical Link Control) s Kzeghozzfrs vezrlsre (Medium Access
Control) bonthat. Illetve a CAN Specifikci 1.2 (2.0 A) alapjn a CAN Adatkapcsolati rtege
Objektum alrtegre (Object sublayer) s tviteli alrtegre (Transfer sublayer) bonthat.
Az Alkalmazsi s Adatkapcsolati rteg kztti interfszt kpezi az Objektum alrteg,
melynek feladata a busz fell kapott zenetek szrse (message filtering), azaz definilt
felttelek alapjn eldnti, melyeket fogadja el, s melyeket kell elvetnie. Ez a rteg vgzi a
tlcsorduls jelzst (overload notification), s kezeli a hiballapotok felismerst, s a helyes
mkds visszalltst.
Az tviteli alrteg alkotja a CAN protokoll magjt. Ez a rteg vgzi el a megfelel keretek
alkotst, vezrli az arbitrcit, felismeri, jelzi s megsznteti a hibkat. Az n. hiba
elszigetel entits (Fault Confinement) felgyeli az tviteli alrteg mkdst, ennek
segtsgvel lehetsges az lland meghibsodsok megklnbztetse az egyedi, ritkn
fellp hibktl. Valamint e rteg dnti el, hogy vteli vagy adsi folyamat indul-e.

Alkalmazsi rteg Adat objektumok Alkalmazsi rteg


/Application layer/ /Application layer/

Adatkapcsolati rteg Adatkapcsolati rteg


/Data link layer/ /Data link layer/

Objektum alrteg Objektum alrteg


/Object sublayer/ Azonost+Adat /Object sublayer/

tviteli alrteg tviteli alrteg


/Transfer sublayer/ /Transfer sublayer/

Recesszv
Fizikai rteg Fizikai rteg
/Physical layer/ Dominns /Physical layer/

CAN busz

3.4. bra: A CAN protokoll felptse a CAN Specifikci 1.2 alapjn


3.2 A CAN protokoll Fizikai rtege

A Fizikai rtegnek egy-egy CAN hlzat egszre nzve azonosnak kell lennie. A CAN
szabvny nem tesz kiktst a fizikai mdium tpusra, de jelenleg a csavart rpron trtn
adattvitel a legelterjedtebb, amit az ISO 11898 definil. A kt vezetken tvitt jelek
klnbsgei hatrozzk meg a busz logikai llapott. Az egyiket CAN_magas (CAN_H), a
msikat CAN_alacsony (CAN_L) vezetknek nevezzk, a klnbsgi jel eljelnek
megfelelen. A busz mindkt vgt ellenllssal kell lezrni, hogy a vezetkek vgrl
trtn jelvisszaverds elkerlhet legyen. A lezr ellenlls ajnlott nagysga 120
(minimum 108, maximum 132). Ennek a megvalstsnak ksznheten a rendszer
rzketlen az elektromgneses zavarsokra, valamint egyes zrlatok illetve szakads okozta
hibk esetn egyvezetkes mdban tovbbra is mkdkpes marad.

3.2.1 CAN busz felptse


A CAN busz s a csompontok a logikai jelszinteket tekintve gyakorlatilag az albbi
huzalozott-S (wired-AND) konfigurcinak megfelelen viselkednek (3.5. bra).

3.5. bra: Logikai szintek huzalozott-S szerkezet megvalstsa

A csompontok logikai 1-est tovbbthatnak a tranzisztor kikapcsolsval (U0 feszltsg


mrhet buszvezetken), illetve logikai 0-t a tranzisztor bekapcsolsval (0V a
buszvezetken). Ezt az elrendezst azrt nevezzk huzalozott-S konfigurcinak, mert
ahhoz, hogy logikai 1-es jelenjen meg a buszon, minden egyes csompontnak logikai 1-est
kell tovbbtania. Ms megfogalmazsban: ha akr csak egyetlen csompont logikai 0-t tesz a
buszra, akkor a busz logikai 0 llapotba kerl. Ez az oka, hogy a CAN rendszerben a 0-s bitet
nevezzk dominnsnak (dominant), s az 1-est recesszvnek (recessive).
3.2.2 CAN csompont felptse

Egy ltalnos CAN csompont architektrt szemlltet az 3.6. bra, ahol a CAN ad-vev
terminl (Transceiver) teremti meg a kapcsolatot a CAN busz s a CAN protokollvezrl
(CAN protocol controller) kztt. A CAN vezrl lehet a mikrokontrollerbe gyazott, illetve
attl klnll.

Lezr
Vcc Gnd
ellenlls

Klds
Mikro- TxD CAN CAN_A
kontroller CAN CAN_alacsony
Fogads Ad-vev
protokoll- CAN_M
RxD terminl
(HOST vezrl
CPU) 100 nF
+5V CAN_magas

Lezr ellenlls

3.6. bra: CAN csompont architektrk

Az RxD (Received Data) s TxD (Transmitted Data) jelek sorosan tovbbtdnak, a CAN
protokoll vezrl ezeket hasznlva tovbbtja az informciit. A CAN ad-vev terminl a
TxD jeleket alaktja t a busz differencilis jeleiv, illetve a busz-jeleket fordtja le a CAN
protokoll vezrl szmra rtelmezhet soros jelfolyamm (RxD). Bizonyos vezrlkben
ezeket a jeleket nem a fldpotencilhoz, hanem egy adott referencia-feszltsghez hasonltjk.
Ez esetben 4 vonalra van szksg, Tx0, Rx0 (az ad-vev illetve a kontroller oldali referencia-
feszltsgre ktve), valamint Tx1 s Rx1 (jelvezetkek).
Az elz esetben megismert kzvetlen elektromos csatols helyett lehetsg van optikai
csatols hasznlatra is (3.7. bra), gy a CAN protokoll vezrl elektromosan elszigetelhet a
kommunikcis hlzattl, ezltal megvhat a buszon esetlegesen keletkez
tlfeszltsgektl s kialakul potencilklnbsgektl.
3.7. bra: Optikai csatolval megvalstott sszekttets

3.2.2.1 A CAN protokollvezrlk csoportostsa


Ahny fle gyrt, annyi fle CAN protokoll implementci tallhat manapsg az
autiparban. A klnbz protokollvezrl megvalstsokat a kvetkez szempontok szerint
lehet osztlyozni:
Az implementci sorn alkalmazott puffer stratgia/zenetszrs szerint lehet
BasicCAN vezrlrl (BasicCAN controller), valamint FullCAN vezrlrl (FullCAN
controller) beszlni.
Az zenetek Azonost mezejnek hossza alapjn lehetsges Standard CAN illetve
Extended CAN megvalsts.
A CAN integrltsgi foka alapjn lteznek klnll CAN vezrlk (Stand-alone CAN
controller) s begyazott CAN vezrlk (Embedded CAN controller).

3.2.2.1.1 zenetszrs szerint


A BasicCAN s a FullCAN vezrlk kztt a klnbsget a pufferbe rs eltti zenetszrs
(message filtering) jelenti, mely kizrlag az zenet Azonost mezeje alapjn trtnik. A
puffer interfszknt szolgl a fogadott zenetet elrni kvn folyamat szmra.
Ezen megfontolsok alapjn a mai implementcik az zenetpufferek szmban klnbznek,
habr az jabb FullCAN megvalstsok egyben kpesek BasicCAN mdban is mkdni.
BasicCAN vezrl:
A BasicCAN vezrlknek 1-3 Kld pufferk lehet a kimen zenetek kldsre, s 1 vagy 2
Fogad pufferk van a bejv zenetek fogadsra.
CAN protokoll-
Kld puffer (1..3 db)
vezrl
Host interfsz a
host CPU-hoz
Fogad puffer (1..2 db) zenetszrs

3.8. bra: BasicCAN vezrl

A BasicCAN felpts interfsz egyfajta asszociatv memria-szrknt mkdik. Egy


Elfogadsi mintbl (Arbitrcis regiszter) s egy Elfogadsi maszkbl (Maszkregiszter) ll
(3.8. bra), melyeket a felhasznl llt be a BasicCAN interfsz konfigurlsa sorn. Minden
zenet Azonost mezejt sszehasonltja a CAN vezrl az Arbitrcis regiszterrel, s
figyelmen kvl hagyja a maszk ltal kiszrt biteket (3.9. bra). Ha pldul a Maszkregiszter
minden bitje 1-esre van lltva, akkor csak egyfle Azonost mezvel rendelkez zenet lesz
elfogadva. Ha a Maszkregiszter n-edik bitje 1, akkor sszehasonltja a berkezett zenet
Azonost mezejnek n-edik bitjt az Arbitrcis regiszter n-edik bitjvel. Ha azonban a
Maszkregiszter n-edik bitje 0, akkor nem trdik vele (dont care). Ha az zenet Azonost
mezeje s az Arbitrcis regiszter bitenknt megegyezik amely biteknl a
Maszkregiszterben sszehasonlts van akkor a csompont fogadja s eltrolja az zenetet
egy pufferbe, vagy kiszri, azaz nem fogadja (belltsfgg).
Arbitrcis regiszter 1 0 0 1 1 0 0 0 1 1 1 0 0 1 1

Maszkregiszter 1 1 1 1 0 0 0 1 1 1 0 0 0 0 0

Berkezett zenet 1 1 0 0 1 x x x 0 1 1 x x x x x
elfogadva

Berkezett zenet 2 1 0 0 0 x x x 0 1 1 x x x x x
kiszrve

Berkezett zenet 3 1 1 0 1 x x x 1 1 1 x x x x x
kiszrve

X lehet 0 vagy 1
sszehasonltott bitek Nem sszehasonltott sszehasonltott bitek Nem sszehasonltott
bitek bitek

3.9. bra: Az zenetek szrse

A belltsoknak megfelel eltrolt zenetek teht a fogad puffer n. fogadsi azonost/adat


regiszterben vannak, ahol a mikrokontroller azonnal elrheti ket. A BasicCAN leggyakoribb
implementcija a FIFO (First-In-First-Out) 18 szervezs, mivel tbb zenet is megfelelhet a
maszknak, s vrhat kztes trolsra a feldolgozs eltt.
Minden zenet elfogadsakor a puffer-vezrl egy megszaktssal figyelmezteti a
mikrokontrollert az j informci feldolgozsra. Ha a mikrokontroller tl lass, tlcsorduls
kvetkezhet be a fogad pufferben, ez figyelmeztet jelzst generl. A BasicCAN sajtossga,
hogy a fogad puffer tartalmn a kiolvass utn a mikrokontroller mg utlagos szrst
vgez, hiszen a maszkbl s mintbl ll pros nem hatrozza meg egyrtelmen az
elfogadand zeneteket. Ezt megteheti, mert a pufferben az adatok az azonostjukkal egytt
troldnak. gy egy hardverszrvel s az utlagos szrssel tetszleges szm virtulis
fogad puffer valsthat meg. Ez minimalizlja a hardver komplexitst. Kldskor csak egy
puffert hasznl a BasicCAN, ebbe rja bele az elkldend adatokat, mieltt tnylegesen
elindtan a kldsi folyamatot.

18
A kiolvass a bers sorrendjben trtnik, az elbb bert adatok elbb kerlnek feldolgozsra.
Az Adatkr zenetre trtn Adathordoz zenet elkldst is a kzponti egysgnek kell
kezelnie, gy magas bitsebessg esetn nagyon leterhelt, ezrt a BasicCAN vezrl hasznlata
csak korltozott szm zenettpus kezelse esetn, alacsony bitsebessg mellett ajnlott.
FullCAN vezrl:

CAN protokoll-
zenetobjektum 1.
vezrl
Host interfsz a
host CPU-hoz
zenetobjektum 2. zenetszrs

...
zenetobjektum n.

3.10. bra: FullCAN vezrl

Elkpzelve egy olyan BasicCAN megvalstst, ahol a fogadott zenetazonost maszk


teljesen ttetsz, gy belthat, hogy ebben az esetben nincs szksg a maszkot megvalst
regiszterre. Teht minden egyes elfogadsi mintnak csak egy zenet felel meg, gy nincs
szksg utlagos szrsre. Azonban tbb puffer regisztert kell implementlni a hardverben,
ezeket zenetobjektumoknak (message object) nevezzk. A FullCAN vezrlk meghatrozott
szm (ltalban 15 vagy 16) zenetobjektumot tartalmaznak, melyek mindegyike egy
meghatrozott azonostj zenetet trol. Az zenetobjektumok felprogramozhatk
fogadnak, vagy kldnek is, mely a rendszer flexibilitst biztostja.
Ha a CAN protokoll vezrl kap egy zenetet, s az zenetazonostja egyezik valamelyik
zenetobjektum azonostjval, akkor eltrolja ebben az zenetobjektumban s ezt egy
megszaktssal (interrupt) jelzi a kzponti egysg fel. A msik elnye az BasicCAN
vezrlvel szemben, hogy az Adatkr zeneteket a FullCAN vezrl automatikusan lekezeli.
Ezltal nem okoz problmt magas bitsebessg a nagyszm, klnbz tpus zenetek
hatkony kezelse.
Sok FullCAN vezrl rendelkezik egy olyan zenetobjektummal, amely gy viselkedik, mint a
BasicCAN vezrl egy fogad puffere. Ezen zenetobjektumra a BasicCAN bekezdsben
lertak szerint zenetszrs alkalmazhat. Ez a tulajdonsg klnsen hasznos akkor, ha az
zenetobjektumok szma kevsnek bizonyul. (3.11. bra)
Host interfsz a zenetobjektum 1
host CPU-hoz CAN
protokoll-
vezrl
zenetszrs zenetobjektum 2

...
zenetobjektum n

Fogad puffer(ek)

3.11. bra: FullCAN vezrl fogad pufferrel kiegsztve

3.2.2.1.2 Azonost mez hossza alapjn


A CAN hlzaton az zenet formtumt az Azonost mez hossza dnti el. Ha az zenet
Azonost mezeje 11 bites akkor standard formtum-, ha viszont 29 bites, akkor kiterjesztett
formtum zenetrl van sz.
A CAN protokollvezrlk a protokoll verzik szerint 3 csoportba sorolhatk:
CAN V2.0A eszkzk/modulok: Ezek a CAN 2.0A fejezetben lert Standard
formtum zeneteket tudnak kldeni s fogadni, viszont CAN 2.0B fejezetben lert
Kiterjesztett formtum zenetek esetn Hibazenetet generlnak.
CAN V2.0B Passzv eszkzk/modulok: Ezek a CAN 2.0A fejezetben lert Standard
formtum zeneteket tudnak kldeni s fogadni, s CAN 2.0B fejezetben lert
Kiterjesztett formtum zenetek esetn nem generlnak Hibazenetet.
CAN V2.0B Aktv eszkzk/modulok: Ezek a CAN 2.0A fejezetben lert Standard
formtum zeneteket s a CAN 2.0B fejezetben lert Kiterjesztett formtum
zeneteket is tudnak kldeni s fogadni.

3.2.2.1.3 Az integrltsg foka alapjn


A CAN protokollvezrlk kezdetben n. klnll CAN vezrlegysgek voltak. Mind a
busztl, mind a kzponti egysgtl jl el voltak klntve, gy a felhasznl szabadon
kombinlhatta a neki tetsz mikrokontrollert s CAN vezrlt.
Nhny vvel ksbb megjelentek a begyazott CAN vezrlk, melyeknl a vezrl mr
integrlva volt a mikrokontrollerbe. Elnyeik kztt rdemes megemlteni:
a kzponti vezrl knnyebben elrheti a puffereket
a kisebb szilcium mretet
a nagyobb megbzhatsgot
s az alacsonyabb kltsget.
Ma azonban mr a begyazott CAN vezrlknek is olyan szles vlasztka ll rendelkezsre,
hogy minden felhasznl megtallhatja az alkalmazsnak megfelel kombincit.

3.2.2.2 CAN csatlakoz


A szabvny nem tesz megktst a hasznland csatlakoz tpusra nzve, de a CiA DS102
defincija szerint ajnlott a DIN41652 szabvnynak megfelel 9-ts D-Sub csatlakoz
alkalmazsa. A DS102 tartalmazza a csatlakoz tkiosztst (3.12. bra) is.

3.12. bra: DS102 szerinti csatlakozt-hozzrendels

A 2-es s 7-es szm csatlakoztk a csavart rpr vezetkeinek felelnek meg; a 3-as s 6-os
szm tk (utbbi opcionlis) a fldpotencilok; 1,4,5,8-as szmak foglaltak; a 9-es pedig
opcionlisan a rendszer ramelltst biztostja.

3.2.3 Arbitrci
Az adatok vals idej feldolgozshoz elengedhetetlen az zenetek igen gyors tovbbtsa.
Ehhez nem csak egy nagysebessg fizikai adatt szksges, hanem gyors buszallokls is,
fleg amikor tbb csompont akarja egyszerre megszerezni a buszt.
Hogy ppen melyik CAN csompont hasznlhatja a buszt zenetei elkldsre, azt az
arbitrci folyamata hivatott eldnteni. A CAN rendszerben a csompontok elosztott,
tartalom alap arbitrcit hasznlnak. Mivel a csompontokban az zenet kldst generl
esemnyek nem szinkronizltan kvetkeznek be, gy elfordulhat, hogy tbb csompont
prbl meg egyidejleg kldeni. Azonban egy csompont akkor kezdheti meg zenetnek
tvitelt, ha a busz szabad. A busz akkor tekinthet szabadnak, ha az zenetek utni sznetet
(3.3.1.5. fejezet) nem szaktotta meg dominns bit, vagyis egy keret vgn 11 recesszv bit
rkezett. A kldsre vr zenetek tovbbtsa az zenetek utni sznet mezt kvet biten
kezddnek meg.
Ha tbb csompont kezdi meg egyszerre a kldst, az tkzs feloldsa a CAN keret
Arbitrcis mezeje (3.3.1.1.2 fejezet) alatt zenetrombols nlkl megy vgbe. Ennek kulcsa
az elz fejezetben ismertetett huzalozott-S megvalsts. A huzalozott-S logika
mechanizmusnak megfelelen a dominns szint a logikai 0-nak, a recesszv szint a logikai 1-
nek felel meg. A dominns bit fellrja a recesszv bitet, de ez fordtva nem teljesl.

zenet kezdete bit zenet azonost RTR bit

r r r r r r r r r r r r Recesszv
1. csompont
d d Dominns

r r r r r r r r r r Recesszv
2. csompont
d d d d Dominns

r r r r r r r Recesszv
3. csompont
d d d d d d d Dominns

1. csompont 2. csompont
elveszti az elveszti az
arbitrcit arbitrcit
r r r r r r r Recesszv
Busz szintje
d d d d d d d Dominns

A csompontok ugyanabban az
idpillanatban kezdik meg az
tvitelt

3.13. bra: Az arbitrci folyamata

Az Arbitrci folyamata 19 (3.13. bra) akkor indul el, ha a busz szabad lesz (Szabad busz
mez: 3.3.1.5. fejezet). Minden olyan csompont, amely recesszv bitet kld s dominns
bitet vesz a buszrl, elveszti az arbitrcit. Azok a csompontok, amelyek elvesztik az
arbitrcit, megszaktjk a sajt zenetk kldst s automatikusan fogadiv vlnak annak
az zenetnek, amelynek a legnagyobb a prioritsa a buszrt val versenyben. A megszaktott
zenetek jrakldst addig nem kezdhetik meg a csompontok, amg a busz jra szabadd
nem vlik. A prioritsokat mr a rendszer tervezsekor meg kell hatrozni, mivel ezutn mr

19
Az Arbitrcis mez tovbbtsa.
nem lehet dinamikusan vltoztatni. A prioritst az zenetazonost hatrozza meg, oly mdon,
hogy az minl kisebb binris szm, annl nagyobb az zenet prioritsa.

3.2.4 Bitreprezentci a CAN-en

3.2.4.1 Bitszint meghatrozsa


A bitszint meghatrozsa a CAN_magas s a CAN_alacsony vezetkek feszltsgszint-
klnbsgei alapjn trtnik (3.14. bra).

Feszltsg

Recesszv Dominns Recesszv

3.5V
> 0.9V
2.5V 0.5V 0.5V

1.5V

min. 1s
Id

3.14. bra: Bitszint meghatrozsa

Ha a CAN_magas s a CAN_alacsony vezetk feszltsgszintjei kztt a klnbsg nagyobb,


mint 0.9 V, akkor a bitszint dominns, ha kisebb, mint 0.5V akkor a bitszint recesszv lesz.
Mivel a bitszintet a rendszer a feszltsgklnbsgbl hatrozza meg, ezrt elektromgneses
interferencia ellen amely mind a kt feszltsgszintre egyformn hat vdve van a hlzat.
A vezetk rnykolsval a kls zavarsok hatsa tovbb cskkenthet.

3.2.4.2 Bitkdolsi technikk


A klnbz bitkdolsi, vagy ms nven bitreprezentcis technikk (Manchester, NRZ,
impulzushossz kdols stb.) kztti f eltrst az adja, hogy hny idszelet szksges egy bit
megjelentshez. A CAN nullra vissza nem tr (NRZ = Non-Return-to-Zero) kdolst
hasznl, mivel ez nyjtja a legnagyobb hatkonysgot. Ebben a megkzeltsben a teljes
bitid alatt vltozatlan vagy dominns, vagy recesszv a jelszint. Ezzel szemben pldul a
Manchester-kdolsnl az egy biten belli jelszintvlts miatt kt idegysg szksges
egyetlen bit brzolshoz (3.15. bra).
3.15. bra: Bitreprezentcis technikk

Lthat, hogy az NRZ tmrebb adattvitelt tesz lehetv, azonban mg a Manchester-kdols


esetn minden egyes bit tvitele a jelszintvlts miatt egyben szinkronizci is, addig NRZ
esetn a jelszint az tvitt informcitl fggen hosszabb idre vltozatlanul dominns illetve
recesszv maradhat. Ebben az esetben is szksg van a szinkronizci fenntartsra. Ezt a
feladatot ltja el a bitbeszrs mdszere (3.16. bra). Ez annyit jelent, hogy a kld
csompont t egymst kvet azonos rtk kldend bit utn automatikusan beszr egy
ellenttes rtk bitet, amelyet a fogad csompontok az zenet feldolgozsa eltt
automatikusan kivesznek. Fontos megemlteni, hogy a bitbeszrs mdszere bizonyos
mezkre rvnyesek, azaz a kommunikci sorn nem minden esetben jelent/jelez hibt, ha
tnl tbb azonos jelszint jelenik meg a CAN buszon.
Kdolatlan bitsorozat a kld oldalon

5 azonos szint bit

r r r r r r r r r Recesszv

d d d d d d d Dominns

Kdolt bitsorozat 5 azonos szint bit

r r r r r r r r r r Recesszv

d d d d d d d d Dominns

Dekdolt bitsorozat a vev oldalon

r r r r r r r r r Recesszv

d d d d d d d Dominns

3.16. bra: A CAN bitbeszrsi mdszere

A bitbeszrs (bit stuffing) mdszere rvnyes az zenet kezdete bit, az Arbitrcis, a


Vezrl- s az Adatmezkre, valamint a CRC mezre. A fennmarad mezk (CRC-hatrol,
Nyugtz, zenet vge bit), valamint a Hiba- s Tlcsorduls zenetek tovbbtsa
bitbeszrs nlkl trtnik. (3.3.1. fejezet)

3.2.4.3 Bitidzts
Egy CAN csompont megfelel bitrtn val kommunikcijnak belltshoz fontos
ismerni a CAN specifikciban definilt albbi hrom paramter jelentst:
Nvleges bitrta (NBR = Nominal Bit Rate): az egy msodperc alatt tvitt bitek
szma, amely megfelel a kvnt tviteli bitrtnak.
Nvleges bitid (NBI = Nominal Bit Time):

1
f NBS = (16)
t NBI

A CAN implementcik bitidztse a nvleges bitid paramter rtelmben adand


meg.
Idkvantum: rgztett idegysg, amely az oszcilltor-peridusbl szrmazik. Az
implementcikban elre bellthat egy rtk 1 s 32 kztt, amely azt adja meg,
hogy az idkvantum hnyszorosa a minimlis idkvantumnak, amely megegyezik a
CAN rendszer rajelnek peridusidejvel.
Egy adott bitrta belltshoz szksg van az idkvantumnak, valamint ennek alapjn a
nvleges bitidnek a meghatrozsra. Az NBI ngy darab nem tlapold idszegmenssel
adhat meg:
Szinkronizl szegmens (Synchronization segment) Szink_szeg
Terjedsi idszegmens (Propagation time segment) Terj_szeg
1. szinkron puffer szegmens (Phase buffer segment1) Szink_puff1
2. szinkron puffer szegmens (Phase buffer segment2) Szink_puff2
A hlzat nvleges bitideje a fentiek szerint a kvetkez:

(17)

Minden idszegmens feloszthat idegysgek (Time quantum) tE egszszm tbbszrsre.


Az idszegmensek hossznak programozsval az NBI bellthat a kvnt rtkre, azonban a
Specifikci tesz bizonyos megktseket a szegmensek hosszra.

3.17. bra: CAN bit struktrja

Az idegysg idtartama megegyezik a CAN rendszer rajelnek peridusval, amely a


csompont oszcilltor frekvencijbl lltdik el egy programozhat bitsebessg
elosztval. Minden bit minimum 8, maximum 25 idegysgbl ll. A bitid s ebbl
kvetkezen a bitsebessg az idegysg hossznak, s az idszegmensekben lv idegysgek
szmnak programozsval llthatk be a kvnt rtkre. Egyes CAN modulok
implementlsnl a knnyebb programozhatsg rdekben a Terjedsi idszegmenst s
a Szinkronizl szegmenst egy idszegmensben egyestik, amelyet 1. idszegmensnek
neveznek. A 2. szinkron puffer szegmenst pedig a 2. idszegmensnek hvjk. (3.17. bra)

3.2.4.3.1 Mintavtelezsi pont


A mintavtelezsi pont (sample point) a CAN buszon lv bitnek az a pontja, ahol a buszszint
leolvassa megtrtnik, s amelybl a bit rtke fog generldni. Egy biten bell lehet 1 vagy
3 mintavtelezsi pont. Ha 3 mintavtelezsi pontot van megadva, akkor a bit rtke a
leggyakrabban mintavtelezett rtk lesz. Ez a pont a 1. szinkron puffer szegmens s a 2.
szinkron puffer szegmens illetve az 1. s a 2. idszegmens hatrnl tallhat. A
mintavtelezsi ponttal kezddik az informcifeldolgozsi id (Information processing time),
s addig tart, amg az aktulis bitszint kirtkelse trtnik. (3.17. bra)

3.2.4.3.2 Szinkronizl szegmens


A Szinkronizl szegmens hossza nem programozhat, hanem mindig fixen egy idegysg, a
CAN buszon lv bit els szegmense, s a CAN buszon lv csompontok kztti
szinkronizlsra szolgl. A kld-csompontnak a kvetkez elkldend bit rtknek
kldst a Szinkronizl szegmensen bell kell megkezdenie, illetve ha az elz bit s az
elkldend bit kztt szintvlts van (recesszv szintbl dominns szintbe, vagy fordtva),
akkor a szintvlts lnek a Szinkronizl szegmensbe kell esnie. Az elkldtt bit fogadst a
fogad csompontok a Szinkronizl szegmens alatt kezdik meg. A kldsi-idkss
kvetkeztben a fogad csompontok Szinkronizl szegmense a kld csompont
Szinkronizl szegmenshez kpest ksik. (3.18. bra)

3.2.4.3.3 Terjedsi idszegmens


A Terjedsi szegmens hossza programozhat, 1-8 db idegysgbl llhat, s arra szolgl, hogy
kompenzlja a rendszerbl add fizikai ksleltetst. Mivel a CAN protokoll zenetrombols
nlkli arbitrcit hasznl, s a nyugtzs az zeneten bell trtnik, ezrt minden csompont
miutn elkldte a soron kvetkez bitet, monitorozza az elkldtt bitek logikai
szuperpozcijt. A Terjedsi szegmens azt biztostja, hogy a csompontok legkorbbi
lehetsges mintavtelezsi pontjt addig ksleltesse, hogy az sszes elkldtt bit, amelyet a
kld csompont kldtt, elrjen mindegyik csomponthoz. Hogy ez megvalsulhasson,
ennek a szegmensnek ktszer olyan hossznak kell lennie, mint a buszon lv kt
legtvolabbi csompont kztti jelterjedsi id valamint a kld s fogad csompontok
bels ksleltetseinek sszege.
A 3.18. bra kt csompont kztt lv terjedsi-id ksleltetst mutatja. A csompont ltal
kldtt bitrtket a B csompont tterjeds(A,B) id mlva kapja meg, a B csompont ltal kldtt
bitrtket az A csompont tterjeds(B,A) id mlva kapja meg az A csompont terjedsi
idszegmensnek vge eltt. gy az A csompont is helyesen mintavtelezi a bitrtkt. A B
csompont mg akkor is helyesen fogja mintavtelezni a bitrtkt, ha a B csompont
mintavtelezsi pontja az A csompont ltal kldtt bit utn van a kt csompont kztti
terjedsi-id ksleltets miatt.

A csompont

Szink_szeg Terjedsi idszegmens 1. szinkron puffer szegmens 2. szinkron puffer szegmens

tterjeds(B,A)
tterjeds(A,B)

Szink_szeg Terjedsi idszegmens 1. szinkron puffer szegmens 2. szinkron puffer szegmens

B csompont

3.18. bra: Terjedsi-id ksleltets kt csompont kztt

A tterjeds(A,B) s hasonlkppen a tterjeds(B,A) hrom rszbl tevdik ssze:


Kldsi id ksleltets: tK(A)
Busz ksleltetsi id a kt csompont kztt: tBusz(A,B)
Fogadsi id ksleltets: tF(B)

tterjeds ( A,B ) =
tF( B) + tBusz( A,B) + tK ( A ) (18)

Ahhoz hogy biztostat legyen a helyes mintavtelezs, a Terjedsi idszegmens minimum


rtkt a kvetkezkppen kell megvlasztani:

=
tTerj_szeg tterjeds( A,B) + tterjeds( B,A ) (19)

ahol az A s a B csompont a hlzat kt egymstl legtvolabb lv csompontja azrt, hogy


a kztk lv ksleltets maximlis legyen. A (18) kpletbl addik:

tTerj_szeg =2 ( tF + tBusz + t K ) (20)


ahol tBusz a legnagyobb buszksleltetsi id kt csompont kztt, tF a fogad-csompont
ksleltetse a fizikai interfsz miatt, s tK a kld-csompont ksleltetse a fizikai interfsz
miatt. Ha a tK s a tF nem egysges, akkor a CAN rendszeren belli legnagyobb rtkkel kell
szmolni.
Teht, hogy minimum hny darab idegysget kell hozzrendelni a Terjedsi idszegmenshez,
azt a kvetkez kplettel lehet kiszmolni:

t
Terjedsi idszegmenens = Terj_szeg (21)
tE

3.2.4.3.4 Az 1. s 2. szinkron puffer szegmens


Ez a kt szegmens kompenzlja a szinkron hibkat. Az 1. szinkron puffer szegmens hossza
programozhat. 1-8 idegysgbl llhat, ha egy, 2-8 idegysgbl llhat, ha 3 mintavtelezsi
pont van kijellve bitenknt. A 2. szinkron puffer szegmens hossznak meg kell egyeznie az 1.
szinkron puffer hosszval, viszont ha az 1. szinkron szegmens hossza kisebb, mint az
informcifeldolgozsi id, akkor a 2. szinkron szegmens hossznak legalbb egyenlnek kell
lennie az informcifeldolgozsi idvel. Ez azt is jelenti, hogy a kt szegmens egyttesen nem
lehet hosszabb idtartam, mint az informcifeldolgozsi id ktszerese.

3.2.4.4 Bitsebessg s a buszhossz viszonya


A kommunikcis vonalakon terjed jelek sebessgre vonatkoz fizikai korltok miatt (rz
vezetkben az elektromos hullm terjedsi ideje megkzeltleg 20cm/ns), valamint a CAN
bitszint arbitrcija miatt, ahogy a busz hossza n, gy cskken a megengedett maximlis
tviteli sebessg. E jelensget szemllteti a 3.19. bra. Az id, amg egy csompont ltal
elkldtt bit eljut a legtvolabbi csomponthoz, majd vissza, nem lehet hosszabb, mint a
kld csompont bitidejnek 2/3 rsze. A maradk 1/3-nyi bitid elegend arra, hogy minden
csompont eldntse, hogy elvesztette-e a busz hasznlatnak jogt, vagy folytathatja-e a
kldst.
1000

Bitsebessg (kbit/s)
1000
800
800

600 500
400

200 250

0 125
40 62,5
50
100 20
250 10
500
1000
Buszhossz (m ) 2500
5000

3.19. bra: Bitsebessg s a buszhossz viszonya

A maximum sebessg CAN rendszereken bell az 1Mbit/s, amely 40m hossz busszal
valsthat meg.
Ha hosszabb buszra lenne szksg, akkor szmolni kell a bitsebessg cskkensvel, gy 400
m-es buszhosszhoz mr 100kbit/s sebessg prosul.
Ennl is hosszabb vezetkezs esetn akr az 1000m-es hossz is elrhet, ekkor azonban mr
csak 50kbit/s bitsebessg realizlhat. Ilyen hossz busz esetn mr ajnlatos specilis
meghajtkat s jelismtlket alkalmazni.

3.2.4.5 Szinkronizls
Soros buszrendszereken trtn adattvitel sorn a kld oldalon az adatok prhuzamos-
soros, mg vev oldalon soros-prhuzamos talaktsa trtnik. A vevnek megfelel
idpillanatokban kell mintt vennie a buszrl ahhoz, hogy a helyes jelet alaktsa vissza
prhuzamos formba. A helytelen mintavtelezs kvetkeztben a vev oldalon nem ugyanaz
az zenet ll el, mint amit a kld tovbbtott (3.20. bra).
3.20. bra: Mintavtelezsi id helyes megvlasztsnak fontossga

Az ilyen, n. szinkronhibk oka lehet az, hogy az egyes csompontok oszcilltor frekvencija
kiss eltr egymstl, vagy az, hogy a klnbz csompontok tk kldsi id ksleltetse
eltr, ami a (18) szerint a terjedsi id megvltozst okozza. A CAN aszinkron
mintavtelezst hasznl, vagyis minden csompontnak sajt rajel genertora van (szemben a
szinkron esettel, amikor egy kzs rajel hatsra trtnik a mintavtelezs), a szinkronhibk
elkerlse rdekben teht klnsen fontos, hogy a kld s fogad csompontok ri
valamilyen mdon szinkronizltak legyenek. Ehhez az informcit a jelszint vltsok adjk.
A bitbeszrs mdszere (3.16. bra) biztostja, hogy megfelel idkznknt mindenkppen
trtnjen bitszint vltozs.

3.2.4.5.1 Az l szinkronhibja
lek detektlsa gy trtnik, hogy a csompont folyamatosan, minden idkvantumban
mintavtelezi a buszt, s az aktulis jelszintet sszehasonltja az elz idkvantumban mrt
rtkkel. Szinkronizci csak recesszvbl dominnsba val jelszint vltozskor trtnik. Az
l szinkronhibja (Phase Error of an edge) azt a pozcit adja meg a Szinkronizl
szegmenshez kpest, hogy az l, melyik idegysgbe esik. A szinkronhiba rtke mindig
idkvantumban van kifejezve, s az albbi hrom eset klnthet el:
szinkronhiba = 0, ha az l a Szinkronizl szegmensbe esik
szinkronhiba > 0, ha az l a Szinkronizl szegmens eltt helyezkedik el
szinkronhiba < 0, ha az l a Szinkronizl szegmens utn helyezkedik el
3.2.4.5.2 Fixszinkronizls
A fixszinkronizls (Hard synchronization) csak az zenetek elejn trtnik, amikor minden
csompont az aktulis bitidt jra indtja a Szinkronizl szegmenssel gy, hogy az elkldtt
zenet kezdete bit recesszvbl dominnsba ugr le ebbe a szegmensbe essen.

3.2.4.5.3 jraszinkronizls
Az jraszinkronizls (Resynchronization) az zenet tovbbi rszben trtnik, ha a bitrtk
recesszvrl dominnsra vltozik. Az jraszinkronizls a szinkronhiba rtke alapjn, a
Szinkron puffer szegmensek hossznak vltoztatsval trtnik. A Szinkron puffer szegmensek
nvelsnek s cskkentsnek mrtke az jraszinkronizlsi szlessg (Resynchronization
jump width), amelynek az rtke legalbb 1, s legfeljebb az 1. Szinkron puffer szegmens
hossza, de nem lehet nagyobb 4-nl (azaz 1 s min(4,szink_puff1) kztt programozhat). A
kvetkez esetek fordulhatnak el:
Ha a szinkronhiba = 0, akkor a bit szinkronban van. Ez az optimlis eset, ekkor az l
hatsra a vevben elkezddik az 1. Szinkron puffer szegmens, majd ennek a
szegmensnek a vgn mintavtelezi a bitet. Ezutn kezddik a 2. szinkron puffer
szegmens, amelynek lefutsa utn vrhat a kvetkez bit megjelense.
Ha a szinkronhiba < 0 (fogad csompont rja gyorsabb, mint a kld), akkor az
aktulis bithez tartoz 1. szinkron puffer szegmens hosszt nveli a szinkronhiba
rtknek megfelelen, s gy ksbb vesz mintt a bitbl.
o Ha a szinkronhiba az 1. jraszinkronizlsi szlessgnl kisebb vagy egyenl,
akkor az l hatsra jraindul az 1. idszegmens (3.21. bra).
1. szinkron puffer 2. szinkron puffer
Terjedsi idszegmens
szegmens szegmens

Helyes mintavtelezsi pont

n. bit n+1. bit


Kld-csompont
Szink_szeg 1. idszegmens 2. idszegmens Szink_szeg

n. bit n+1. bit


2. id-
Szink_szeg 1. SzSz 1. idszegmens 2. SzSz Szink_szeg
szegmens
Fogad-csompont

Elcsszott mintavtelezsi pont


Szinkronhiba
n. bit n+1. bit

jraindtott 2. id-
Szink_szeg 1. SzSz
1. SzSz
1. idszegmens 2. SzSz Szink_szeg
szegmens

Mdostott mintavtelezsi pont

3.21. bra: jraszinkronizls, ha szinkronhiba < 0, s | szinkronhiba | < 1. jraszinkronizlsi


szlessg

o Ha a szinkronhiba az 1. jraszinkronizlsi szlessgnl nagyobb, akkor az 1.


idszegmens (Terjedsi id szegmens + 1. szinkron puffer szegmens) vgt
hosszabbtja meg egy 1. jraszinkronizlsi szlessgnyi idvel. (3.22. bra)

Terjedsi 1. szinkron puffer 2. szinkron puffer


idszegmens szegmens szegmens

Helyes mintavtelezsi pont

n. bit n+1. bit


Kld-csompont
Szink_szeg 1. idszegmens 2. idszegmens Szink_szeg

n. bit n+1. bit


2. id-
Szink_szeg 1. SzSz 1. idszegmens 2. SzSz Szink_szeg
szegmens
Fogad-csompont

Elcsszott mintavtelezsi pont


Szinkronhiba
n. bit n+1. bit

2. id-
Szink_szeg 1. SzSz 1. idszegmens 1. SzSz 2. SzSz Szink_szeg
szegmens

Mdostott mintavtelezsi pont

Szinkronhiba - 1.SzSz

3.22. bra: jraszinkronizls, ha szinkronhiba < 0, s | szinkronhiba| > 1. jraszinkronizlsi


szlessg
Ha a szinkronhiba > 0 (fogad csompont rja lassabb, mint a kld), akkor az
aktulis bithez tartoz 2. szinkron puffer szegmens hosszt cskkenti a szinkronhiba
rtknek megfelelen, s gy elbb vesz mintt a bitbl. Az l hatsra rgtn
elkezddik a kvetkez bit, mgpedig a Szinkronizcis szegmenset elhagyva rgtn
az 1. idszegmenssel. Ha a szinkronhiba nagyobb, mint a 2. jraszinkronizlsi
szlessg hossza, akkor is maximum egy 2. jraszinkronizlsi szlessgnyi idvel
cskken a 2. szinkron puffer szegmens hossza. (3.23. bra)

n-1. bit n. bit n+1. bit


2. id- 2. id-
2. SzSz Szink_szeg 1. SzSz 1. idszegmens 2. SzSz Szink_szeg
szegmens szegmens

Fogad-csompont Elcsszott mintavtelezsi pont


Szinkronhiba
n. bit n+1. bit
n-1. bit
2. id- 2. id-
Szink_szeg 1. SzSz 1. idszegmens 2. SzSz Szink_szeg
szegmens szegmens

2.jraSzinkronizlsi
Szlessg Mdostott mintavtelezsi pont

3.23. bra: jraszinkronizls, ha a szinkronhiba > 0

3.2.4.5.4 Szinkronizls szablyai


A fixszinkronizls s az jraszinkronizls szablyai:
Csak egy szinkronizls megengedett egy bitidn bell
Az l akkor hasznlhat jraszinkronizlshoz, ha az elz mintavtelezsi pontban
vett rtke eltr a kzvetlenl az l utn kvetkez buszszint rtktl
Fixszinkronizls akkor trtnhet, ha a Szabad busz mez alatt a bitrtk recesszvbl
dominnsba vlt.

3.2.4.6 Oszcilltor
A CAN rendszer rajelt minden csompont a sajt oszcilltor frekvencijbl szrmaztatja
(3.17. bra), amely csompontonknt kisebb, vagy nagyobb mrtkben eltrhetnek egymstl.
Az aktulis CAN rendszer rajele, s ebbl kvetkezen a bitid is minden csompontnl al
van rendelve az oszcilltor tolerancinak. A rendszer kora s a kls hmrskletvltozs is
hatssal van az kezdeti oszcilltor tolerancira. A CAN rendszer rajelnek tolerancija
relatv toleranciaknt definilhat:
ff
f = N (22)
fN

ahol f az aktulis frekvencia s fN a nvleges frekvencia. Ahhoz hogy biztosthat legyen a


hatkony kommunikci, azt a minimum elvrst kell teljestenie a CAN rendszernek, hogy
annak a kt csompontnak, amelyek kztt a legnagyobb a ksleltets, s a CAN rendszer
rajelnek frekvencijtl val eltrsk a megadott oszcilltor tolerancia kt hatrra esik,
helyesen kell fogadnia s dekdolnia minden elkldtt zenetet.

3.2.4.6.1 Oszcilltor tolerancia kvetelmny


Mivel jraszinkronizls csak recesszvbl dominnsba fut lnl trtnik, az addig
felhalmozd szinkronhibnak kisebbnek kell lennie az jraszinkronizlsi szlessg
rtknl. A felhalmozd szinkronhiba a CAN rendszerben lv oszcilltor tolerancinak
ksznhet. Ez a kvetkez kplettel fejezhet ki:

( 2 f ) 10 tNBI < tjraszinkronizls ugrs hossz (23)

6 egymst kvet dominns bit kitltsi hibt (3.4.2.2 fejezet) eredmnyez, amelyet kveten
egy Aktv hibazenet (3.3.1.3.1fejezet) generldik, amely egy aktv 6 dominns bitbl ll
Hibajelz mezvel kezddik. Ezrt az utols jraszinkronizls utn a csompontnak
helyesen kell a 13. bit rtkt kiolvasnia. Ez a kvetkez mdon fejezhet ki:

( 2 f ) (13 tNBI tSzink_puff2 ) < Min ( tSzink_puff1 , tSzink_puff2 ) (24)

A fentiek szerint teht kt oszcilltor tolerancia kvetelmny van, amit be kell tartani.

3.2.4.7 Bitid paramtereinek kiszmtsa egy pldn keresztl


Bitsebessg = 125kbit/s (==> bitid = 8000ns/bit)
Buszhossz = 50m
Buszksleltets = 5*10-9 s/m
A fizikai interfsz kldsi s fogadsi ksleltetse sszesen = 150ns 85oC-on
Oszcilltor frekvencia = 8MHz

1. lps: A Terjedsi idszegmens minimum rtknek kiszmtsa a ksleltetsi id


maximumnak kiszmtsval.
Busz fizikai ksleltetse: tBusz = 50m*(5*10-9s/m) = 250ns
tTerj_szeg = 2*(250ns+150ns) = 800ns
2. lps: A CAN rendszer rajel frekvencijnak az elosztval trtn
megvlasztsa gy, hogy a bitek idegysgeinek a szma 8 s 25 kz essen.
Legyen 4 az eloszt. Ekkor a CAN rendszer rajel frekvencija 8/4=2MHz s egy
idegysg tE = 1/2MHz = 500ns ebbl kvetkezen 8000/500 = 16 idegysg
bitenknt.
3. lps: A (22) alapjn kiszmolhat a Terjedsi idszegmens. Ha az eredmny
nagyobb, mint 8, akkor vissza kell lpni a 2. lpshez s alacsonyabb CAN
rendszer rajel frekvencit kell vlasztani.
Terj_szeg = Felkerekts(800ns/500ns) = Felkerekts(1,6) = 2
4. lps: Az 1. s 2. szinkron puffer szegmens meghatrozsa. A 2. lpsben
kiszmolt bitenknti idegysgbl levonunk 1 idegysget (Szink_szeg). Ha a
fennmarad rtk kisebb, mint 3, akkor vissza kell lpni a 2. lpshez s nagyobb
CAN rendszer rajel frekvencit kell vlasztani. Ha a fennmarad rtk pratlan s
nagyobb, mint 3, akkor le kell vonni belle 1-et. Ha a megmaradt sszeg egyenl
3-mal, akkor a Szink_puff1 = 1 s Szink_puff2 = 2 s csak egy mintavtelezsi pont
vlasztsa engedlyezett. Egybknt a fennmarad sszeget meg kell felezni, s
ezzel az rtkkel lesz egyenl az 1. s 2. szinkron puffer.
16-1-3 = 13 gy Szink_puff1 = 6 s Szink_puff2 = 6 s a fennmarad 1 idegysget
hozzadjuk a Terj_szeg-hez. Mivel Szink_puff1 > 4, ezrt vissza kell lpni a 2.
lpshez, s nagyobb elosztval jraszmolni.
5. lps (jraszmols): Legyen az eloszt 8. A CAN rendszer rajel frekvencija
8/8=1MHz s egy idegysg tE=1/1MHz = 1000ns ebbl kvetkezen 8000/1000
= 8 idegysg bitenknt.
6. lps: A (22) alapjn:
Terj_szeg = Felkerekts(800ns/1000ns) = Felkerekts(0,8) = 1
7. lps: 8-1-1 = 6 gy Szink_puff1 = 3 s Szink_puff2 = 3. Ha a Szink_puff1 > 4
lenne, akkor ismt vissza kellene lpni a 2. lpshez, s kisebb elosztval
jraszmolni.
8. lps: tjraszinkronizls ugrs hossz = min(4,Szink_puff1) = 3
9. lps: A (23) alapjn:
tjraszinkronizls ugrs hossz 3
f < = = 0, 01875
20 t NBI 20 8 (25)
A (24) felhasznlsval:
Minimuma ( Szink_puff1,Szink_puff2 ) 3
f < = = 0, 014851
2 (13 t NBI Szink_puff2 ) 2 (13 8 3)
(26)
A kvnt oszcilltor tolerancia a kisebb rtk, azaz 0,014851 (1,4851%)
sszegezve:
Eloszt = 8 Szink_puff1 = 3
Nvleges bitid = 8 Szink_puff2 = 3
Terj_szeg = 1 tjraszinkronizls ugrs hossz = 3
Oszcilltor tolerancia = 1,4851%

3.3 A CAN protokoll Adatkapcsolati rtege

3.3.1 CAN zenetkeretek

A CAN hlzatokon az adattvitel zenetkeretek hasznlatval trtnik. Ktfle formtum


zenetkeret/zenet ltezik. Ha az zenet Azonostmezeje 11 bites, akkor standard formtum
zenetrl (a CAN Specifikci 2.0 A rszben definilt), ha viszont 29 bites, akkor
kiterjesztett (extended) formtum zenetrl (a CAN Specifikci 2.0 B rszben definilt)
lehet beszlni. Az elbbibl 211=2048 db, az utbbibl 229=536870912 db klnbz
azonostval rendelkez zenet alkothat.
A CAN buszon a kvetkez zenettpusok klnbztethetk meg:
Adathordoz zenet (Data frame) (3.3.1.1. fejezet)
Adatkr zenet (Remote frame) (3.3.1.2 fejezet)
Hiba zenet (Error frame) (3.3.1.3 fejezet)
Tlcsorduls zenet (Overload frame) (3.3.1.4 fejezet)
Csak az els kt fajtj, az Adathordoz s az Adatkr zenetekbl van standard s
kiterjesztett formtum is, mg a Hiba s Tlcsorduls zenetekbl csupn standard
formtum ltezik!
Ezek mellett fontos megemlteni, hogy a CAN buszon mg az zenetek kztti sznetekben is
megjelennek bitek (3.3.1.5. fejezet)

3.3.1.1 Adathordoz zenet


A CAN hlzaton az adattvitelt ilyen Adathordoz zenetek segtsgvel valstjk meg a
csompontok. Az adathordoz zenet a kvetkez mezkbl ll:
zenet kezdete bit = SOF (Start of Frame)
Arbitrcis mez (Arbitration field)
Vezrlsi mez (Control field)
Adat mez (Data field)
CRC mez (CRC field)
Nyugtzs mez = ACK (Acknowledgement field)
zenet vge mez = EOF (End of Frame)

3.24. bra: Standard formtum Adathordoz zenet, ahol az Alapazonost mez megegyezik az
Azonost mezvel

3.25. bra: Kiterjesztett formtum Adathordoz zenet

3.3.1.1.1 zenet kezdete bit


Ez a rsz jelzi az Adathordoz zenet kezdett egy darab dominns bittel. Az zenet kezdete
bit segtsgvel minden csompontnak szinkronizlnia kell magt az arbitrcit elsknt
kezd csomponthoz. Egy csompont csak akkor kezdheti az Arbitrcis szakaszt, ha a busz
szabadd vlik, melyet ltalban 11 egymst kvet recesszv bit (Nyugtzs hatrol bit +
zenet vge mez + zenet utni sznet) jelez.
3.3.1.1.2 Az arbitrcis mez
Az Arbitrcis mez standard formtum Adathordz zenet esetn az Alap azonost
mezbl s az zenetklds kr bitbl (RTR Remote Transmission Request bit) ll.
Kiterjesztett formtum esetn e kt rsz kz bekeldik az zenetklds kr bit helyettest
(SRTR bit Substitute RTR bit), az Azonost kiterjeszts bit (Identifier Extension bit) s a
Kiterjesztett azonost mez. Az Alap azonost mez standard formtum esetn maga az
Azonost mez (Identifier field), mg kiterjesztett formtum esetn az Alap, s a Kiterjesztett
azonost mezk egyttesen alkotjk az Azonost mezt (Identifier field).
Az Arbitrcis szakaszban dl el, hogy melyik csompont nyeri el az adsi jogot, azaz hogy
melyik elkldsre vr zenet fog a CAN buszon megjelenni, gy az zenetek prioritsnak
megfelel megvlasztsa igen fontos.

1. Alap-azonost mez
Az Arbitrcis mez 1-11. bitjeit fogalja el az Alapazonost mez. Standard formtum
zenet esetn e rsz lefedi a teljes 11 bites Azonost mezt, gy standard zeneteknl
elgsges csupn az utbbi megnevezst hasznlni. Itt a bitek fordtott sorrendben kvetik
egymst a 10.-tl a 0. bitig.
Ezzel szemben kiterjesztett formtum esetn a teljes Azonost meznek csupn a fels 11
bitje szerepelhet az Alap azonost mezben, szintn fordtott sorrendben a 28.-tl a 18. bitig.
A fennmarad 18 bit (11+18 = 29 bit) a Kiterjesztett azonost mezben kap majd helyet.

2. zenetklds krs bit helyettest


Ez az SRTR bit az Arbitrcis mez 12. bitje, mely mindig recesszv, s csak kiterjesztett
formtum zenetekben tallhat meg.

3. Azonost kiterjeszts bit


Ha az Azonost kiterjeszts (IDE = Identification Extension) bit az Arbitrcis mez 13.
bitje recesszv, akkor ez egy kiterjesztett formtum zenetet jell.
Ellenkez esetben, ha a bit dominns, akkor csak standard formtum zenetrl lehet sz.
Ekkor azonban az Azonost kiterjeszts bit mr nem az Arbitrcis mezhz, hanem a
Vezrlsi mezhz tartozik.
Ezen Azonost kiterjeszts bit gondoskodik arrl, hogy ha egy standard zenet Azonost
mezeje s egy kiterjesztett zenet Alapazonost mezje azonos lenne, akkor mindig a
standard zenet prioritsa legyen a magasabb.

4. Kiterjesztett Azonost mez


Ez a mez csupn kiterjesztett formtum zeneteknl ltezik, s a 14-31. biteket foglaljk
el az Arbitrcis mezbl. Az Azonost mez (29 bites) els 18 bitjt tartalmazza fordtott
sorrendben a 17.-tl a 0. bitig.

5. zenetklds krs bit


Az RTR bit rtke mindig dominns. Standard formtum esetn ez a 12. bitje, mg
kiterjesztett formtum esetn a 32. bitje az Arbitrcis meznek.
A bit akkor lehet recesszv, ha Adatkr zenetrl van sz. Ezltal ha egy Adathordoz zenet
s egy Adatkr zenet azonostja megegyezik, akkor az Adathordoz zenetnek lesz
nagyobb a prioritsa, azaz e kt zenet esetn megnyern az arbitrcit.

3.3.1.1.3 Vezrlsi mez


A Vezrlsi mez hat bitet tartalmaz. Az els kt bit mindenkppen dominns. Standard
formtum zeneteknl e kt bit az IDE bit s az r0 rvidtssel jelzett foglalt bit, mg
kiterjesztett formtum zeneteknl az r1, s az r0 foglalt bitek. Minden foglalt bitet a kld-
csompontoknak dominnsan kell elkldenik, de a fogad-csompontok kpesek ezeket
recesszv bitknt is fogadni. Ez a ksbbi fejlesztsek miatt lett gy specifiklva.
A kvetkez ngy bit az Adathossz kd (DLC Data Length Code), ami az Adatmezben
tallhat adat bjtjainak a szmt adja meg. Csupn a 0-8-ig terjed kdok rvnyesek, mivel
nyolcnl nagyobb Adathossz kdot nem engedlyez a CAN specifikci, hiba adna r
lehetsget a ngy bit. A leglis kdok teht albbi tblzat szerint (D: dominns, R:
recesszv):

3.1. tblzat: Leglis adathossz-kdok

Adatbjtok Adathossz-kd bitjei


szma 3. 2. 1. 0.
0 D D D D
1 D D D R
2 D D R D
3 D D R R
4 D R D D
5 D R D R
6 D R R D
7 D R R R
8 R D D D
3.3.1.1.4 Adatmez
Az Adatmez tartalmazza az zenetben elkldend adatokat. Az emltettek szerint maximum
nyolc bjtbl llhat, s minden bjt els bitje a legnagyobb helyirtk bit. Az Adatmez nem
ktelez, akr nulla bit hosszsg is lehet.

3.3.1.1.5 CRC mez


A 15 bites CRC sorozatbl (CRC Sequence) s a CRC hatrol bitbl ll. A CRC mez
segtsgvel ellenrzi a fogad fl a kapott bitfolyam hibtlansgt. A 15 bit hosszsg 127
bitnl rvidebb zenetek ellenrzsre hasznlhat a leghatkonyabban. A bitbeszrsoktl
mentes SOF, Arbitrcis mez, Vezrlsi mez, s Adatmez alapjn szmolja ki mind a
kld, mind a fogad fl a CRC mezt. A CAN hlzaton alkalmazott CRC kd Hamming-
tvolsga 6 bit, ami 5 vletlenl bekvetkez egyszeres hibt tud jelezni, vagy 15 bitnyi
lketszer hibt. (A hibasszeg szmtsrl rszletesen a 3.4.2.3 fejezet r.)

3.3.1.1.6 Nyugtzs mez


A Nyugtzs bitbl (ACK Slot) s a Nyugtzs hatrol bitbl (ACK Delimiter) ll a 2 bites
Nyugtzs mez. A Nyugtzs bitet a kld csompont mindig recesszvre lltja, melyet
minden olyan lloms, amelyik sikeresen 20 fogadta az zenetet egy dominns bittel azonnal
fellr, amelyet a kld csompont rzkel, mivel figyeli a CAN buszon megjelen
adatforgalmat.
A Nyugtzs bitet a recesszv Nyugtzs hatrol bit kveti, hogy egyrtelmen elklntse a
pozitv (dominns) nyugtt egy esetlegesen prhuzamosan kezdd Hiba zenettl.

3.3.1.1.7 zenet vge mez


Minden Adathordoz s Adatkr zenetet 7 recesszv bitbl ll sorozat zr le. A Nyugtzs
hatrol bittel egytt gy nyolc recesszv bit jelzi az ilyen zenetek vgt. Azrt van szksg
ennyi bitre az zenet vge mezben, hogy a hibs zeneteket megfelelen lehessen jelezni.
A CAN buszon minden Adathordoz zenetet sznetnek kell kvetnie, amelyet zenet utni
sznetnek (Intermission) neveznek. Ez 3 recesszv bitbl ll, s csak e mezben van lehetsg
Tlcsorduls zenet (3.3.1.4 fejezet) kldsre.

3.3.1.2 Adatkr zenet


Minden csompont krheti a szmra szksges informci elkldst az adatot szolgltat
csomponttl. Ehhez az ignyelt Adathordoz zenettel egyez azonostj Adatkr zenetet
kell kldenie.

20
Egyez CRC kd a fogad s a kld oldaln
3.26. bra: Standard formtum Adatkr zenet

Az Adatkr zenet felptse igen hasonlt az Adathordoz zenethez. Formtumt tekintve


szintn ktflt, standard (3.26. bra) s kiterjesztett formtum Adatkr zenetek (3.27.
bra) klnbztethetk meg.
Csupn hrom klnbsg van az Adatkr s az Adathordoz zenetek kztt. Az els, hogy
adatkrsnl az zenetklds kr bit mindig recesszv szintet kpvisel, ezzel biztostva, hogy
az arbitrcit az Adathordoz zenet nyerje meg az Adatkr zenettel szemben. Ha ezen
arbitrcis folyamat elfordulna, akkor az informcit ignyl csompont is jl jr, hiszen a
krt Adathordoz zenet kerl tovbbtsra. A msodik eltrs, hogy az adathossz-kd a krt
zenet Adatmezejre vonatkozik. A harmadik klnbsg pedig, hogy az Adatkr zenetnl
az Adatmez res, pontosabban e mez hinyzik az zenetbl.

3.27. bra: Kiterjesztett formtum Adatkr zenet

Az adatkrsi ciklus lefolysnak elvt a 3.28. bra mutatja. Az A csompont Adatkr


zenetre a B csompont azonos azonostval rendelkez Adathordoz zenettel vlaszol,
amit termszetesen nem csak az A, hanem az sszes a buszon lv csompont megkap.
3.28. bra: Adatkrsi ciklus

3.3.1.3 Hibazenet
Brmilyen klds, vagy fogads (Adathordoz, Adatkr, Hiba-, Tlcsorduls zenet) sorn
fellp hiba egy Hibazenetet generl, ami szndkosan megsrti a bitbeszrs szablyait
(3.4.2.2 fejezet), ezzel knyszertve az ad csompontot az jrakldsre.
Egy csompont ktfle llapotban kpes hibt jelezni, gy kt formja ltezik az elkldhet
Hibazenetnek: Aktv (3.29. bra) s Passzv hibazenet (3.30. bra). Mindkett kt tagbl ll:
egy Hibajelz (Error Flag) s egy Hibahatrol (Error Delimiter) mezbl.

3.3.1.3.1 Az aktv hibazenet


Egy csompont Hiba aktv llapotban van, ha a sajt hibaszmllja a meghatrozott rtk
alatt van. Hibt szlelve Aktv hibazenet kldsre kpes. Ekkor a csompont biztos benne,
hogy hiba trtnt, s azt nem okozta.

3.29. bra: Aktv hibazenet

A kezdeti hiba szlelsekor teht egy (vagy tbb) Hiba aktv llapot csompont azonnal
megszaktja a kommunikcit (kivve CRC hiba esetn 21) gy, hogy dominns biteket kezd el
sugrozni. Az els 6 dominns bit alkotja az Aktv hibajelz rszt, az Aktv hibazenet els
mezejt. Ezt kveten recesszv bitet kezd el adni a csompont.

21
Ebben az esetben csak a nyugtzs mez utn kezdi, hogy ne zavarja a nyugtzst.
Minden olyan Hiba aktv llapotban lv csompont, amely a kezdeti hibt nem rzkelte
legksbb az Aktv hibajelz mez 6. dominns bitjnl hibt fog generlni, ugyanis ezen a
ponton a bitbeszrs szablya srl. gy legrosszabb esetben jabb 6 dominns bit fog a CAN
buszon megjelenni, ezrt ez a szakasz az Aktv hibajelzk szuperpozcija. E szakasz hossza
ismeretlen, 0-6 bit hosszsg lehet. Ha 0 bit hosszsg, akkor a kezdeti hibt egyszerre
szlelte az sszes Hiba aktv llapot csompont.
Ahogy a CAN buszt figyelik a csompontok, az Aktv hibajelz 6 dominns bitet kveten egy
id utn recesszv bitet fog visszaolvasni minden csompont. Ezt kveten mg 7 recesszv
bitet sugroznak a csompontok. Az Aktv hibazenet zr rsze teht a 8 recesszv bitbl ll
Hibahatrol mez. Ezzel a mdszerrel lehetsgess vlik egy csompont szmra, hogy
rzkelje, vajon volt-e az els, aki hibajelzst kldtt, azaz elsknt szlelte a hibt. A hibs
csompontok elszigetelsnl (3.4.4 fejezet) fontos ez a mechanizmus.
Az Aktv hibazenet vgn a busz ismt ksz Adathordoz zenet tovbbtsra. gy az a
csompont, amelyiknek adsa meg lett szaktva, megksrelheti az el nem kldtt zenet jra
kldst.

3.3.1.3.2 Passzv hibazenet


Egy csompont Hiba passzv llapotban van, ha tlpte a kijellt hibaszmll rtket s
valsznleg helyi meghibsodsa van , de mg nem olyan slyos a helyzet, hogy le kelljen
vlnia a buszrl. E csompont hiba szlelse sorn Passzv hibazenet kldsre kpes.
A Passzv hibazenet els fele a Passzv hibajelz, amely 6 recesszv bitbl ll. Ennek csak
akkor van hatsa, ha a Hiba passzv llapot csompont a megfelel helyen kezdi el a Passzv
hibazenet kldst. Ugyanis az Arbitrcis mezben, illetve kevesebb, mint hat bittel a CRC
sorozat vge eltt adni kezdett 22 Passzv hibajelzt nem rzkeli a tbbi csompont.
Teht ha egy nem buszbirtokl csompont prbl Passzv hibajelzst adni, akkor annak nem
lesz hatsa a hlzat tbbi csompontjra.
Hiba passzv llapot csompontoknak mindig ki kell vrni a 6 azonos rtk recesszv bitet
(Passzv hibajelz) a hiba detektlsa utn, hogy befejezettnek tekinthessk a hibajelzsket,
melyet a Hibahatrol 8 recesszv bit zr le, megegyezen az Aktv hibazenettel.

22
s a CRC sorozat vge trtnetesen csupa recesszv bitbl ll.
3.30. bra: Passzv hibazenet

3.3.1.4 A tlcsorduls zenet


A Tlcsorduls zenetnek (3.31. bra) ugyanolyan formtuma van, mint az Aktv
hibazenetnek. Egy csompont hrom esetben kld Tlcsorduls zenetet:
ha a fogad csompont ksleltetni akarja a kvetkez zenet fogadst,
ha a fogad csompont az zenet kztti mez els kt bitjn dominns bitet rzkel,
ha a Hiba-, vagy Tlcsorduls-hatrol mez utols bitjn dominns bitet rzkel.

3.31. bra: Tlcsorduls zenet

Tlcsorduls zenetet csakis Hiba aktv llapot fogad csompont kldhet, abban az
esetben, ha nem ksz a kvetkez zenet fogadsra. Egyms utn maximum kett kldhet,
s csupn az zenet utni sznetben fordulhat el. Szerkezeti felptse hasonl az Aktv
hibazenethez, azonban nem knyszerti ki az elz zenet jrakldst.

3.3.1.4.1 Tlcsorduls mez


A Tlcsorduls jelzvel (Overload flag) kezddik, amely 6 dominns bitbl ll. Ezt kveti a 0
s 6 kztt tetszleges hossz dominns bitekbl ll Tlcsorduls jelzk szuperpozcija,
amely a tbbi csompont ltal generlt Tlcsorduls zenetek tlapoldsbl addik ssze,
hasonlan az Aktv hibazenethez.

3.3.1.4.2 Tlcsorduls-hatrol
A Tlcsorduls-hatrol (Overload Delimiter) tag 8 recesszv bitbl ll, s a Tlcsorduls
zenetet zrja le. Ugyangy generldik, mint a Hibahatrol, azaz a Tlcsorduls jelz
befejezsekor recesszv biteket forgalmaz a csompont, majd, ha azt is rzkel a buszon,
akkor mg 7 darab recesszv bitet kld, mellyel lezrja a Tlcsorduls zenetet.

3.3.1.5 zenetek kztti mez


Az zenetek kztti mez (Interframe Space) clja az Adathordoz s az Adatkr zenetek
elklntse az ket megelz zenetektl. Ezzel szemben a Hiba- s a Tlcsorduls zenetek
folyamatosan tovbbtdnak, azaz nincs elttk ilyen zenetek kztti mez.
A Hibazenetekhez hasonlan itt is elklnlnek a Hiba aktv s a Hiba passzv llapot
csompontok zenetek kztti mezi.

3.3.1.5.1 Hiba aktv csompont esetn


Ktelezen az zenetek utni sznettel (Intermission field) kezddik, amely 3 recesszv
bitbl ll. Csak Tlcsorduls zenetet lehet kldeni kzben, Adathordoz s Adatkr
zenetek kldst nem lehet kezdemnyezni.
Az zenetek utni sznetet kveti egy tetszleges hosszsg recesszv bitsorozat, a Szabad
busz (Bus Idle) mez (3.32. bra). Ha a busz szabadd vlik, s valamelyik csompont kldeni
akar egy zenetet, akkor hozzfrhet a buszhoz. Az zenet utni sznetet kvet els biten
lehet megkezdeni azoknak az zeneteknek az elkldst, amelyeknek az tvitele fggben
maradt egy msik nagyobb priorits zenet elkldse miatt.
Ha az zenet utni sznet utols bitjn dominns bitet szlel egy kldsre vrakoz
csompont, akkor azt egy msik csompont SOF bitjnek kell tekintenie 23, s a kldsre
vrakoz csompont a sajt zenetnek azonostjt kezdi el kldeni a kvetkez biten,
anlkl, hogy SOF bitet kldene, ezzel belpve a buszrt val versengsbe.
rtelemszeren a buszrt val versengsbe a Szabad busz mez brmelyik bitjn be lehet
szllni az els, SOF dominns bittel, melyet kveten a Szabad busz mez lezrul.

23
Az oszcilltor tolerancia miatt lehetsges.
3.32. bra: zenetek kztti mez hiba aktv csompontoknl

3.3.1.5.2 Hiba passzv csompont esetn


Hiba passzv llapot csompontok esetn az zenetek kztti mez kzepbe egy 8 bites
Klds felfggeszts (Suspend transmission) mez keldik (3.33. bra). E mez biztostja,
hogy a hibsan mkd csompontok ne htrltassk tlsgosan a tbbi csompontot. Egy
Hiba passzv llapotban lv csompont kteles kivrni a Klds felfggeszts mezt, mely
alatt a Hiba aktv csompontok elkezdhetik a forgalmazst. Ha ez bekvetkezne, akkor a Hiba
passzv llapotban lv csompont automatikusan fogad-csompontt vlna.

3.33. bra: zenetek kztti mez hiba passzv csompontoknl

3.3.2 zenetek ksleltetse

Ahogy a korbbiakban megvilgtst nyert, a CAN-en ktfle zenetformtum ltezik, a


standard s a kiterjesztett. Mindkettben az adatbjtok szma 0 s 8 kztt lehet. Az
adattviteli sebessg s a ksleltetsek az zenet hossztl ennek megfelelen az zenet
tpustl s az adatbjtok szmtl fggnek. Egy zenet maximlis ksleltetse csak a
legnagyobb priorits zenetre nzve hatrozhat meg, a tbbi zenetre ez a paramter a
CAN arbitrcis mechanizmusa kvetkeztben statisztikai mdszerekkel becslhet.
Standard zenetformtumot tekintve a leghosszabb zenet 130 bit hossz, kiterjesztett
formtum esetn 154 bit hossz:

3.2. tblzat: Standard s kiterjesztett formtum Adathordoz zenetek mezinek hossza bitben

Standard Kiterjesztett
Mezk:
formtum: formtum:
zenet kezdete bit 1 1
Alapazonost mez 11 11
zenetklds krs bit hely. - 1
Azonost kiterjeszts bit 1 1
Kiterjesztett azonost mez - 18
zenetklds krs bit 1 1
Foglalt bit 1 2
Adathossz kd 4 4
Adatmez 64 64
CRC mez 15 15
CRC hatrol bit 1 1
Nyugtz bit 1 1
Nyugtz bitet hatrol bit 1 1
zenet vge mez 7 7
zenet utni sznet mez 3 3
Lehetsges beszrt bitek szma 24 0-19 0-23
sszesen 111-130 131-154

Teht standard formtum zenetek esetn a legnagyobb priorits zenetnek maximum 130
bitidnyit kell vrnia, amg megkapja a busz hasznlatnak jogt (ez 130 s-nyi vrakozst
jelent a maximlis, 1Mbit/s-s tviteli sebessg mellett). Kiterjesztett formtum zenetek
esetn ez az id 154 bitid hossz (azaz a CAN legnagyobb tviteli sebessge mellett 154 s).
Ugyancsak az zenetek hossztl s a bitrttl fgg az is, hogy mennyi id alatt kell a
mikrokontrollernek feldolgoznia az rkez zeneteket. A legrosszabb esetet tekintve (100%-
os buszhasznlat mellett 0 bjt adat minden zenetben, gy beszrt bitekre sincs szksg)
standard zenetek esetben 47s-knt rkezik j zenet, kiterjesztett formtum zenetkeretek
24
Az zenet kezdete bit s a CRC hatrol kztt rvnyes a bitbeszrs, 5 egymst kvet azonos bit esetn.
esetn ez az id 67 s. Ennek klnsen BasicCAN architektra hasznlata esetn van
jelentsge, hiszen ekkor minden egyes berkezett zenet szrse a felhasznli alkalmazsra
hrul, ami tbb mint 21000 (illetve kiterjesztett zenetek esetben majdnem 15000)
megszaktst jelent msodpercenknt legrosszabb esetben.

3.4 Hibakezels a CAN hlzaton

E fejezet egyes elemei a CAN protokoll Fizikai-, mg msok az Adatkapcsolati rtegbe


tartoznak, azonban az elz fejezetek anyagt megrtve az olvas e pontnl mr birtokban
van a Hibakezels fejezetben lertak rtelmezshez szksges fogalmaknak, ismereteknek.

3.4.1 zenet jvhagys


A CAN protokollban a kld s a fogad csompontok klnbz idpontban tekintik
rvnyesnek az aktulis zenetkeretet. A kld csompont szempontjbl rvnyes az zenet,
ha nem trtnik hibajelzs az zenet vge jelzs (EOF Flag) utols bitjig.
A fogad csompont akkor hagyja jv az zenetet, ha nem szleli ms csompontok
hibajelzst az zenet vge jelzs hatodik bitjig. Ha a hatodik bitet egy loklis hiba miatt
mgis dominnsnak szleli, akkor elveti az zenetet. Az ekkor fellp adat inkonzisztencia
feloldsa vgett (ms csompontok elfogadhattk mr az zenetet) az zenet vge mez 7 bit
hossz, gy az utols biten a loklis hibval rendelkez csompont Hibazenetet generlhat,
ezzel jrakldsre knyszertve az zenet forrst. E mechanizmus magban foglalja annak a
lehetsgt, hogy egyes csompontok jra megkapjk az ltaluk elzleg mr elfogadott
zenetet. Bizonyos alkalmazsokban kitntetett figyelmet kell fordtani a dupliklt zenetek
felismersre, mellyel magasabb rend CAN protokollok foglalkoznak.
Teht, ha a fogad nem szlel hibt az zenet vge mez utols eltti bitjig, akkor
jvhagyja az zenetet. gy ebbl a szempontbl az utols bit mr nem szmt, dont-care
bit. Ha az utols biten dominns szintet szlel a fogad, akkor ezt nem tekinti formai hibnak
(3.4.2.4 fejezet), s csak egy Tlcsorduls zenetet (3.3.1.4 fejezet) generl, ami nem
knyszerti jrakldsre a kld csompontot, de segthet jraszinkronizlni egy esetlegesen
rosszul szinkronizlt csompontot.
Ha mind a kld, mind a fogad dominns bitet szlel az zenet vge mez utols bitjn,
akkor az zenet rvnyes a fogad, de nem rvnyes a kld szempontjbl. Ebben az esetben
az jraklds miatt a fogad ktszer kapja meg ezt az zenetet.
3.4.2 Hibatpusok, s Hibafelismers

A CAN protokollnl 5 fle tpus hiba klnbztethet meg, melyekrl az elkvetkez


fejezetekben olvashatunk.

3.4.2.1 Bithiba Bitellenrzs


A csompontok mikzben kikldik a buszra a biteket, monitorozzk is azokat. Bithiba (Bit
error) akkor trtnik, ha az elkldtt bit s a monitorozott bit eltr egymstl. Kivtel, ha a
csompont recesszv bitet kld az Arbitrcis mezben vagy a Nyugtz mezben. Ezen kvl,
ha a kld csompont egy Passzv hibazenetet kld (6+8 recesszv bit), s a monitorozott
bitek kzl valamelyik is dominns, akkor ezt nem rtelmezi bithibnak.

3.4.2.2 Kitltsi hiba Bitbeszrs ellenrzs


Ha az zenet kezdete bit s a CRC hatrol kztt (itt ugyanis a bitbeszrs szablyai
rvnyesek) 6 egymst kvet bit rtke azonos, akkor kitltsi hiba (Stuff error) trtnt. A
hatodik egyforma bitet kitltsi bitnek nevezzk.
Brmely csompont Hibazenet generlsval jelezheti, ha bitbeszrsi hibt szlel.

3.4.2.3 CRC hiba CRC ellenrzs


A CRC szekvencia tartalmazza a kld csompont ltal kiszmolt CRC eredmnyt, amelyet a
fogad csompontok hasonl mdon generlnak. Ha a kt eredmny nem egyezik meg, akkor
CRC hiba trtnt.
A fogad csompontok a CRC ellenrzst hasznljk a fogadott adat integritsnak
ellenrzsre. Ez egy n. polinom-kdon alapul mdszer, nevt a ciklikus redundancia kd
(Cyclic Redundancy Code ) angol rvidtsbl kapta. Szles krben alkalmazott hibajelz
kd, elssorban magas hatsfoka, s alacsony maradk hiba arnya miatt.
Az elv szerint az zenetek meghatrozott rszeit egy polinommal reprezentljk, s ezt egy
elre definilt n. genertor polinommal osztjk. Ennek a modulo 2 osztsnak a maradka
alkotja a CRC szekvencit.
Ezt a keret rszeknt tovbbtja a kld csompont a buszon. A fogadk ugyangy
kiszmtjk a CRC szekvencit a kapott zeneten, s hibtlan kommunikci esetn a kett
megegyezik.
Az zenetet reprezentl polinom egytthatit a mg bitbeszrs eltti zenet kezdete bit,
Arbitrcis, Vezrlsi, s Adatmez bitrtkei alkotjk, kiegsztve 15 darab nullval a 15
legkisebb helyirtken. A genertor polinomban a felek elre megegyeznek, ez a CAN
esetben:

x15 + x14 + x10 + x8 + x 7 + x 4 + x 3 + 1 (27)

Az ellenrz sszeg kiszmtsa szoftveresen bonyolult, azonban Peterson s Brown (1961)


megmutatta, hogy shift regiszterekbl egyszer ramkrrel megvalsthat az albbi
algoritmus szerint, a gyakorlatban szinte mindig ilyet hasznlnak.
CRC_RG = 0; // a shift regiszter inicializlsa
repeat
CRCNXT = NXTBIT exor CRC_RG(14);
CRC_RG(14:1) = CRC_RG(13:0); // 1 bitnyi balra tols
CRC_RG(0) = 0;
if CRCNXT then
CRC_RG(14:0) = CRC_RG(14:0) exor (0x4599);
endif
until (CRC mez els bitje, vagy hiba trtnt)
Az utols adatbit feldolgozsa utn a CRC_RG shift regiszter tartalmazza a CRC ellenrz
sszeget.

3.4.2.4 Formai hiba zenetkeret ellenrzs


A CAN zeneteknek vannak rgztett dominns (pl.: foglalt bit 1) s recesszv rtk bitmezi
(pl. a recesszv hatrol bitek), amelyek helyessgt minden csompont ellenrzi. Ha ezek
kztt eltrs van, akkor formai hiba trtnt.
Nem tekinthet formai hibnak egy fogad csompont ltal az zenet vge mez utols bitjn
fogadott dominns bit, hiszen ez lehet egy msik csompont zenet kezdete bitje.
Ugyangy nem okoz formai hibt, ha brmely csompont dominns bitet fogad a Tlcsorduls
zenet utols bitjn.

3.4.2.5 Nyugtzsi hiba Nyugtzs ellenrzs


A nyugtzs a Nyugtz bittel trtnik gy, hogy a kld csompont az zenetben a Nyugtz
bitet recesszven kldi el, s ha a fogad csompont a buszrl az zenetet hibtlanul olvassa
be a Nyugtz bitig, akkor a kld csompont ltal az zenetben kldtt recesszv Nyugtz
bitet a fogad csompont fellrja egy dominns bittel. Ezzel jelezi a kld csompontnak,
hogy megkapta az zenetet. Ennek elmaradsa esetn a kld csompont nyugtzsi hibt
szlel.
3.4.3 Hibafelismersi kpessg

Az adattviteli rendszerek adatintegritst ersen befolysoljk a rendszer mkdsi


krlmnyei (elektromgneses zavarsok), s a rendszer hibafelismer kpessge. A
protokollok hibafelismer kpessge elg vltozatos, fgg az alkalmazott mdszerektl. A
hibafelismer mdszerekre azrt van szksg, hogy a fogad csompontok ellenrizni tudjk
az rkez adatok helyessgt. Az adatintegrits statisztikai mrszma az n. marad-hiba
valsznsg, ami a feldertetlen hibs zenetek valsznsgt adja meg.
Ahhoz, hogy mrhet legyen egy rendszer hibkra hajlamossgnak mrtke, szksg van a
bithiba valsznsg s az zenetkeret-hiba arny fogalmainak tisztzsra. A keretek
hibaarnya megadja a hibs zenetek arnyt az sszes elkldtt zenethez kpest. Ezzel egy
megfelelen hossz megfigyelsi peridus jellemezhet. A valsznsgt annak, hogy egy
tvitt zenet egy bitje hibs a bithiba valsznsg adja meg.
Termszetesen a hibk (zavarsok) nem felttlenl rintik a hlzat sszes csompontjt. A
CAN hlzaton loklisan detektlt hibkat globlisan jelzik a csompontok a 3.3.1.3 fejezet
szerint lert Hibazenetek elkldsvel.
A megismert hibaellenrzsi mdszerek kombinlsval a CAN protokoll meglehetsen
kifinomult megoldst nyjt a hibk felismersre. Minden a vezetkezssel kapcsolatos, teht
globlis hiba felismerhet a kld csompont bitellenrz mdszervel. A loklis, vevkben
elfordul hibkat a CRC ellenrzs szri ki. Akr t vletlenszer hibt, vagy egy maximum
15 bites lketszer hibt kpes jelezni egy kereten bell. Ezek alapjn a CAN kdolsnak 6 a
Hamming tvolsga 25, mg ms terepbuszoknl ez ltalban 4, vagy kevesebb.
Kimert elemzsek utn megllapthat, hogy a CAN marad-hiba valsznsge a
kvetkez szabllyal kzelthet:

Marad hiba valsznsg < 4, 7 1011 zenetkeret hiba arny (28)

Ezen sszefggs alapjn kiszmolhat egy CAN-es rendszer lettartama alatt elfordul fel
nem dertett hibk szma.

3.4.3.1 Szmtsi plda feldertetlen hibra


A feladat legyen a feldertetlen hibk elfordulsi gyakorisgnak kiszmtsa, ha az albbi
adatok ismertek:
Bit rta = 100kBit/s

25
bizonyos nagyon ritka esetekben, bitbeszrsi hibknl ez csak 2
tlagos busz forgalom = 30%
tlagos zenethossz = 85bit
ves mkdsi id = 2200ra
tlagos hiba arny = 10-3
3,1 *109 db zenet/v
Marad hiba valsznsg < 4,7*10-11 *10-3= 4,7*10-14
A pldban szerepl hlzatra vonatkozan ez azt jelenti, hogy megkzeltleg 6800 vente
marad 1 feldertetlen hiba.

3.4.4 Hibaforrs megszntetse, a CAN csompont llapotgpe

A hagyomnyos pont-pont vezetkezs helyetti buszstruktra alkalmazsa j problmkat vet


fel. Az egyik, hogy egy hibs mkds csompont akr az egsz rendszert blokkolhatja
Aktv hibazenetek (3.29. bra) kldsvel. Ennek elkerlsre vezettek be a CAN
protokollban egy Hiba-elszigetelsi algoritmust. Ez alapjn a rendszer automatikusan
szablyozza a csompontok jogait, akr le is kell kapcsoldniuk a hlzatrl. Az algoritmus
alapja kt szmll, a Kldsi hibaszmll (TEC Transmit Error Counter) s a Fogadsi
hibaszmll (REC Receive Error Counter). Ezek rtke minden sikeres klds/fogads
utn meghatrozott rtkkel cskken, s minden sikertelen mvelet utn nvekszik. A Kldsi
s Fogadsi hibaszmllk aktulis rtkei szerint a csompontoknak 3 fle llapotuk
lehetsges (a hiballapotokrl a 3.3.1.3 fejezet a(z) rszletesen r):
A Hiba aktv llapotban lv csompont rszt vehet a kommunikciban, s Aktv
hibazenetet kldhet, ha hibt szlel.
A Hiba passzv llapotban lv csompontnak tilos Aktv hibazenetet kldenie. Rszt
vesz a kommunikciban, de ha hibt szlel, akkor csak Passzv hibazenetet kldhet,
s csak a Klds felfggeszts mez utn kezdheti meg egy msik zenet kldst.
A Bus off (buszkiess) llapotban lv csompontnak semmifle hatsa sincs a buszon
trtn kommunikcira, a csompont kimeneti meghajtja pedig ki van kapcsolva.
Az, hogy fogadhat-e zeneteket, az implementcitl fgg.
3.34. bra: CAN csompont hiballapotai

Teht a Kldsi s a Fogadsi hibaszmll dnti el, hogy melyik csompont milyen
llapotban van. A hibaszmllk szablyainak megalkotsakor szempont volt, hogy egy hibt
elsknt detektl csompont slyozottan nagyobb bntetst kapjon, mint a tbbiek. A
msik fontos tnyez volt, hogy az algoritmus kpes legyen cskkenteni a szmllkat is,
hogy az ideiglenes magasabb hiba elfordulsi arnyt tll csompontok visszatrhessenek
Hiba aktv llapotukba. Ezek alapjn a hibaszmllk a kvetkez szablyok szerint
vltozhatnak (3.34. bra):
1. Ha a fogad csompont hibt rzkel, akkor a Fogadsi hibaszmll rtke eggyel n
kivve, ha egy Aktv hibajelz vagy egy Tlcsorduls jelz kldse alatt kvetkezett
be a bithiba.
2. Ha a fogad csompont a sajt maga ltal elkldtt Hibazenet utni els bitet
dominns bitknt rzkeli, akkor a Fogadsi hibaszmll rtke 8-cal n.
3. Ha a kld csompont kld egy Hibazenetet, akkor a Kldsi hibaszmll rtke 8-
cal n. A kvetkez kivtelek esetn a Kldsi hibaszmll rtke nem vltozik:
1-es kivtel: Ha Hiba passzv llapotban lv kld csompont nyugtzsi hibt
rzkel, s nem detektl dominns bitet a hibhoz tartoz Passzv
hibazenetet elkldse alatt.
2-es kivtel: Ha az Arbitrcis mezben trtnt bitbeszrsi (azaz kitltsi) hiba miatt
a fogad csompont Hibazenetet kld.
4. Ha a kld csompont bithibt rzkel, mialatt Aktv hibazenetet vagy Tlcsorduls
zenetet kld, akkor a Kldsi hibaszmll rtke 8-cal n.
5. Ha a fogad csompont bithibt rzkel, mialatt Aktv hibazenetet vagy Tlcsorduls
zenetet kld, akkor a Fogadsi hibaszmll rtke 8-cal n.
6. Minden csompont 7 egymst kvet dominns bitet tolerl Aktv hibazenet, Passzv
hibazenet s Tlcsorduls zenet kldse utn. Minden kld csompontnl a
Kldsi hibaszmlljnak rtke s minden fogad csompontnl a Fogadsi
hibaszmlljnak rtke 8-cal n a kvetkez esetekben: Aktv hibazenet vagy
Tlcsorduls zenet utn 14 egymst kvet dominns bit kvetkezik; Passzv
hibazenet utn 8 egymst kvet dominns bit kvetkezik; valamint minden egyes 8
egymst kvet dominns bit szekvencia utn.
7. Minden helyesen elkldtt, nyugtzott zenetnl a Kldsi hibaszmll rtke eggyel
cskken, ha eredetileg nem nulla volt.
8. Minden helyesen fogadott, nyugtzott zenetnl a Fogadsi hibaszmll rtke
eggyel cskken, ha a Hibaszmll rtke 1 s 127 kztt volt. Ha nulla, akkor nem
vltozik az rtke. Ha nagyobb mint 127, akkor a Hibaszmll rtke 119 s 127
kz lesz belltva.
9. Ha a Fogadsi hibaszmll vagy a Kldsi hibaszmll nagyobb vagy egyenl 128-
al, akkor a csompont Hiba passzv llapotban van.
10. A csompont akkor kerl Bus off (buszkiess) llapotba, ha a Kldsi hibaszmll
rtke nagyobb mint 255.
11. A csompont akkor van/kerl Hiba passzv llapotbl Hiba aktv llapotba, ha a
Kldsi hibaszmll s a Fogadsi hibaszmll rtke kisebb vagy egyenl 127-el.
12. A csompont akkor kerl Bus off llapotbl Hiba aktv llapotba, ha mind a Fogadsi
hibaszmll mind a Kldsi hibaszmll rtke nullzdik. Ez akkor kvetkezik be,
ha a Bus off llapotban lv csompont 128-szor rzkel 11 egymst kvet recesszv
bitet. Ekkor a csompont kzponti egysge megkezdi az jrainicializls a
hibaszmllk nullzsval.

3.5 CAN zenet vlaszideje

Az elz vtizedekben tbben is prblkoztak a vals idej rendszerek analzisvel, majd


1995-ben megjelent K. Tindell, A. Burns s A. Wellings (University of York) cikke [29],
melyben bemutatsra kerlt az ltaluk kifejlesztett mdszer. Ezen analzist olyan rendszerekre
fejlesztettk ki, melyekben a klnbz aktivitsok s a kldsi egyeztetsek prioritsokon
alapulnak.
Az analzis bemutatsa eltt clszer bizonyos fogalmakat definilni:
zenet: egyedlll azonostval rendelkez, 1 s 8 bjt kztti adatot tartalmaz
CAN zenet. Felttelezett, hogy az adott zenet ciklikusan rkezik, ugyanazzal a
mrettel s azonostval.
sorban llsi ablak (queuing window): az adott zenet, melyet kldeni kvn egy
csompont, egy sorban llsi ablakba kerl, s egszen addig tartzkodik ott, amg az
zenet elkldse meg nem kezddik.
Tm: az m zenetre vonatkoz zenet kldsi peridus.
Jm: az m zenet szmra a sorban llsi ablak szlessge, azaz az zenet sorban llsi
kssi ingadozsa (jitter).
bm: az zenet adatbjtjainak szma.
Cm: a legrosszabb esete az zenet fizikai terjedsi idejnek. A buszrt val versengs
miatt a Cm nem tartalmazza az esetleges ksseket, csupn azt az idt, ami az
Azonostmez s egyb zenetrszek (pl. CRC ellenrz) illetve az Adatmez
tkldshez szksges. A Cm fggvnye lesz bm -nek.
B: a CAN hlzaton a blokkolsi id. Az a leghosszabb id, amg az zenet fizikailag a
buszon tartzkodik. Ez 8 bjtos zenetnl egyenl C-vel, s 1Mbit/sec tviteli
sebessgnl 130 s.
Rm: az m zenetnek a legrosszabb esetben vett vlasz ideje (worst-case response time),
a leghosszabb id az zenet sorban llsa s a clllomshoz val megrkezse kztt.
Dm: az m zenetre vonatkoz hatrid (deadline).
bit: a buszon egy bit tvitelhez szksges id.
Egy zenetet csak akkor temezhet, ha

Rm Dm .

A legrosszabb vlaszidre tett korlt szerint: a sorban ll zenetet az ismtelt/jra sorba


lltsa eltt el kell kldeni (ezzel megakadlyozva az zenet fellrst). Teht:

Rm Tm Jm . (29)

Ebbl lthat, hogy az zeneteknl a sorban llsi ablaknak kisebbnek kell lennie, mint az
zenet kldsi peridusnak.

3.5.1 Adott m zenet legrosszabb esetben vett vlaszidejnek analzise

A legrosszabb esetben vett vlaszid kt kssbl ll:


sorban llsi kss (tm.): a tm az a leghosszabb id, amg egy zenet sorban ll, ezltal
ksik, mert magasabb s alacsonyabb priorits zenetek tovbbtsra vr.
tviteli kss (Cm): az zenetnek a buszon val tartzkodsi ideje.
Teht a legrosszabb esetben vett vlaszid:

Rm= tm + Cm (30)

Egy korbbi temez elmlet [1] alapjn meghatrozhat egy t intervallumra vett magasabb
priorits zenetek ltal okozott kss:


t + Jj + t bit
Cj (31)
Tj
j hp (m)

A hp(m) egy olyan halmaz, amely (prioritsi sorrendben) tartalmazza az sszes olyan
zenetet, melynek prioritsa magasabb m-nl. A CAN buszon egy bit tviteli idejt a bit
vltoz fejezi ki. A prioritsok kiosztsa DMA (deadline monotonic algorithm) [19] elv
szerint trtnik, mely alapjn mindig a legrvidebb hatridvel (deadline) rendelkez zenet
prioritsa lesz a legnagyobb. A CAN esetben a jitter megjelensvel a priorits optimlis
rendezst a hatrid s a jitter klnbsge adja:

Dm Jm

Az eddig lertak alapjn a sorban llsi ksleltets:


tm + Jj + t bit
tm= B +
Cj (32)
Tj
j hp (m)

Ezen egyenletnek eleget tesz a tm legkisebb rtke. Ms tm esetn a fent emltett egyenlet nem
rendezhet t, azonban egy rekurzv sszefggssel felrhat:

n
m t + Jj + t bit
tm = B +
n+1
Cj (33)
Tj
j hp (m)

Mivel a rekurzv sszefggs tm rtkt tekintve monoton n, az itercit tm=0-val kell


kezdeni. Ez kisebb, mint tm legkisebb rtke, gy minden esetben kielgti az egyenletet.
Habr a tm=0 kezdeti rtk a szmtshoz megfelel, mgis elnysebb egy olyan i zenet ti
rtkt hasznlni, ahol az i zenetnek (ppen) magasabb a prioritsa m-nl, hiszen ez
cskkenti az iterci futsi idejt.
3.5.2 Vlaszidt befolysol tnyezk

A CAN protokoll lehetv teszi az adattviteli sebessg, azaz a bitsebessg (Baud rate)
mdostst. Maximlis rtke 1MBaud=106bit/sec.
Ha az zenet arbitrcis szma a hlzat tervezsnl alacsonyra lett megvlasztva, akkor
megnyeri a buszrt val versengst, gyorsan s pontosan eljut a csompontokhoz. Egy CAN
hlzaton a legnagyobb priorits zenet az 1-es arbitrcis szmmal rendelkez zenet.
Ezen zenetnek minden esetben csupn a busz fizikai foglaltsgt kell kivrnia.
A busz teltettsge azt jelenti, hogy magas buszforgalom esetn hosszabb a sorban lls. Tbb
zenet verseng a busz hasznlatrt, mellyel felrtkeldik az arbitrcis szm szerepe. Egy
CAN zenet vlaszideje fordtottan arnyos az arbitrcis szmmal, a bitsebessggel, s
egyenesen arnyos a busz teltettsgvel.
Az zenetek hossza a bitbeszrs (3.3.2. fejezet) kvetkeztben megn, gy egy zenet akr
24 nem hasznos bitet is tartalmazhat a 111 hasznos bit mellett (standard zenet esetn).

3.5.3 CAN vlaszid jitternek minimalizlsa


Az zenetek ksleltetsnek (jitter) vltozsa vals idej alkalmazsokban kellemetlensgeket
okozhat. A jitter pontos rtkt tbb tnyez (pldul: a buszterheltsg, a szmtsi id, az
zenet hossznak vltozsa, a vgrehajtsi id vltozsa, stb.) egyttes hatsa alaktja ki.
Az zenetekben a beszrt bitek hatsnak vizsglata az egyik, s a hatsuk cskkentsre
alkalmazhat mdszerek keresse a msik clja e fejezetnek [22]. Az albbiakban a CAN
zenet standard formtum zenet jell, de a megfogalmazsra kerl lltsokat ki lehet
bvteni kiterjesztett formtum zenetekre is.
Egy zenet bitjeinek szma bitbeszrs eltt:

8s + 47

Ahol s az Adatmez bjtjainak szma (s =[0,8]).


Egy zenetben 47 vezrl bit tallhat, viszont csak 34 bitre rvnyes a bitbeszrs
mechanizmusa. Ezrt a bitek maximlis szma a bitbeszrs utn:

34 + 8s 1
8s + 47 + (34)
4

A fenti formula teljeslshez a 3.35. bra ltal bemutatott lthat bitmintzatra lenne
szksg.
3.35. bra: A legrosszabb esete a bitbeszrsnak

Legyen bit a bitid. gy a legrosszabb esetben egy keret tvitele a buszon:

34 + 8s 1
C = 8s + 47 + (35)
4 bit

Az s = 8 rtket vlasztva s 1Mbit/sec buszsebessget (bit = 1s) felttelezve a C = 135s


rtk addik.
A beszrt bitek szmnak cskkentsben az Arbitrcis-, s az Adatmez jtszhat szerepet.

3.5.3.1 Bitbeszrs minimalizlsa az Arbitrcis mezben


A hasznlhat arbitrcis szmok mennyisgnek kis cskkentsvel cskkenthet a
zenetekben elfordul beszrt bitek maximlis szma.
Egy CAN zenet Arbitrcis mezeje (3.36. bra), mely egyben az zenet prioritst is
meghatrozza, 11 bitbl ll s rvnyes r a bitbeszrs.

3.36. bra: Arbitrcis mez

Megfelelen megvlasztott Azonostk hasznlatval minimalizlhat a beszrt bitek hatsa


az zenet fejlcben. A htrnya ennek a mdszernek, hogy nem hasznlhat a 11 bit ltal
lehetv tett 2048-fle klnbz Azonostt.
A megfelel azonostk kizrsa utn a CAN zenet fejlcre kt eset lehetsges:
nem lesz benne beszrt bit,
a beszrt bitek szma lecskken 1-re.
Az albbi tblzatban (3.3. tblzat) megfigyelhet, hogy a 2048 db prioritsbl mennyi kerl
felhasznlsra, ha az zenet fejlcben adott szm beszrt bit elrse a cl. rdemes
megfigyelni, hogy a beszrt bitek szma fgg az zenet DLC (Data Length Code) mezjtl
is.

3.3. tblzat: Az adatmez hossztl s a beszrt bitek szmtl fggen a vlaszthat azonostk
szma

Adatbjtok szma (Adatmez hossza) a CAN zenetben


Beszrt bitek szma
0 1 2 3 4 5 6 7 8
0 0 0 0 0 897 897 897 897 1585
1 1585 1703 1763 1763 1020 1020 1020 1020 436
2 436 332 278 278 130 130 130 130 27
3 27 13 7 7 1 1 1 1 0

A 3.3. tblzat adatainak rtelmezse:


Az els esetnl 0-3 bjt az Adatmez hossza (2-5 oszlopok). Ekkor lehetetlen gy
megvlasztani az azonostt, hogy ne legyen az zenet fejlcben beszrt bit, viszont
garantlt, hogy maximlisan 1 beszrt bit lesz. Ennek elrsre 0 bjtos Adatmeznl
1585 db, 1 bjtos Adatmeznl 1703 db, 2 s 3 bjtos Adatmeznl 1763 db klnbz
priorits kerlhet kiosztsra.
A msodik esetben 4-7 bjt az Adatmez hossza. Ekkor elrhet, hogy a keret
fejlcben ne legyen beszrt bit, ahol 897 db Azonostt lehet felhasznlni.
A harmadik esetben 8 bjt van az Adatmezben, s szintn elkerlhetk a beszrt bitek
gy, hogy a felhasznlhat prioritsok szma 1585.
A 3.37. bra beszrt bitek fggvnyben megmutatja, hogy adott Adatmez hossz esetn
hny szzalka hasznlhat az Azonostknak.
3.37. bra: CAN zenet fejlcben elfordul prioritsok valsznsge (adott szm beszrt
bittel) az zenetben lv adatbjtok fggvnyben

3.5.3.2 Bitbeszrs minimalizlsa az adatmezben


A CAN zenet Adatmezejben is az Arbitrcis mezhz hasonlan megjelennek a
beszrt bitek, melyek szma csak a rendszer adatforgalmnak alapos vizsglatval
cskkenthet. Egy rdekes megfigyels szerint a vals kommunikci sorn az 1-es s 0-s
bitek valsznsge nem azonos. Az zenetekbe beszrt bitek szma tlagosan elg nagy,
hozzvetlegesen 2 s 13 kztti.

3.38. bra: Kdols s dekdols

A beszrt bitek szmt cskkent egyik mdszer szerint, az sszefgg bitsorozatbl


vltakoz sorozat ellltsa a cl. Ez megtehet a klds eltti egsz zenet, s a 101010...10
bitmintzat kztti XOR mvelet elvgzsvel. A mdostott zenet fogadst kveten
ugyanezzel a bitmintzattal egy ismtelt XOR mveletet utn, az eredeti zenet visszakaphat
(3.38. bra). Ezzel a mdszerrel a beszrt bitek valsznsgi eloszlsa a 3.39. bra szerint
vltozik. Teht a ksz zenet XOR mvelettel val kdolsval elrhet, hogy a beszrt bitek
szma az zenetek 80%-nl 0 legyen, amely a busz teltettsgt tekintve akr 13%-os
javulst is eredmnyezhet.

3.39. bra: A beszrt bitek valsznsgi eloszlsfggvnye: 1. ha az 1-es s 0-s bitek arnya
50/50; 2. valdi adatforgalomnl; 3. manipullt valdi CAN forgalom esetn.
4 FlexRay kommunikcis rendszer protokoll lers

4.1 Bevezets

Az autkat manapsg egyre tbb rzkelvel, biztonsgi s szrakoztat elektronikval ltjk


el, a jobb ttarts, knyelem s utas biztonsg elrse rdekben. Ezen feladatok elvgzsre
tbbfle protokollt hasznlnak s kapcsolnak ssze, melyek elltjk a szksges funkcikat. A
kvetkez bra a klnbz terleteken hasznlt buszrendszereket mutatja be.

Mikroprocesszor

R
Memria
vezrl e CAN
n
d
s
Informci s Adat
MOST DMA Vezrl z
Szrakozs Puffer CAN
e
r Aktv
Felfggeszts
Adat B
Diagnosztika Ethernet DMA Vezrl
Puffer CAN
u
s
z
Adat
Elektromos vezrls FlexRay
Puffer CAN

4.1. bra: Klnbz terletek buszrendszerei

CAN (Controller Area Network): J vlaszts f alkalmazsokhoz, alacsony ra s nagy


megbzhatsga miatt. Az autkban sok helyen tallkozhatunk ilyen eszkzkkel,
motorvezrls, mrend rtkek (sebessg, hmrsklet) tern. Viszont alacsony 1Mb/s-os
svszlessge miatt nem kpes audi s vide adatok tovbbtsra. Tovbb j hibatr
kpessggel rendelkezik s alapvet protokollja a kzeljvnek.

MOST (Media-Oriented Systems Transport): Ezek az eszkzk megtallhatk a valsidej


alkalmazsokban, DVD lejtszkban, GPS-ben, kijelzkben. 24Mb/s svszlessggel
rendelkeznek s lehetv teszik a szinkron s aszinkron tvitelt is. Jl sklzhat s rendkvl
megbzhat hlzati szabvny.

FlexRay: Az n. x-by-wire vezetk ltali (elektromos vezrls, hagyomnyos mechanikus


helyett) technolgik alkalmazsra lett kifejlesztve, pldul kormnyzs/fkezs
megvalstsra mechanikus/hidraulikus eszkzk nlkl, melyeket a jvben FlexRay
kontrollerek vltanak majd fel. Itt egy nagy sebessg, szinkron s aszinkron tviteli mdot is
tmogat rendszerrl beszlnk, mely 10Mb/s svszlessggel rendelkezik. Tovbb
ktcsatorns mdot is tmogat. Ezt a szabvnyt autgyrtk, elektronikai beszlltk s
flvezetgyrtk hoztk ltre.

A tovbbiakban a FlexRay rendszer rszletesebb bemutatsa kvetkezik.

A FlaxRay protokollt 2000-ben kezdtk fejleszteni, s az 5 ves munka utn mr a 2.1-es


vltozat rhet el, ami sok jtst hozott az eddigi kommunikcis protokollokhoz kpest. Az
alapvet jtsok:

2x10Mb/s svszlessg: Kt kommunikcis csatornt is tmogat egyenknt 10Mb/s


svszlessggel, gy akr hsszor nagyobb svszlessg is elrhet, mint a CAN rendszer
esetben.
Id szinkronizlt: Az adathozzfrst id szinkronizcihoz kti, melyet a protokoll
automatikusan vgez, hogy elrhetv vljon az adat az alkalmazsok rszre. Az idalap
pontossga 0.5-10 s kztt van, ltalban 1-2 s.
Ismert zenet ksleltets garantlt szrssal: A kommunikci periodikusan ismtld
krkbl ll. Minden krben van egy specilis fix helyen lv zenet, melybl a vev
tudja mikor rkezett meg az adat, ezltal az rkezsi id igen jl becslhet s garantlt a
kis szrs.
Redundns s nem redundns kommunikci: A FlexRay redundnsan tovbbtja az
egyes zeneteket, hogy tovbbi rtegek szmra is biztostsa a hlzat megbzhatsgt. A
programozhat tviteli redundancia megengedi a terveznek, hogy redundns tovbbtst
hasznljon, a lehet legjobb svszlessg kihasznls rdekben.
Rugalmassg: Tervezskor a f hangsly a rugalmassgra sszpontosult. Szabadon
vlaszthatjuk meg, hogy redundnsan vagy nem redundnsan tovbbtsuk az zeneteket.
A rendszert optimalizlhatjuk hasznlhatsgra, vagy teljestmnyre, mindezt gy, hogy
kiterjesztjk a rendszert a csompont (node) programjnak belltsa nlkl. Tovbb a
rendszer, klnbz busz topolgikat is tmogat (busz, csillag), valamint
vltoztathatk a belltsi paramterei (zenet hossz, kommunikcis ciklus hossza) s
bellthat a kommunikcis rendszer, hogy megfeleljen az alkalmazs
kvetelmnyeinek.
A FlexRay arra lett tervezve, hogy kiszolglja az j technolgikat s alkalmazsokat, de a
nagy svszlessgnek s hlzati rugalmassgnak ksznheten teljesti tbb jelenlegi
autkban hasznlt alkalmazs szksgleteit is:
CAN felvltsa: Azokban az alkalmazsokban, ahol nagyobb svszlessgre van
szksg, mint amit a CAN biztostani tud, vagy ahol kettnl tbb CAN buszt
hasznlnak prhuzamosan. Tovbb idelis megolds a tbb-buszos rendszerek
felvltsra.
Gerinc: A nagy svszlessgnek ksznheten, alkalmas az autk gerinchlzatnak
kialaktshoz, biztostva a kapcsolatot a sok klnll fggetlen hlzat kztt.
Vals idej alkalmazsok s elosztott rendszerirnyts: A garantlt idtartam
kommunikcis ciklusoknak s alacsony szrsnak ksznheten, a FlexRay rendszer
megfelel az elosztott rendszerek szigor, vals idej kvetelmnyeinek.
Biztonsgot nvel rendszerek: A FlexRay egymaga nem teszi a rendszert
biztonsgoss, de a varicik sokflesge, amit a rendszer nyjt, lehetv teszi a
biztonsg orientlt rendszerek fejlesztst, mint pl. a by-wire rendszerek.

Az elkvetkez fejezetekben a FlexRay rendszer protokolljnak ismertetse kvetkezik.

4.2 Fizikai rteg s elemei

4.2.1 Hlzati topolgik

Sok klnbz mdja van, hogy kialaktsunk egy FlexRay clustert (csompontokbl ll busz
vagy csillag topolgij kommunikcis rendszer). Lehet egy/kt csatorns busz/csillag
elrendezs vagy hibrid megoldsokat is tartalmaz rendszer.
Egy ktcsatorns rendszerben, ahol az egyik csatornt A-val msikat B-vel jelljk, a
csompontok csatlakozhatnak vagy csak az A vagy csak a B csatornhoz, de akr mind a
ketthz is. Amennyiben csatlakozik az egyik csatornra, akkor az ott lv brmelyik
csomponttal tud kommuniklni.
Ha szksg van arra, hogy egyszerre tbb clusterhez is csatlakozzon egy csomponttal, akkor
azt csak klnbz kommunikcis vezrlkn keresztl teheti meg. Vagyis nem
megengedett egy vezrlnek, hogy csatlakozzon egy clusterhez az A s egy msik
clusterhez a B csatornn.
4.2.1.1 Pont - Pont kzti kapcsolat

Ha csak kt csompont (node) csatlakozik a rendszernkhz, akkor a legclszerbb a pont-


pont kzti sszekttetst alkalmazni, ahol a kt csompont kzti maximlis tvolsg 24m
lehet.

Csompont 1 Csompont 2

4.2. bra: Pont-pont kzti kapcsolat plda

A tovbbiakban mr olyan megoldsokkal foglalkozunk, melyekben tbb csompont


csatlakozik a hlzathoz.

4.2.1.2 Passzv csillag topolgia

Ez az elrendezs specilis esete a passzv busznak. Ebben az esetben minden csompont egy
csatlakozsi ponthoz kapcsoldik. Brmely kt csompont kztti tvolsg 24m lehet
maximum.

Csompont 2 Csompont 3

Csompont 1

Csompont 4

4.3. bra: Passzv csillag topolgia plda

A hlzathoz legalbb 3 csompontnak kell csatlakoznia ennl a kialaktsnl s a


kapcsolatok szma maximum 22 lehet.
4.2.1.3 Passzv busz topolgia

A gyr s aktv elemektl mentes struktrkat hvjuk passzv busznak. Kt csompont


kztti maximlis tvolsg szintn 24m lehet.

Csompont 2

Csompont 3

Csompont 1 Csompont 4

4.4.bra: Passzv busz topolgia

Legalbb ngy csompontra van szksg ehhez a topolgihoz, s a csatlakozk szma


minimum kett kell, hogy legyen. Ez addik a ngy csompontbl is, hiszen hrom esetn
mr passzv csillagrl beszlnnk, s itt minden elem egy j csatlakozn keresztl
kapcsoldik a buszhoz.

4.2.1.4 Aktv csillag topolgia

Ez a topolgia pont - pont kztti kapcsolatot hasznl a csompontok s az aktv csillag


kztt. A csillaghoz kapcsold gak minimlis szma kett kell, hogy legyen s egy g
maximlis hossza 24m lehet.

Csompont 2
Csompont 3

Aktv csillag
Csompont 1

4.5. bra: Aktv csillag topolgia plda


Az aktv csillag feladata, hogy a hozz csatlakoz csompont informcijt a tbbi gra is
eljuttassa. A csillag minden ghoz egy kld s egy fogad ramkr tartozik, gy az gak
egymstl elektronikusan fggetlenek.
Ha az aktv csillagnak csak kt ga van, akkor azt degenerlt csillagnak, vagy hubnak hvunk,
s a teljes buszhossz megnvelsre hasznlhatjuk. A msik ok, amirt rdemes hasznlni,
hogy nveli a hiba behatrolhatsgt kt passzv busz kztt.

4.2.1.5 Kaszkd aktv csillag

Kt aktv csillagot kaszkd csillagnak neveznk, ha pont-pont alap kapcsolatban vannak


egymssal. Ezt a kapcsolatot kiterjeszthetjk passzv csillagg/bussz, hogy a ksbbiekben
elrhetv vljon csompontok, vagy jabb aktv csillagok fogadsra.

Csompont 2 Csompont 3 Csompont 4

Aktv csillag Aktv csillag


1 2 Csompont 5
Csompont 1

4.6. bra: Kaszkd aktv csillag plda

Az elkldtt adatfolyam maximum kt aktv csillagot rinthet, mg clba r. Ha a csillag nem


formlja t a fogadott aszimmetrikus adatfolyamot, az lecskkentheti a rendszer ellenllst a
rdi frekvencis zajokkal szemben (vagy cskkenti a robosztussgt a rendszernek).

4.2.1.6 Hibrid topolgik

A FlexRay rendszer lehetsget biztost az eddig bemutatott topolgik egyttes


alkalmazsra, ami ltal tgabb hatrokat nyit az egyes topolgikhoz kpest. Sokfle hlzat
alakthat ki ezzel a mdszerrel, megktst egyedl az eddigi topolgia tpusokra vonatkoz
korltoz felttelek adnak.
Csompont Csompont Csompont
2 3 4

Csompont Csompont
5 6

Aktv csillag Aktv csillag Csompont


Csompont 7
1 2
1

Kaszkd aktv csillag Passzv busz

Csompont Csompont
8 9

Csompont Csompont
10 11

Passzv csillag

4.7. bra: Hibrid topolgia

4.2.1.7 Ktcsatorns topolgik


A FlexRay kommunikcis modul megadja a lehetsget, hogy akr kt csatornt is
kiszolgljunk. Ezzel megnvelhetjk a svszlessget, vagy javthatjuk a rendszer hibatr
kpessgt. Ajnlott megvizsglni s minimalizlni a klnbsget a kt csatorna maximlis
jelksleltetse kztt.
A ktcsatorns rendszerek minden ms tulajdonsga megegyezik a fenti egycsatorns
esetekkel a topolgia, s korltoz felttelek tekintetben egyarnt.

Csompont Csompont Csompont Csompont Csompont


A B C D E

A Csatorna

B Csatorna

4.8. bra: Ktcsatorns topolgia

4.2.2 Busz Driver (BD)

Az elektronikus busz driver realizlja a fizikai kapcsolatot a FlexRay csompont (node) s a


csatorna kztt. A BD biztostja a kldst s fogadst a buszon a csompont modulnak,
ktirny idmultiplexelt binris adatfolyam tovbbtshoz. A tovbbts s fogads mellett,
a BD szolgltatja az eszkzt az alacsony energiaszint mkdshez, a tpfeszltsg
figyelshez, valamint a buszhiba detektlshoz s vdelmet nyjt az elektronikus
vezrlegysg s a busz kztti elektromos kislsekkel szemben.

Host Interface Busz hiba rzkel


Megvalsts fgg

BP
RxD Kommunikci Ad
TxD Vezrl BM
Interface Bels Logika
TxEN

(opcinlis) BGE
Busz Figyel Vev
Interface
(opcis)
(opcinlis) RxEN

Feszltsg
(opcinlis)INH1 Tpegysg Interface Monitor Wake-Up jel rzkel
(opcinlis) Vcc

(opcinlis) Vio

(opcinlis) Vbat

GND

(opcinlis) WAKE

4.9. bra: A busz driver blokkdiagramja

4.2.2.1 A Busz Driver mkdsi mdjai

A Busz Driver-nek kt f mkdsi mdja van: BD_Normal s BD_Standby, melyeket


ktelez implementlni. A fentieken kvl kt tovbbi opcionlis llapotot vehet fel a busz
meghajt: BD_Sleep s BD_Receive_Only, de ezek mg tovbb bvlhetnek egyb termk-
specifikus mdokkal.

BD_Normal: A BD norml mdban fogadhat vagy kldhet adatfolyamot a buszon.


BD_Standby: Ez egy alacsony energia felvtel kszenlti md, melyben a BD nem
kpes adatot kldeni s fogadni a buszrl, de detektlni tudja az un. Wake-Up
(bresztsi) esemnyeket.
BD_Sleep: Megegyezik az elbb lert kszenlti mddal. De itt a BD kimenetn Sleep
jelzs jelenik meg.
BD_Receive_Only: ebben az llapotban csak fogadni tudunk adatot, tovbbtani nem
lehetsges.
Az elbb emltett mdok kztti tmeneteket a kvetkez bra szemllteti.

Nem energiatakarkos zemmdok

BD_ReceiveOnly
BD_Normal
(opcionlis)

BD_Sleep
BD_StandBy
(opcionlis)

Energiatakarkos zemmdok

4.10. bra: A Busz Driver mkdsi mdjai kztti tmenetek

Mdvlts trtnhet a buszon, ha parancs rkezik a Host Interface-en Wake-Up esemny


hatsra, vagy alacsony feszltsgrtk miatt. A host parancsa legalacsonyabb priorits, az
alacsony feszltsg a legmagasabb priorits.
Ha brmilyen alacsony feszltsg knyszertst rzkel a BD, akkor minden nem alacsony
energiafelvtel md alacsony energia felvtelbe fog kerlni. Wake-Up esemny
detektlsakor minden alacsony energia felvtel llapotbl a BD kszenlti llapotba kerl.

4.2.2.2 Busz Driver Kommunikcis Vezrl (Communication Controller, rviden


CC) interfsz

A BD s CC kzti interfsz hrom digitlis elektronikus jelet tartalmaz. Ezek kzl kett
(TxD s TxEN) a BD bemenetei s egy pedig (RxD) a BD kimenett adja.

RxD

TxD
Kommunikci
Vezrl
Busz Driver
TxEN

4.11. bra: Kommunikcis interfsz


A CC arra hasznlja fel a TxD (Transmit Data) jelet, hogy tovbbtsa az aktulis jelsorozatot a
BD fel, mely a kommunikcis csatornra helyezi a tovbbtand adatot. A TxEN (Transmit
Data Enable Not) a CC krst jelzi a BD fel, hogy a megfelel csatorna TxD vonalt
engedlyezze a BD, hogy adatot kldhessen rajta a CC.
A BD hasznlja az RxD (Receive Data) jelet, hogy tovbbtsa az aktulisan fogadott adatokat
a CC-nek.

4.2.2.3 Busz Driver Host interfsz

A BD s a host kzti interfsz lehetv teszi a host szmra, hogy vezreljk a BD mkdi
mdjait, valamint kiolvashatjuk a hibafeltteleket s sttusz informciakt a BD-bl. Ezt az
interfszt vagy kzvetlenl huzalozott (hard wired) jelekkel vagy soros perifrikus
interfsszel valstjuk meg.

4.2.2.4 Kzvetlenl huzalozott (Hard Wired) jelek

Ez a megvalstsa a BD host interfsznek diszkrt kzvetlenl huzalozott jeleket hasznl.


Az interfsz tartalmaz legalbb egy STBN (Standby Not) jelet, melyet arra hasznlunk, hogy
irnytsuk a BD mkdsi mdjt, valamint egy ERRN (Error Not) jelet, melyet a BD a
felismert hibk jelzsre hasznl. Az interfsz magba foglalhat utlagos irnyt jeleket (Itt
az EN jelet pldaknt tntettk fel.) melyek tmogatjk az opcionlis mkdsi mdok
irnytst.

STBN

EN
Host Busz Driver
ERRN

4.12. bra: Kzvetlenl huzalozott jel

4.2.2.5 Soros perifrikus jelek (SPI)

Ezen megvalstsa a BD host interfsznek lehetv teszi a host szmra, hogy


megvltoztassa a BD mkdsi mdjt, s kiolvassa a BD llapott. Hozz kell tennnk, hogy
a BD-nek van egy kzvetlenl huzalozott megszakt kimenete (INTN).
SCSN

SDI

SDO
Host Busz Driver
SCK

INTN

4.13. bra: Soros perifrikus jel

4.2.2.6 Busz Driver Tpegysg interfsz (opcionlis)

A gtl jel (INH) egy opcionlis interfsz, mely lehetv teszi, hogy a BD irnytsa egy ECU
tpelltst. Ezt a jelet gy hasznljuk, mint brmelyiket a jelek halmazbl, melyek
irnytjk az ECU energia llapotait.

Busz Driver INH Tpegysg

4.14. bra: Tpegysg interfsz

4.2.3 Busz Figyel (Busz Guardian, rviden BG)

A BG gy viselkedik, mint egy logikai entits s a fizikai rteg szempontjbl egy fekete
doboz, vagyis bels felptst a fizikai rteg nem ismeri, csak a bemen s kimen jeleket.
A BG egy f komponense a fizikai rtegnek, felptst a kvetkez bra mutatja:
SCSN
SCK
SDO Host Interface
SDI
INTN

Bels Logika
TxEN (Busz Figyel mag)
ARM Kommunikci
MT Vezrl
Interface
BGT

BGE
Busz Figyel Feszltsg
Tpegysg Interface
Interface Monitor
RxEN

RSTN

ECLK

Vcc

(opcinlis) Vio

GND
4.15.bra: A Busz Figyel felptse

4.2.3.1 A Busz Figyel mkdsi mdjai


A fizikai rteg nzpontjbl csak kt llapota van, BG_Normal s BG_Standby. Ezen
llapotok kztti vltst csak a kapott feszltsg rtke befolysolja ahol, ha a feszltsg
alacsony, akkor kszenlti (Standby) llapotba kerl, egybknt a norml mdban zemel.
BG_Normal: Norml zemelsi md.
BG_Standby: Meglltja a mveletet s Silence jelzst kld a BD BG interfsz.

4.2.3.2 Busz Figyel Host interfsz


A BG s host kztt egy soros perifrikus interfszt hasznlunk, mely tartalmaz egy
megszakt vonalat, mivel hiba esetn jelznk a host fel. Ezzel az interfsszel a BG-t
brmely mkdsi llapotba juttathatjuk s tanulhatunk valamit a BG hiba sttuszrl.

4.2.3.3 Busz Figyel Kommunikcis Vezrl (CC) Interfsz

Ez az interfsz biztostja az id szinkronizlst a BG s CC kztt, valamint ellenrzi a CC


kldsi ksrleteit. Az interfsz az ARM, MT, BGT s TxEN jeleket tartalmazza.

4.2.3.4 Busz Guardian Busz Driver interfsz

Az interfsz kt elektronikus jelet tartalmaz, melyek a kvetkezk: Bus Guardian Enable


(BGE) mely a BG kimenete, s egy RxEN bemenet.

Az alacsony szint BGE jel esetn a BG Silence jelzst, magas szint esetn pedig noSilence
jelzst ad a BD-nek.
4.2.3.5 Bus Guardian Tpegysg interfsz
Kt lnyeges pontot tartalmaz:
Vcc az a tpfeszltsg, mely a BG mkdshez szksges.
GND a rendszer elektronikus fldelst adja.

4.3 Protocol Operation Control (POC) Protokoll irnyts

A protokoll alapvet viselkedst 4 f mechanizmus szablyozza:

Codeing and Decoding Kdols/Dekdols


Media Access Controll Kzegelrs
Frame and Symbol Processing Keret/Szimblum feldolgozs
Clock Synchronization Szinkronizls

Ezeket sszefogva, a Controll Host Interface (CHI) biztostja a lehetsget, hogy


megszerkesztett formban frjen hozz a host a 4 f s protokoll mechanizmushoz, belertve
a POC-ot, ami visszacsatolst biztost a host fel.

4.3.1 Communication Controller (CC) power modding CC energia


llapotai

Mieltt a POC vgrehajtan az elrt utastsait, a CC-nek el kell rnie egy llapotot, ahol
stabil energiaelltst kap. A POC csak akkor folytathatja a mkdst, ha a stabil energia
ellts biztostott. A CC llapotait a kvetkez bra mutatja:

Kikapcsolva

Bekapcsolva

POC
Reset
zemkpes

4.16. bra: A CC llapotai


A kikapcsolt llapotban lv CC nem kap elg feszltsget a mkdshez. Bekapcsolt
llapotban viszont a CC garantlja, hogy az sszes csatlakoz az elrt rtkeknek megfelel
feszltsg adja. Ahhoz hogy a CC-t bekapcsoljuk elszr reset llapotba kell billenteni. A
restet llapotbl gy trhetnk t a POC zemkpes (operational) llapotba, ha a kvetkez
kt felttel teljesl:
az zemeltetsi feszltsgszint egy adott ideig fennll
a hardveres reset nincs belltva.
A POC zemeltetsi tartomnybl gy trhetnk t reset llapotba ha, a kvetkez kt felttel
teljesl:
a feszltsg nem ri el az zemeltetsi szintet, de magasabb, mint a kikapcsolsi szint
a hardveres reset be van lltva.
A POC zemeltetsi llapotban a CC a termkspecifikciban elrt feszltsget vezeti a
csatlakozkra.

4.3.2 Mkdsi ttekints


POC folyamat akkor jn ltre, ha a CC a POC zemkpes llapotba kerl, s akkor
fejezdik be, ha a CC llapotot vlt, vagy kilp. A POC megbzhatan ltrehozza a megfelel
folyamatokat, a f mechanizmusok rszre s informlja azokat, ha le kell llniuk. gy
biztonsgosan vlthatnak mdot a protokoll f folyamatai, reaglva a csompontban trtn
felttelek megvltozsra. Mdvltsok a f folyamatokban akkor fordulnak el, ha a POC
megvltoztatja llapott. A legtbb POC llapotvlts ltalban egy folyamat befejezsekor
trtnik. A protokoll irnyts fbb lpseit a kvetkez bra foglalja ssze:
POC zemksz
Alaprtelmezett
belltsok

Konfigurls

Felfggesztett

Ksz

Passzv
Felbreszts Induls Aktv Norml
Norml

4.17. bra: A protokoll irnyts fbb lpsei

4.3.2.1 Hiba felttelek

A POC ktflekppen reaglhat egy hibra. Slyos/lnyeges hiba esetn a POC egybl
felfggesztett (halt) llapotba kerl. A POC tartalmaz egy 3 llapot hibatr degradcis
modellt, amely elvisel adott szm hibt egy idperidusban. Ebben az esetben a POC nem
kerl egybl felfggesztett llapotba.

4.3.2.2 Slyos hibk, melyek azonnali felfggesztst okoznak


Termk specifikus hibk
Freeze parancs hatsra hibhoz vezet feltteleket detektl a host
Vgzetes hibhoz vezet feltteleket szlelnk a POC f folyamatban
4.3.2.3 Hibakezels a degradcis modellel
A POC-hoz tartozik egy 3 llapot degradcis modell, amely arra lett tervezve, hogy
reagljon bizonyos hibafelttelekre, amelyeket az ra szinkronizcis folyamat rzkel, de
nem ignyel azonnali beavatkozst, hogy hibatrst biztostsunk a szinkronizcinak. Ezzel
elkerljk az azonnali felfggesztst, mg felbecsljk hiba nagysgt s termszett.
A modellt hrom POC llapot alkotja:
norml aktv
norml passzv
felfggesztett

Norml aktv llapotban a csompont hibamentesen, vagy egy minimlis hibahatron bell
mkdik, akkor megengedjk, hogy norml tartomnyban maradjon a POC. Vagyis ha a
csompont megfelelen van szinkronizlva egy kommunikcis rendszerhez, akkor
folytathatja az tvitelt anlkl, hogy a tbbi csompont tvitelt megszaktan.

Norml passzv llapotban felttelezzk, hogy a szinkronizci a cluster tbbi rszhez


kpest alacsony, akkor a keret tovbbtsa nem folytathat, mivel az tkzseket okozhatna a
tbbi csompont kereteinek tvitelben. A keretek fogadst tovbb folytathatja a csompont
ebben az llapotban, hogy a host elvgezze az jraszinkronizlst s ebbl az tmeneti
llapotbl jra norml aktv llapotba kerljn.

Ha tovbbi hibkat szlelnk a passzv llapotban, vagy sok hiba fordult mr el, akkor a
POC felfggesztett (Halt) llapotba kerl. Ebbl az llapotbl mr nem kerlhetnk vissza
egybl a norml aktv tartomnyba, ugyanis a POC lelltja a f mechanizmusokat, hogy
elksztse s jrainicializlja a csompontot.

4.4 Kdols / Dekdols

Ha kt csatornt rendelnk minden csomponthoz, akkor szksgnk lesz kt fggetlen


halmazra a kdol/dekdol folyamatokhoz, egyre az A, egy msikra pedig a B csatornhoz.

A kdols/dekdols hrom folyamatbl pl fel. Egy f kdols / dekdols (CODEC) s


kt alfolyamatbl:
bitvlaszt folyamat (BITSTRB)
Wake-Up minta dekdols (WUPDEC)
A POC felel azrt, hogy ltrehozza a CODEC folyamatot, mieltt belpne az un. POC Ksz
(ready) llapotba. Ha ltrejtt, akkor onnantl a CODEC feladata az alfolyamatok ltrehozsa,
illetve a POC feladata, hogy kiadja a jelet, mely hatsra a CODEC folyamat vget r.

4.4.1 Keret kdols

4.4.1.1 Transmission Start Sequence tvitel kezdete (TSS)


A TSS-t arra hasznljuk, hogy kiptse a megfelel kapcsolatot a hlzaton keresztl. Egy
kld csompont generl egy TSS-t, ami egy megadott ideig folytonos alacsony szintet
generl.

4.4.1.2 Frame Start Sequence Keret kezdete (FSS)


Az FSS-t hasznljuk, hogy kompenzlja a lehetsges kvantlsi hibt az els BSS-ben az FSS
utn. Az FSS egy magas rtket fog tartalmazni meghatrozott gdBit (nvleges bit id) ideig.
A csompont hozzfzi az FSS-t a bitfolyamhoz, kzvetlenl a kldend keret TSS-e utn.

4.4.1.3 Byte Start Sequence Bjt kezdete (BSS)


A BSS biztostja az idztsi informcikat a fogad eszkzknek. A BSS egyms utn egy
magas s egy alacsony bitet tartalmaz, mindegyiket egyarnt gdBit ideig. Minden bjtja a
keret adatnak egy kiterjesztett bjt sorozatknt tovbbtdik, ami tartalmazza a BSS-t s az
azt kvet 8 adat bitet.

4.4.1.4 Frame End Sequence Keret vge (FES)


A FES jelli meg az utols bjt sorozatot az adott keretben. Az FES egyms utn egy
alacsony s egy magas bitet tartalmaz, melyeket gdBit ideig tart fenn. A csompont beszr
egy FES-t a bit folyamba, kzvetlenl a keret utols kiterjesztett byte sorozata utn.

Ha statikus szegmensben tovbbtunk keretet, akkor a msodik bitje az FES-nek az utols


bitjt adja a tovbbtott bit folyamnak. Eredmnykppen a kld csompont belltja a TxEN
jelet magas szintre a FES utols bitje utn. Ha dinamikus szegmensben tovbbtunk keretet,
akkor az FES utn a DTS kvetkezik.

4.4.1.5 Dynamic Trailing Sequence Dinamikus nyomkvets (DTS)


A DTS, amit csak a dinamikus keretek tovbbtsnl hasznlunk, jelzi a minislot akci pont
(AP) pontos helyt az idben s megelzi, hogy a csatornn id eltt detektljon a fogad
resjratot. Mikor dinamikus szegmensben kldnk keretet, akkor a csompont a DTS-t
kzvetlenl a keret FES sorozata utn kldi el.

A DTS kt rszbl ll egy vltoz hosszsg peridus a TxD kimenet alacsony szintjhez,
ezt kveti egy fix hosszsg peridus a TxD kimenet magas szintjhez. Az alacsony szint
peridus minimlis hossza egy gdBit. Ezutn, a minimlis hossz utn a csompont a TxD
kimenetet alacsony szinten hagyja a kvetkez minislot akci pontig, ahol is a csompont a
TxD kimenetet magas szintre lltja, s egy gdBit ksleltets utn a TxEN kimenet is magas
szintre lltja. A DTS hossza vltoz 2gdBit-tl egszen 2gdBit + gdMinislotig (minislot
idtartamig).

4.4.1.6 Frame Bit Stream assembly Keret bitek sszelltsa


Ha kiadjuk a parancsot egy keret tovbbtsra, akkor a csompont sszellt egy kimen
bitfolyamot a keret adataibl a fent lert elemek hasznlatval. A viselkeds, amit a CODEC
folyamat r le a kvetkez lpsekbl ll:
1. A keretadatok szttrdelse bjtokra.
2. TSS ltrehozsa a bitfolyam kezdethez.
3. Hozzadjuk az FSS-t a TSS vghez.
4. Ltrehozni kiterjesztett bjt sorozatokat minden keret adat bjthoz, BSS beszrsval a
bjtok el.
5. Szerkeszteni egy folytonos bitfolyamot a keret adathoz, azonos sorrendben sszefzve
a kiterjesztett bjt sorozatokat a keret adat bjtokhoz.
6. Kiszmolni a keret CRC bjtjait, ltrehozni kiterjesztett bjt sorozatokat ezekhez a
bjtokokhoz. Fzzk ssze ket, hogy kialaktsuk a bitfolyamot a keret CRC-hez.
7. Fzznk hozz egy FES-t a bitfolyam vghez.
8. Fzznk DTS-t az FES utn.
4.18. bra: Statikus szegmensben tovbbtott keret bitfolyama a CODEC folyamat kapcsold
esemnyeivel

A fenti bra egy statikus szegmensben tovbbtott keret bitfolyamt brzolja, a CODEC
folyamat kapcsold esemnyeivel:
a) A MAC (Media Access Control) folyamat jelzi, hogy keretet kldhetnk, s kimen
jelet kldnk az FSP (Frame and Symbol Processing = Keret s Szimblum
Feldolgozs) folyamatnak, hogy fejezze be a dekdolst.
b) Kimen jelet kldnk az FSP folyamatnak, hogy elkezdheti a dekdolst.

4.19. bra: Dinamikus szegmensben tovbbtott keret bitfolyama a CODEC folyamat kapcsold
esemnyeivel

A fenti bra egy dinamikus szegmensben tovbbtott keret bitfolyamt brzolja, a CODEC
folyamat kapcsold esemnyeivel:
a) A MAC folyamat jelzi, hogy keretet kldhetnk, s kimen jelet kldnk az FSP
folyamatnak, hogy fejezze be a dekdolst.
b) Kimen jelet kldnk a MAC folyamatnak, hogy a DTS elkezddtt.
c) A MAC folyamat jelzi, hogy lltsuk le az tvitelt.
d) Kimen jelet kldnk az FSP folyamatnak, hogy elkezdheti a dekdolst.
4.4.2 Szimblum kdols

A FlexRay protokoll hrom szimblumot definil, amit kt eltr bitminta reprezentl:


tkzs elkerlse szimblum (CAS)
Kzeghozzfrs teszt szimblum (MTS)
Wakeup szimblum (WUS)
A csompont azonos mdon kdolja a CAS s WUS szimblumokat. A fogad a csompont
protokoll llapottl fggen tesz klnbsget a kt szimblum kztt, mg a kdolsi
folyamat nem tesz klnbsget kztk.

4.4.2.1 CAS and MTS


Ezeknek a szimblumoknak a tovbbtst a csompont egy TSS-sel kezdi, amit egy alacsony
szint kvet cdCAS ideig. A tovbbts akkor kezddik, mikor a TxEN s TxD jelek lei
szinkronba kerlnek.

4.20. bra: Bitfolyam a CODEC folyamat lnyeges esemnyeivel

A fenti bra egy bitfolyamot brzol egy CAS vagy MTS szimblumhoz, valamint a CODEC
folyamat lnyeges esemnyeit:
a) A MAC folyamat engedlyt ad a szimblum tovbbtsra, s kimen jelet kldnk az
FSP folyamatnak, hogy fggessze fel a dekdolst.
b) Kimen jelet kldnk az FSP-nek, hogy kezdje el a dekdolst.

4.4.2.2 WakeUp Symbol (WUS)


A csompont tmogat egy dediklt WUS-t, ami alacsony szint s resjrati bitek sorozatbl
ll. A csompont WakeUp mintkat (WUP) generl, amit a WUS megismtlsvel r el. Az
ismtlsek szma belltstl fgg.
4.21. bra: Kt WUS-bl ll WUP a CODEC folyamat lnyeges esemnyeivel

Egy kt WUS-bl ll WUP-ot lthatunk a fenti brn, a CODEC folyamat lnyeges


esemnyeivel:
a) A POC folyamat engedlyt ad szimblum tvitelre.
b) Kimen jelet kldnk a POC-nak, hogy a WUP tvitele befejezdtt.
A csompont akkor tovbbtja a WUS-t, ha a TxEN s TxD jelek lei szinkronban vannak. A
WUS tvitelnl nincs szksg TSS-re, valamint a csompont csak a WUS resjrati (idle)
pozciiban tudja a csatorna aktivitst figyelni egy WUP-on bell.

4.4.3 Mintavtelezs s Majority voting (Tbbsgi szavazs)

A csompont mintavtelezi az RxD bemenetet gy, hogy az egyes mintavtelezsi


peridusokban a csompont mintt vesz s trolja az RxD bemenet rtkt.
A csompont a mintavtelezett RxD jelen hajtja vgre a tbbsgi szavazst. Az eljrs clja,
hogy szrje a bemeneti jelet, s elrejtse a tskket. Itt a tske alatt olyan esemnyt rtnk, ami
megvltoztatja a fizikai rteg aktulis llapott oly mdon, hogy az szlelt logikai llapotot
tmenetileg megvltozatta egy rtk, ami klnbztt a kldttl.
A dekder folyamatosan rtkeli az utols eltrolt mintt, s szmolja a magas szint mintk
szmt. Ha a mintk tbbsge magas szint volt, akkor az n. szavaz egysg kimenet magas
szintet fog adni, egybknt alacsony szintet kld.
4.22. bra: Mintavtelezs s a tbbsgi szavazs eljrsok

A fenti bra szemllteti a mintavtelezst s a tbbsgi szavazs eljrst. A csatorna


mintavtelezsi id felfut lre mintt vesznk az RxD bitfolyambl, s az aktulis rtkt
eltroljuk a szavazsi ablakban. A kimenetet az ablakban lv tbbsgi (0 vagy 1) mintk
adjk. gy az egyedlll tskk, melyek egy vagy kt rajelig tartanak lthatatlanok
maradnak. Tske nlkli esetben pedig egy fix ksleltetse van a rendszernek, ugyanis idbe
telik, mg a szavazsi ablakban tbbsgbe kerlnek a csatorna jelei.

4.4.4 Bit rabellts s Bitvlaszts (BITSTRB)

A bit rabellts mechanizmus szinkronizlja a helyi bit rt, a tbbsgi mintavtelezett jel
kimentnek bitvlasztshoz.
Egy mintaszmll szmolja az elbb emltett jel mintit ciklikusan egy adott sugrban.
A bitszinkronizcis l arra szolgl, hogy jraszinkronizlja a fogad bitrjt. A csompont
engedlyezi a bitszinkronizcis let minden alkalommal, mikor magas bitet vlasztunk
kivve mikor a keret fejlc (header), adatok (payload), vagy lezrs (trailer) bitjeit
dekdoljuk.
A bit rabellts vgrehajtja a bitszinkronizcit, amikor engedlyezett, vagy amikor a jel
alacsony szintre vlt.
Amikor egy bitszinkronizcis let detektlunk, a bit rabellt nem fogja nvelni a
mintaszmll rtkt, de kettre lltja az rtkt a kvetkez minta rkezsekor. A
csompont csak a tbbsgi mintavtelezett jel lefut lre hajt vgre bitszinkronizlst.
Valahnyszor egy bitszinkronizcit hajtunk vgre, a bit rabellt mechanizmus letiltja a
tovbbi bitszinkronizcit, amg az nem lesz jra engedlyezve a fent lertak alapjn. A
bitfolyam dekdol eljrs vgrehajt legalbb egy bitszinkronizcit kt egymst kvet
BITSTRB pont kztt.
A bitszinkronizcis folyamat definilja a ciklikus mintaszmll llapotait, melyek minden
krben meghatrozzk a vlaszt pontot (strobe point). A vlaszt pont az a pont az idben,
mikor a ciklikus mintaszmll s a vlaszt eltols (strobeoffset) rtke megegyezik.
A bit ki lesz vlasztva, mikor a szmll rtke elri az eltolst, ha ez nem vg egybe a
bitszinkronizcival. Ha ez a felttel teljesl, akkor a tbbsgi mintavtelezett jel aktulis
rtkt jvhagyjuk, s ezt jelezzk a tbbi folyamat fel. Ezt az eljrst nevezzk
bitvlasztsnak.
Az albbi bra mutatja a szinkronizci folyamatt, mikor egy keretet fogadunk.

4.23. bra: A szinkronizci folyamata egy keretet fogadsa esetn

4.4.5 Csatorna resjrat szlelse

A csompont arra hasznlja a csatorna resjrat szlels folyamatt, hogy azonostsa az


aktulis kommunikcis elem vgt. Az resjrat rzkels krnyezetfggetlen, ugyanis
resjratot rzkelnk akkor is, amikor magas szint kivlasztott bitet dekdolunk a
csatornn, pedig ezt nem tekintjk resjratnak. Azonban az resjrat rzkels nem aktv,
mg a csompont kdol egy kommunikcis elemet. A kdols s dekdols klcsnsen
kizrjk egymst s az resjrat szlels a dekdol folyamat egy logikai komponense.
Amikor a CODEC folyamatot ltrehozza a POC, azonnal ltrejn a BITSTRB folyamat, ami
fut (GO) llapotba kerl, ahol az resjrat szlelse biztostott. A csatorna aktulis
resjratnak szlelshez kezdeti felttel, hogy a csatorna aktv legyen, gy hogy egymst
kveten magas szint vlasztott biteket kell dekdolnunk.
A BITSTRB folyamatosan kapcsolgat a fut s a kszenlti (STANDBY) mdjai kztt, amit
a CODEC folyamat irnyt. Amikor a CODEC kdol egy kommunikcis elemet, akkor a
BITSTRB kszenlti llapotba kerl, s az resjrat rzkels vget r. Amikor a kdols
vget r, a CODEC visszahelyezi a BITSTRB folyamatot a fut llapotba, ahol az resjrat
rzkels jra aktivldik. Valahnyszor a BITSTRB folyamat fut mdba kerl, a
csatornnak aktvnak kell lennie, gy hogy egymst kveten magas szint vlasztott biteket
kell utlag dekdolnunk a csatorna aktulis resjratnak szlelshez.

4.4.6 Akci Pont s Id Referencia Pont (TRP)

Az akci pont (AP) egy pillanat az idben, melyben a csompont vgrehajt egy specilis
akcit, hogy belltsa a sajt loklis idegysgt.
Az raszinkronizcis algoritmusnak szksge van a kld szinkronizcis keretnek s a
fogad megfelel slotjnak statikus slot akci pontjai kztt fennll idklnbsgek
felmrsre. Valsznleg a fogad csompontnak nincs kzvetlen ismerete egy msik
csompont statikus AP-jrl. Az raszinkronizcis algoritmus ahelyett, hogy kvetkeztetne a
kldk akci pontjainak idbeli elhelyezkedsre, egy felmrst vgez a szinkronizcis
keretek megrkezsi idejbl.
Van egy bizonyos hats a fizikai tovbbt kzegben, ami lehetv teszi, hogy az els let a
keret kezdetn hosszabb ideig ksleltessk, mint a tbbi let ugyanabban a keretben, ezzel
megrvidtve a TSS-t a fogad oldalon. Ezt a hatst TSS csonktsnak nevezzk s tbbfle
oka is lehet. Ezek a hatsok sszeaddnak s cskkentik a TSS hosszt minden egyes TSS
tvitelnl. A csompont akkor fogadja el a TSS-t rvnyesnek, ha minden egymst kvet
alacsony szint vlasztott bitet detektlunk egy l-(n+1) sugron bell, ahol n a kld ltal
kldtt TSS hosszt takarja.
A jelek tovbbtsakor kt csompont kztt terjedsi ksleltets lp fel. Ez a ksleltets
megegyezik a tovbbtott lek mindegyikvel, kivve az elst a keret kezdetekor. Az albbi
bra mutatja a terjeds ksleltetsnek s a TSS csonktsnak a hatst.

4.24. bra: A terjeds ksleltetsnek s a TSS csonktsnak hatsa


A TSS csonkts s a terjedsi ksleltets eredmnye, hogy nem tudjuk preczen meghatrozni
a kapcsolatot akztt, hogy mikor kezdi a fogad olvasni a TSS-t, s hogy mikor kezdi az ad
kldeni azt. Ezrt szksges, hogy meghatrozzuk az idmrtket egy fogadott keret egy
olyan elme ltal, melyre nincs hatssal a TSS csonkts. A fogad csompont szerez egy
idblyeget a msodlagos TRP-tl, mg az zenet els BSS-je tart, s ezt hasznljuk fel, hogy
kiszmoljuk az elsdleges TRP-hez tartoz idblyeget, ami megmondja, hogy mikor kellene
a csompontnak ltnia a TSS kezdett, ha a TSS-t nem terheli a csonkts, illetve a terjedsi
ksleltets. Az elsdleges TRP-hez tartoz idblyeget arra hasznljuk, hogy megfigyeljk a
keret rkezsi idejt az ra szinkronizcis algoritmus ltal.
Az els BSS msodik bitjnek a vlaszt pontja s a msodlagos TRP egy kereten bell van.
A fogad el fogja kapni az idblyeget, minden keret indulsa utn a msodlagos TRP-nl.
A csompont az elsdleges TRP-t a msodlagos TRP idblyegbl fogja szmolni. Az
elsdleges TRP idblyeg a szinkronizcis keret megrkezsi idejnek megfigyelst
biztostja az raszinkronizcihoz, s engedlyezi az FSP folyamaton keresztl a keret
(frame) dekdols jelet. Az elsdleges s msodlagos TRP-t egyarnt n. microtick-ben
mrjk.

4.25. bra: Az id referenciapont szmtsa s a kapcsold lnyeges esemnyek

A fenti brn lthatjuk az id referenciapont szmtst, valamint a kapcsold lnyeges


esemnyeket:
a) A kld statikus AP-ja az a pont, melynl a tovbbt elkezdi kldeni a keretet.
b) Msodlagos TRP, melyet az els BSS msodik bitjnl tallunk. Ennl a pontnl a
dekdol folyamat egy kimen jelet fog adni az raszinkronizcit kezd folyamatnak
(CSS), melyben kzli, hogy egy keretet kezdtnk adni.
c) Az elsdleges TRP-t a msodlagos TRP-bl szmoljunk kivonva belle egy fix
eltolst, amit dekdolsi korrekcinak hvunk, valamint egy ksleltetst kompenzl
rtket, amit a terjedsi ksleltets hivatott korriglni.

4.4.7 Keret s szimblum dekdols


Egy csatorna dekdol folyamata nem tmogat egyidejleg keret s szimblum dekdolst,
azaz egy adott csatornn a csompont csak egy dekdol folyamatot (keret vagy szimblum)
engedlyez egy idben.
A dekdol folyamat biztostja az egymst kvet kommunikcis elemek hibamentes
dekdolst, amikor az elz elem utols bitje s az azt kvet kommunikcis elem els
bitje kztti tvolsg nagyobb vagy egyenl a csatorna resjrat hatrol bitnl.

4.4.7.1 Keret dekdols


A keret a csatorna resjrat utn kezddik az els alacsony szint vlasztott bittel. A csatorna
resjrat hatrol besorolja az egymst kvet magas szint biteket a csatorna resjrat
felismer pont (CHIRP) el.

4.26. bra: A fogadott keret bitfolyama s a CODEC valamint BITSTRB folyamatokkal


kapcsolatos esemnyek bitfolyama

A fenti bra mutatja a fogadott keret bitfolyamt s a CODEC valamint BITSTRB


folyamatokkal kapcsolatos esemnyek bitfolyamt:
a) A POC fel jelezzk, hogy vge az resjratnak, a MAC s FSP folyamatokkal pedig
kzljk, hogy kommunikcis elem (CE) tvitele kezddtt meg.
b) A CSS folyamattal kzljk, hogy megkezddtt a keret tovbbtsa.
c) A POC fel jelezzk, hogy fejrsz rkezett.
d) FSP-vel tudatjuk, hogy a keretet dekdoltuk.
e) Az FSP, MAC s POC folyamatoknak jelezzk, hogy CHIRP-t rzkeltnk a
csatornn.

4.4.7.2 Szimblum dekdols


CAS s MTS szimblumok dekdolsa
A csompont a CAS s MTS szimblumokat azonos mdon dekdolja. Ezeknek a
szimblumoknak a kdolsa egy adott ideig tart alacsony szinttel trtnik kzvetlenl a TSS
utn, viszont a fogad nem tudja elhatrolni a TSS-t s az azt kvet alacsony szint biteket,
melyeket a CAS s MTS kdolsa kzben hozunk ltre.
Megoldsknt, hogy rzkelni tudjuk a CAS s MTS jeleket, csak akkor tekintjk
rvnyesnek a kdolst, ha alacsony szintet rzkelnk egy paramterek ltal hatrolt
idintervallumban.

4.27. bra: A fogadott CAS/MTS jelek bitfolyama a hozzjuk kapcsold CODEC s BITSTRB
folyamatokkal

Az brn a fogadott CAS/MTS jelek bitfolyamt lthatjuk, a hozzjuk kapcsold CODEC s


BITSTRB folyamatokkal:

a) A POC-nak jelezzk, hogy az resjratnak vge, a MAC s FSP folyamatokkal pedig


kzljk, hogy CE tvitele kezddtt meg.
b) A POC s FSP folyamatoknak jelezzk, hogy a szimblumot dekdolunk.
c) Az FSP, MAC s POC folyamatoknak jelezzk, hogy CHIRP-t rzkeltnk a
csatornn.

WUS szimblum dekdolsa


Egy WUP szlelse, ami legalbb kt WUS-bl ll, melyek kdolsa rvnyes, ha a
kvetkez felttelek teljeslnek:
1. Alacsony szintet rzkeljnk legalbb t ideig.
2. Ezt kvesse legalbb h ideig egy magas szint.
3. Ezutn pedig ismt legalbb t ideig alacsony szintnek kell kvetkeznie.
4. Az elz pontokban megadott biteknek pedig egy maximum k mret ablakban kell
megjnnik.

4.5 Keret formtum

A FlexRay keret formtum hrom f rszbl ll: Fej rsz, Adat rsz, Hibaellenrz. Ha egy
node keretet kld a hlzatra, akkor elszr a Headaer Segment (Fejrsz) fog megjelenni,
majd az Payload Segment (Adatszegmens) kvetkezik, s vgl a Trailer Segment
(Hibaellenrzs) rkezik meg. Az egyes rszeken bell a csompont balrl jobbra tovbbtja
a mezket az albbi bra alapjn.

4.28. bra: FlexRay keret formtum


4.5.1 Fejlc Szegmens (Header Segment) (5 byte)

Fentartott (Reserved) bit (1 bit)


Ez egy fenntartott bit a jvbeni protokoll bvtsre. Ha az alkalmazs nem hasznlja, akkor
a kld csompont 0-ra lltja s a fogad csompont pedig figyelmen kvl hagyja a
fenntartott bitet.

Adat bevezet indiktor (Payload preamble indicator) (1 bit)


Adat bevezet indiktor jelzi, hogy a tovbbtand keret tartalmaz-e egy opcionlis vektort az
adatszegmensben.
Ha a keretet egy statikus szegmensben tovbbtjuk, akkor az indiktor ltrehoz egy
hlzat irnyt vektort, az adatszegmens kezdethez.
Ha a keretet egy dinamikus szegmensben tovbbtjuk, akkor az indiktor ltrehoz egy
zenetazonostt (message ID) az adatszegmens kezdethez.
Ha az bevezet indiktor bitet 0-ra lltjuk, akkor az adatszegmensnk nem fog
tartalmazni hlzatirnyt vektort vagy zenetazonostt.
Ha rtkt 1-re lltjuk, akkor hlzat irnyt vektort fog tartalmazni, ha statikus
szegmensben, zenet azonostt, ha dinamikus szegmensben kerl tovbbtsra a
keret.

res keret indiktor (Null frame indicator) (1 bit)


Az res keret indiktor jelzi, hogy a tovbbtand keretnk tartalmaz-e hasznos adatot, vagy
sem. Egy res keretet fogad csompont mgis informcit kaphat a keretet illeten.
Ha rtke 0, akkor a keretnk nem tartalmaz rvnyes adatot, s az adatrsz minden
rtkt 0-ra lltjuk, vagy ha egy alkalmazs ignyli, akkor az adatmez kiolvashat.
Ha rtke 1, akkor az adatszegmens rvnyes informcit hordoz.

Szinkronizcis keret indiktor (Sync frame indicator) (1 bit)


A szinkronizcis keretet indiktort a szinkronizcis folyamatokhoz hasznljuk.
Ha rtke 0, akkor egyik fogad csompont sem hasznlja fel a keretet
szinkronizlsra.
Ha rke 1, akkor minden fogad csompont felhasznlja az adott keretet
szinkronizlsra feltve, ha az megfelel minden kvetelmnynek s felttelnek.

Indt keret indiktor (Startup frame indicator) (1 bit)


Megadja, hogy Indt keretet kaptunk-e vagy sem. Ezeknek a kereteknek specilis feladatuk
van az indt mechanizmus folyamn. Indt kertet csak az n. hideg indts (coldstart)
csompontok kldhetnek. Az ilyen tpus csompontok alkalmasak arra, hogy elindtsk a
kommunikcis folyamatot egy clusteren, indt keret kldsvel.
Ha rtke 0, akkor nem indt keretrl van sz.
Ha rtke 1, akkor indt keretet kaptunk.

Ha az aktulis csompontunk hideg indts csompont s az indiktorunkat 1-re lltottuk,


akkor a fejrsz szinkronizcis keret indiktor rtkt is 1-re kell lltanunk.

Keretazonost (Frame ID) (11 bit)


A keretazonost definilja, hogy az adott keret melyik slotba kerl tovbbtsra. Minden
egyes keret azonost csak egyszer hasznlhat egy csatornn egy kommunikcis ciklus
alatt, valamint minden egyes keretnek melyet tovbbtunk, kapnia kell egy azonost szmot.
A Keret Azonost tartomnya 1-tl 2047-ig terjed. Ahol 0, az rvnytelen keretek
jelzsre van fenntartva.
A csompont, mely tovbbtja az azonostt, mindig a legnagyobb helyi rtk bittel kezdi s
utna cskken sorrendben kldi a tbbit.

Adathossz (Payload length) (7 bit)


Az adathossz az adatszegmens hosszt adja meg. A benne kdolt informci az adatszegmens
hossznak a felt adja meg bjtban, de nem tartalmazza a fejlc, illetve zr szegmens
hosszt.
A statikus szegmensekben az adatok fix mretek egy adott kommunikcis ciklusban. A
dinamikus szegmensben ezzel ellenttben minden keret hossza klnbz, ciklusrl ciklusra
s csatornnknt is egyarnt.
A mez tovbbtsakor szintn a legnagyobb helyi rtk bit lesz az els, mint az elz
pontban.

Fejlc (Header) CRC (11 bit)

A Fejlc a Header Cylic Redundancy Check code (CRC) kiszmtsakor a kvetkez mezk
rtkt veszi figyelembe: szinkronizcis keret s indt keret indiktor, keretazonost s
adathossz. A CC = Communication Controller, Kommunikcis Vezrl: elektronikus
komponens egy csompontban, ami a protokoll megvalstst vgzi egy FlexRay
kommunikcis rendszerben. A CC zenet kldsekor nem ellenrzi a CRC rtk
helyessgt, ha szksges, akkor offline mdon ellenrizhetjk, de ez nem szerencss
megolds a fejlcmezk esetleges megvltozsa miatt. A CRC rtk ellenrzst ppen ezrt
ltalban a megrkez kereteknl vgzi el a CC a kvetkez kplet segtsgvel:
x11 + x 9 + x8 + x 7 + x 2 + 1 = ( x + 1) ( x 5 + x 3 + 1) ( x 5 + x 4 + x3 + x + 1) (36)

A regiszter inicializcis vektora a CRC szmolshoz: 0x01A.


A fenti 11 bites CRC polinom egy BCH kdot generl melynek Hamming tvolsga 6, s 20
bitnyi informcit vd. A CRC kd a 0 2047 tartomnyba es kdszavakat generl.
A tovbbtsnl elszr a legnagyobb helyi rtk bitet kldjk majd cskken sorrendben a
tbbit.

Ciklusszmll (Cycle count) (6 bit)


A megmutatja a kld csompont szmra a ciklusszmll rtkt (0 63) a klds
pillanatban. A tovbbtsa pedig a legmagasabb helyi rtkkel kezddik.

4.5.2 Adatszegmens (Payload segment) (0 - 254 byte)

Az adatszegmensben tovbbtott informcink 0 127 db ktbjtos szt tartalmaz. Ezrt


mindig pros szmnak kell lennie a tovbbtott bjtoknak, mivel a fejrszben trolt
Adathossz mez is a tovbbtott adat hossznak felt trolja. A bjtokat szmokkal
azonostjuk s az els, vagyis nulladik bjt a fejlc szegmens utn kvetkezik s Adat (Data)
0-val jelljk, a kvetkezt Adat (Data) 1-gyel s gy tovbb.
A hibaellenrzs az adatmez hossztl is fgg, ha 248 bjtnl rvidebb, akkor a generlt
CRC ellenrz kdunk Hamming tvolsga 6, ha 248 bjtnl tbb, akkor 4 lesz csak. Ez az
rtk adja meg neknk, hogy kt kdsz kztt minimum hny helyi rtken van klnbsg,
s hogy mennyi hibt tudunk javtani/jelezni.
Ha az adatot szllt keretet dinamikus szegmensben tovbbtjuk, akkor az els kt bjtot
opcionlisan az zenetazonost (message ID) foglalhatja el, melynek segtsgvel a fogad
csompont szrheti, vagy irnythatja az adott keretet. Az azonostt tartalmaz adatrsz az
albbi brn lthat:

4.29. bra: Azonostt tartalmaz adatrsz dinamikus szegmensben


Ha az adatot szllt keretet statikus szegmensben tovbbtjuk, akkor az els 0 12 bjtot a
hlzatirnyt vektor foglalhatja el opcionlisan. Jelenltt az adat bevezet indiktor jelzi,
hosszt pedig a POC konfigurls llapotban llthatjuk be 0 12 bjt kz. Ms llapotban
ez az rtk mr nem llthat. Az azonostt tartalmaz adatrsz az albbi brn lthat:

4.30. bra: Azonostt tartalmaz adatrsz statikus szegmensben

4.5.3 Zr szegmens (Trailer segment) vagy Hibaellenrz tag (3 byte)

Egy 24 bites CRC ellenrz sszeget tartalmaz az egsz keret szmra, amit a fejlc s
adatszegmensekbl szmol, figyelembe vve minden rtkket. Az ellenrz sszeget
ugyanazzal a polinommal generljuk minden csatornn, a kvetkez mdon:

x 24 + x 22 + x 20 + x19 + x18 + x16 + x14 + x13 + x11 + x10 + x8 + x 7 + x 6 + x 3 + 1 =


= ( x + 1) ( x11 + x 9 + x8 + x 7 + x 5 + x 3 + x + 1) ( x11 + x 9 + x8 + x 7 + x 6 + x 3 + 1)
2 (37)

A csompont klnbz inicializcis vektorokat hasznl attl fggen, hogy melyik


csatornn tovbbtja a kereteket.

Az A csatornn val tovbbtshoz: 0xFEDCBA vektorokat

A B csatornn val tovbbtshoz: 0xABCDEF hasznljk.

4.6 Kzegelrs vezrlse

A FlexRay kzeghozzfrse egy ismtld kommunikcis cikluson alapszik. Egy cikluson


bell kt hozzfrsi md kzl vlaszthatunk:
statikus idosztsos tbbszrs hozzfrs (TDMA) md
dinamikus minislot alap md
4.6.1 Kommunikcis ciklus

A kommunikcis ciklus jelentst az idztsi hierarchia hatrozza meg. Az idztsi


hierarchit ngy szint alkotja, melyeket az albbi bra is szemlltet.

4.31. bra: Az idztsi hierarchia

A legfels szint, a kommunikcis ciklust definilja, mely tartalmazza a statikus szegmenst,


dinamikus szegmenst, szimblum ablakot s a hlzati resjrati idt (NIT). A statikus
szegmensen bell statikus TDMA-t, a dinamikus szegmensen bell pedig dinamikus minislot
alap mdot hasznlunk, a kvetkez tvitel kivlasztsra. A szimblum ablak egy
kommunikcis peridust ad meg, melyben szimblumokat tovbbthatunk a hlzaton. A
hlzati resjrati id pedig egy kommunikcimentes peridus, mely lezrja az aktulis
ciklust.

A kvetkez szint, a dntsi szint, tartalmazza a dntsi hlt, ami a gerinct alkotja a
FlexRay kzeg kivlasztsnak. A dntsi hl folytonos idintervallumokat tartalmaz,
melyeket statikus szegmensben statikus slotnak, dinamikus szegmensben minislotnak hvunk.

4.6.2 Kommunikcis ciklus vgrehajtsa

Az indtst leszmtva a kommunikcis ciklus periodikusan vgrehajtdik, ahol konstans


szm macroticket tartalmaz minden peridus. A kommunikcis ciklusokat 0-tl szmozzuk
egy vltoz ltal adott maximlis rtkig.
A dnts a statikus s dinamikus szegmensekben a csompont egyedi keret azonostjn
alapszik, mely egy clusteren bell, minden csatornhoz s szmllsi mdhoz, szmozott
tviteli slotokat szolgltat. A keretazonost meghatrozza a tovbbtsi slotot, ennlfogva azt
is, hogy a vlasztott szegmensen bell mikor lesz elkldve a keret. A keretazonostkat 1-tl
szmozzuk egy vltoz ltal adott maximlis rtkig.
A kommunikcis ciklus midig tartalmaz statikus szegmenst s hlzat resjrati idt. Ahol a
statikus szegmens vlaszthat szm statikus slotot tartalmaz, melyek azonos szm
macrotickbl llnak. A hlzat resjrat id pedig megadja, hogy mennyi macrotick van mg
htra az adott ciklusbl, amit nem fed le a statikus, dinamikus szegmens s a szimblum
ablak.
A kommunikcis ciklus tartalmazhat dinamikus szegmenst s szimblum ablakot. Ahol a
dinamikus szegmens vlaszthat szm minislotot tartalmaz, melyeket azonos szm
macrotick alkot. Ha nincs szksg dinamikus szegmensre, akkor a minislotok szmt
vlaszthatjuk 0-nak. A szimblum ablak vlaszthat szm macrotickbl ll, de ha nincs r
szksg, akkor ez a szm vlaszthat 0-nak is.
A kvetkez bra foglalja ssze a kommunikcis ciklus vgrehajtst.

4.32. bra: A kommunikcis ciklus vgrehajtsa

4.6.3 Statikus szegmens

Statikus szegmensen bell a statikus TDMA mdot alkalmazunk az tvitelek koordinlsra.

4.6.3.1 A statikus szegmens felptse


A statikus szegmensen bell minden kommunikcis slot idtartama azonos, s minden keret
hossza megegyezik.
Hogy kommuniklhassunk egy statikus szegmensen bell a kvetkez megszortsoknak kell
megfelelni:
1. Szinkronizcis keretet kell kldennk minden csatlakoz csatornra.
2. Nem szinkronizcis kereteket kldhetnk az egyes csatornkon.
3. Csak egy csompont tovbbthat egy adott keretazonostt egy csatornn.
4. Ha a cluster egy slotos mdra lett belltva, akkor az sszes nem szinkronizcis
csompont ki fog jellni egy keretet az egyedlll slot keretnek.

4.6.3.2 A statikus szegmens futtatsa s idztse


Az tvitelek temezshez minden csompontnak fenn kell tartania egy slot szmllt minden
csatorna szmra. Ezeknek a szmllknak a kezdeti rtke minden kommunikcis ciklus
elejn 1, s nveljk rtkket minden tovbbtott slot utn.
A statikus slotok s az egy slotban lv macrotickek szmt, egy-egy globlis konstans adja
meg a clusternek. A statikus slot hossznak megfelel belltsval kell biztostanunk, hogy a
keret vget rjen a csatorna resjrat hatrolnl s legyen nmi biztonsgi tartalk a
legrosszabb esetet figyelembe vve.
A kvetkez bra mutatja a statikus szegmens felptst, s szemllteti a statikus
szegmensen belli lehetsges tviteleket egy egyedlll csompont szmra.

4.33. bra: A statikus szegmens felptse

Minden csompont egy statikus slotja tartalmazhat egy szinkronizcis keretet, mely egy
specilis keret, ami szksges a clusteren belli szinkronizcihoz. Egyes specilis
szinkronizcis kereteket pedig kijellhetnk indt keretnek.

4.6.4 Dinamikus szegmens

A dinamikus szegmensben a dinamikus minislot alap mdot hasznljuk, hogy eldntsk az


tvitelek sorrendjt.
A dinamikus szegmens felptse
A dinamikus szegmensben a kommunikcis slotok idtartama vltoz lehet, alkalmazkodik a
vltoz kerethosszokhoz.

A dinamikus szegmens futtatsa s idztse


Az tvitelek temezshez minden csompontnak fenn kell tartania egy-egy slot szmllt
minden csatorna szmra a dinamikus szegmens vgig. Mg a statikus szegmensben mind az
A mind pedig a B csatorna slot szmlljt egyszerre nveltk, addig a dinamikus
szegmensen bell a szmllkat egymstl fggetlenl kezeljk
Egy clusteren bell a minislotok s az egy minislotban lv macrotickek szmt globlis
vltoz hatrozza meg, ahol minden egyes minislot azonos szm macroticket tartalmaz.
Egy dinamikus szegmensen bell az egymst kvet dinamikus slotok egy, vagy tbb
minislotot is tartalmazhatnak. Ha a csatornn pp nem trtnik kommunikci, akkor az
aktulis slot csak egy minislotot fog tartalmazni.
Az albbi bra vzolja a dinamikus szegmens kzegelrsi smjt, tovbb lthatjuk, hogy a
kt csatorna nem felttlenl prhuzamosan hasznlja a csatornt, de azonos minislot alap
dntsi hlt alkalmaznak.

4.34. bra: A dinamikus szegmens kzegelrsi smja

Minden minislot tartalmaz egy akci pontot, mely a minislot kezdetnek eltolsa. Ezt az
eltolst egy globlis vltozval szablyozzuk, ami megadja a macrotickek szmt az akci
pontig.
A dinamikus szegmensben, keretek tovbbtst, a megfelel dinamikus slot els minislot
akci pontja utn lehet megkezdeni. Az tvitel vgt szintn egy minislot akci pont jelzi.
A statikus slottal ellenttben a dinamikus slot klnbsget tesz az tviteli s resjrati fzis
kztt. Az tviteli fzis kiterjed a dinamikus slot kezdettl az utols minislotig, melynl az
tvitel vgetr. Az resjrati fzis zrja le a dinamikus slotot. Az resjrati fzist egy
kommunikcimentes fzisknt definilunk azrt, hogy az tviteli fzis sikeres legyen minden
dinamikus slotban. Ez szksges, hogy a kommunikcis csatorna resjrati llapotnak
szlelst ksleltetni tudjuk, s feldolgozzuk a keretet a fogad csompont ltal.
A csompont ltal biztostott slot szmll rtkt minden egyes dinamikus slot vgn egyel
nveljk, amg a kvetkezk nem teljeslnek:
1. A csatorna slot szmllja elri a maximumt, vagy
2. A minislotok szma elrte a maximumot, azaz a dinamikus szegmens vgetr.
Ha ezen felttelek valamelyike teljesl, akkor a megfelel slot szmll rtkt 0-ra fogja
lltani a csompontot.

4.6.5 Szimblum ablak


A szimblumablakon bell egy egyedlll szimblumot kldhetnk. Vlasztst klnbz
kldk kztt nem biztost a protokoll a szimblumablak szmra, ha mgis szksge lenne
erre, akkor azt egy magasabb szint protokoll fogja biztostani szmra.

4.35. bra: Szimblum ablak

A szimblum ablakon belli macrotickek szmt is egy globlis konstans adja meg a
clusternek, az akci pont helyt a slot kezdettl szmtott eltolssal kapjuk. Magnak a
szimblumnak a tovbbtst, pedig az akcipont utn kezdjk meg.
4.6.6 Hlzat resjrati id

A hlzat resjrati id biztostja az idt a csompont szmra, hogy kiszmolja s


alkalmazza az rakorrekcis feltteleket valamint, hogy vgrehajtsa a specilis
kommunikcis ciklusait a kapcsold feladatoknak.
Tovbb hlzat resjrat id tartalmazza a megmaradt makro temeket, melyeket nem
foglaltunk le a statikus/dinamikus szegmensben vagy a szimblum ablakban.

4.7 Keret s szimblum feldolgozs (FSP)

Az FSP a f feldolgozsi rteg a keret s szimblumdekdols valamint a CHI kztt. Az FSP


ellenrzi a helyes idztst a kereteknek s szimblumoknak, figyelembe vve a TDMA
smt, hogy a ksbbiekben szintaktikai s szemantikai ellenrzst alkalmazzunk a fogadott
kereteken.

4.7.1 FSP mkdsi mdjai

Az FSP mkdsi mdjait a POC lltja be minden csatornhoz kln kln:


1. STANDBY mdban a keret s szimblum feldolgozs sznetel.
2. STARTUP mdban az FSP fut, de nem frisstdik a CHI elrsi pontokon.
3. GO mdban az FSP fut s frisstdik a CHI elrsi pontokon.

4.7.2 Keret s szimblum feldolgozsi folyamatok


Egy rendszer mindkt csatornjhoz ugyanazon feldolgozsi folyamatok tartoznak. Minden
egyes kommunikcis csatornhoz az FSP folyamat t klnbz llapotot vehet fel, melyet
az albbi brn lthatunk.
Kszenlti

CE
kezdsre
vr

tvitel
dekdols
vgre vr

CHIRP
jelre vr

4.36. bra: Az egyes kommunikcis csatornhoz tartoz FSP folyamat t klnbz llapota

FSP kszenlti (standby) llapot


A csompont kezdetben az FSP kszenlti llapotba kerl s vrja, hogy a POC folyamat
kezdemnyezze az FSP llapot vltst. A csompont akkor fogja elhagyni az FSP kszenlti
llapott, ha a POC STARTUP vagy GO mdba lltja az FSP-t.

FSP CE kezdsre vr (wait for CE start) llapot


Minden egyes kommunikcis csatornn egy csompontja addig marad a kezdsre vr
llapotban amg:
1. egy CE kezdett szleli, vagy
2. a csompont kezd el tovbbtani kommunikcis elemet a csatornn.

Ha brmelyik slot hatra, vagy a ngy szegmenshatr brmelyike tfedi egymst, akkor a
csompont vgrehajt egy SLOT_SEGMENT_END_A (slot szegmens vge) makrt, hogy
biztostsa a host interfsznek tovbbi feldolgozs cljbl a slot aktulis llapott s minden
keretadatot, amit mg fogadni fogunk. Ebben az esetben a csompont az FSP vrakoz
llapotban marad.
A SLOT_SEGEMENT_END_A makro
Ezt a makrt az FSP folyamaton bell hvjuk meg:
1. statikus slot vgnl,
2. dinamikus slot vgnl, ha van dinamikus slot definilva,
3. szimblum ablak vgnl, ha van ilyen definilva, s
4. hlzati resjrati id vgnl.
Ha egy rvnyes keretet fogadunk, melyben a szinkronizcis keretet jelz bit be van lltva,
s nem szlelnk sszetett hibt a tbbi csatornn, akkor a csompont kzli, hogy rvnyes
szinkronizcis keret rkezett.
Ha az FSP folyamat GO mdban van, akkor a csompont elrhetv teszi a slot llapott s a
fogadott keret adatait a CHI szmra.

FSP dekdols (decoding in progress) llapot


Minden egyes kommunikcis csatornn egy csompont addig marad dekdols llapotban
amg:
1. a csompont elkezdi a tovbbtst a kommunikcis csatornn, vagy
2. egy dekdolsi hiba fordul el a kommunikcis csatornn, vagy
3. egy szintaktikailag helyesen dekdolt keret van a kommunikcis csatornn, vagy
4. egy szimblumot dekdoltunk, vagy
5. egy a ngy szegmenshatr kzl tfedi egymst.

Ha brmelyik slot hatra, vagy a ngy szegmenshatr brmelyike tfedi egymst, akkor a
csompont vgrehajt egy SLOT_SEGMENT_END_A makrt, hogy biztostsa a host
interfsznek tovbbi feldolgozs cljbl, a slot aktulis llapott s minden keretadatot, amit
mg fogadni fogunk.

Keret elfogadsa nem TDMA mveletek alatt


Minden egyes kommunikcis csatornn a csompont el fog fogadni minden olyan keretet,
mely teljesti a kvetkez kritriumokat:
1. A fejrsz keretazonostjnak rtke legyen nagyobb, mint 0 s ne haladja meg az
utols statikus slot rtkt.
2. A szinkronizcis keretet jelz bit rtke legyen 1.
3. A kezd keretet jelz bit rtke szintn 1 legyen.
4. A fejrszben megadott adathossz egyezzen meg a globlisan definilt statikus
szegmens hosszval.
A keretet, mely ezeket a felttelek teljesti, rvnyes kezd keretnek nevezzk.

Keret elfogadsa TDMA mveletek alatt

Statikus szegmensben:
Minden egyes kommunikcis csatornn a csompont el fogja fogadni az els keretet, mely
teljesti a kvetkez feltteleket:
1. A keret egy statikus slotot tartalmazzon.
2. A fejrszben megadott adathossz egyezzen meg a globlisan definilt statikus keret
adathosszval.
3. A fejrsz keretazonostjnak rtke egyezzen meg a slot szmll rtkvel.
4. A fejrsz ciklusszmlljnak rtke egyezzen meg a ciklusszmll rtkvel.

Dinamikus szegmensben:
Minden egyes kommunikcis csatornn a csompont el fogja fogadni az els keretet, mely
teljesti a kvetkez feltteleket:
1. A fejrsz keretazonostjnak rtke legyen nagyobb, mint 0 s egyezzen meg a slot
szmll rtkvel.
2. A fejrsz ciklusszmlljnak rtke egyezzen meg a ciklusszmll rtkvel.
3. A szinkronizcis keret indiktor rtke legyen 0.
4. A kezd keret indiktor rtke legyen 0.
5. A null keret indiktor rtke szintn 0 legyen.

FSP CHIRP jelre vr (wait for CHIRP) llapot


Minden egyes kommunikcis csatornn a csompont resjratra vrakoz llapotban marad
amg:
1. CHIRP-t szlelnk a csatornn, vagy
2. a csompont elkezdte az tvitelt a kommunikcis csatornn.

Ha brmelyik slot hatra, vagy a ngy szegmenshatr brmelyike tfedi egymst, akkor a
csompont vgrehajt egy SLOT_SEGMENT_END_A makrt, hogy biztostsa a host
interfsznek tovbbi feldolgozs cljbl a slot aktulis llapott s minden keretadatot, amit
mg fogadni fogunk. Ebben az esetben a csompont az CHIRP jelre vr llapotban marad.

FSP tvitel vgre vr (wait for transmission end) llapot


Minden egyes kommunikcis csatornn a csompont az tvitel vgre vr llapotban fog
maradni amg:
1. az tvitel vget r a csatornn, vagy
2. a slot hatr, vagy a ngy szegmens hatr kzl brmelyik tfedi egymst.
Ha brmelyik slot hatra, vagy a ngy szegmenshatr brmelyike tfedi egymst, akkor a
csompont jelezni fogja a POC-nak, hogy vgzetes hiba trtnt.

4.8 Cluster wakeup

Az cluster bresztshez szksges minimlis elfelttel, hogy minden BD energival legyen


elltva. A BD-nek megvan a kpessge, hogy felbressze a csompont egyes komponenseit,
ha WUP-ot fogad a csatornn. Legalbb egy csompontnak a clusteren bell szksge van
kls bresztsi forrsra.
A host teljes mrtkben kontrollja az bresztsi folyamatot. A CC biztostja a hostnak a
kpessget, hogy tovbbtson WUP-ot minden elrhet csatornn kln-kln. WUP-ot nem
kldhetnk egy idben minden csatornn. Ez azrt szksges, hogy egy hibs csompont ne
tudja megzavarni a kommunikcit egyszerre mind a kt csatornn. A hostnak be kell
lltania, hogy a CC-nek melyik csatornt kell felbresztenie. A CC biztostja, hogy a
folyamatban lv kommunikcit ne zavarja meg semmi.
Brmelyik hibamentes fogad csompont felbresztst okozza egy WUP, ha az adott
csompont ppen alv llapotban van. ltalban a fogad csompont BD-je felismeri a
WUP-ot s elkezdi a csompont bresztst. A CC-nek csak addig kell felismernie a WUP-ot,
mg az bresztsi vagy indtsi fzis tart.
A CC nem tudja ellenrizni, hogy vajon az sszes csatornhoz csatlakoz csompont ber
maradt-e a WUP tvitele utn, mivel ezek a csompontok nem tudnak visszacsatolst adni,
mg az indtsi ciklus tart. A host tisztban van az bresztsi folyamat lehetsges
hibalehetsgeivel.
Az bresztsi folyamat tmogatja az egycsatorns eszkzk azon kpessgt, hogy
ktcsatorns rendszerben kezdemnyezzk a cluster bresztst egy WUP tovbbtsval azon
a csatornn, melyhez csatlakoznak. Ekkor egy msik csompontnak, mely hozzfr mindkt
csatornhoz, ktelessge a msik csatornt felbreszteni s tovbbtani rajta a WUP-ot.
Az bresztsi folyamat elviseli, ha egyszerre tbb csompont kezdemnyezi a csatorna
bresztst, s ezt gy oldja fel, hogy vgl csak egy csompont fog WUP-ot tovbbtani.
Radsul a WUP tkzs szempontjbl rugalmas, vagyis mikor kt csompont egyszerre
tovbbt WUP-ot, s ezzel hibt generl, attl mg a hiba eredmnyeknt kapott jel kpes
felbreszteni a tbbi csompontot. A kvetkez bra egy pldt ad arra, hogyan bressznk
fel kt csatornt hibamentesen.

4.37. bra. Kt csatorna hibamentes felbresztse

4.8.1 breszts tmogatsa CC-vel

A hostnak meg kell adnia a kezdeti rtkeket egy FlexRay cluster bresztshez. A host
belltja az bresztend csatornt, mg a CC POC konfigurls llapotban van.
A host utastja a CC-t, hogy kldjn WUP-ot a csatornn, mg a CC POC ksz llapotban van.
Miutn a CC elhagyja az elbbi llapotot, megkezdi az bresztsi folyamatot, s megprbl
WUP-ot kldeni a csatornn. A folyamat befejeztvel egy jelet kldnk vissza a hostnak az
breszts llapotrl.
A hostnak megfelelen be kell lltania a CC- t, mieltt mg a cluster bresztst rzkelnnk.
Egy paramterrel azonostjuk a csatornt, melyen a CC belltja az bresztst. A host csak
akkor tudja ezt megtenni, ha POC konfigurls llapotban van. Miutn a CC belpett a POC
ksz llapotba, a host kezdemnyezheti a kijellt csatorna bresztst. Az bresztsi
folyamat befejeztvel, a CC jelzi a host fel az bresztsi ksrlet eredmnyt, melyek a
kvetkezk lehetnek:
Nem definilt, ha a CC mg nem futtatta az bresztsi folyamatot, amita a POC
utoljra lpett be az alaprtelmezett belltsok llapotba, vagy amikor a POC
vlaszol egy CHI bresztsi paranccsal.
Fogadott fejrsz, ha a CC egy keret fejrsz mezejt fogadja kdolsi hiba nlkl
minden csatornn a kezdeti figyel fzis alatt.
Fogadott WUP, ha a CC rvnyes WUP-ot fogad az bresztend csatornn, mg a
kezdeti figyelsi peridus tart.
tkz fejrsz, ha a CC tkzst rzkel a WUP tovbbtsa kzben, amit egy, az
rzkelsi fzisban rkezett rvnyes fejrsz fogadsa okoz.
tkz WUP, ha a CC tkzst rzkel a WUP tovbbtsa kzben, amit egy, az
rzkelsi fzisban rkezett rvnyes WUP fogadsa okoz.
Ismeretlen tkzs, ha a CC tkzst rzkel, brmilyen utlagos esemny fogadsa
nlkl, mely lehetv tenn, hogy az elz kt kategriba soroljuk.
Tovbbtott, ha a WUP tovbbtsa rendben megtrtnt.

POC wakeup figyels (listen) llapot


Ennek az llapotnak az a clja, hogy meggtolja a WUP tovbbtst, ha egy mr ltez
kommunikci vagy n. startup (indts) folyamatban van.
Egy idzt lehetv teszi a gyors cluster bresztst zajmentes krnyezetben, viszont mg az
idzt biztostja az bresztst, sokkal sszetettebb felttelekre van szksg zaj jelenltben.
Ha folyamatban lv kommunikcit vagy bresztst rzkelnk, akkor az bresztsi
ksrletet megszaktjuk.

POC wakeup klds (send) llapot


Ebben az llapotban a CC tovbbtja a WUP-ot a kijellt csatornn s ellenrzi az
tkzseket.
Amg a CC tovbbt egy WUP-ot a kijellt csatornn, nem tudjuk meghatrozni, hogy egy
msik csompont kldtt e msik WUP-ot vagy keretet ezen a csatornn, mg az tvitelnk
tart. Csak addig tudjuk a csatornt figyelni, mg a WUP resjrati rszeit tovbbtjuk. Amikor
ezekhez az resjrati rszekhez rnk, a CC elhagyja a kldsi llapotot, s egy megfigyel
llapotba vlt. Ennek ksznhet, hogy az tkzseket szre tudjuk venni s jelezni tudjuk a
host fel.

POC wakeup rzkels (detect) llapot


Ez az llapot lehetv teszi, hogy felfedezzk az elz llapotban trtn tkzs okt.
A megfigyelst az idzt lejrata hatrolja. Egy msik csompont ltal kezdemnyezett
WUP, vagy egy fogadott keret fejrsz szlelse kzvetlen tmenetet jelent a POC ksz
llapotba.
4.8.2 Communication startup kommunikci kezdete

Mieltt a kommunikcit elkezdennk, a clusternek fel kell brednie, teht az bresztsi


folyamatnak be kell fejezdnie, mieltt elkezdennk a kommunikcit. A kezds minden
csatornn szinkronban trtnik.
A kezdsi folyamatot kezdemnyez akcit coldstartnak vagy hidegindtsnak nevezzk.
Csak limitlt szm csompont kezdemnyezhet startupot, ezeket coldstart csompontoknak
nevezzk.
Egy hidegindtsi ksrlet egy tkzst elkerl szimblum (CAS) tovbbtsval kezddik.
Csak az a coldstart csompont tovbbthat keretet az els ngy ciklusban a CAS utn, amely a
CAS-t tovbbtotta. Ezutn elszr a tbbi coldstart csomponthoz csatlakozik, s utna az
sszes tbbihez.
Azt a coldstart csompontot mely elindtja a clustert n. leading (vezet) coldstart
hidegindts csompontnak nevezzk. Azt coldstart csompontot, amit egy mr meglv
coldstart csompont utn illesztnk be n. following (rkvetkez) coldstart (hideg
indts) csompontnak nevezzk.
Az indtsi (startup) folyamat alatt csak egy csompont kldhet indt keretet. Brmelyik
coldstart csompont felbreszthet, vagy megnzhet egy clustert, hogy mr ber-e, mieltt
elkezden az induls folyamatot.

Induls (Startup) vgrehajtsa coldstart csompont ltal


Csak coldstart csompont kezdemnyezheti egy cluster indtst.
Minden egyes coldstart csompont befejezi az indtsi folyamatot, amint stabil
kommunikci alakul ki a tbbi coldstart csompont egyikvel.

Nem coldstart csompontok beillesztse


A nem coldstart csompontok beillesztshez szksg van legalbb kt n. indtsi
(startup) keretre klnbz csompontoktl. Ez a felttel biztostja, hogy a nem
coldstart csompontok mindig csatlakozhassanak a legtbb coldstart csomponthoz.
A nem coldstart csompontok beillesztse megkezdhet, mieltt a colstart
csompontok befejeznk az indtsi folyamatukat.
A nem coldstart csompontok nem fejezhetik be az indtsi folyamataikat, mg
legalbb kt coldstart csompont nem fejezn be indtst.
4.8.3 Hidegindtst felfggeszt md

Ebben a mdban a csompont megakadlyozhatja a TDMA kommunikci temezsnek


inicializlst. A hidegindtst felfggeszt mdban a CC indtsa a POC konfigurls
llapotnak elhagysa utn fog trtnni. Amg a host kiadja a parancsot a md elhagysra, a
CC nem fogja engedlyezni a coldstart csompont funkciit. Mindazonltal egy
csompontnak megengedett, hogy kapcsoldjon egy fut clusterhez, vagy tovbbtson indt
kereteket, miutn egy msik coldstart csompont mr megkezdte a cluster
kommunikcijnak inicializlst.
Ha egyszer mr szinkronizltuk s beillesztettk a csompontot egy cluster
kommunikcijba, akkor mr nem korltozzuk tovbb a csompontot a keretek
tovbbtsban.
Ezt a mdot arra hasznljuk, hogy megakadlyozzuk egy csompont aktv indtsi ksrleteit,
vagy ksleltessk azokat.

4.8.4 Az indtsi folyamat felptsnek mdjai


A CC hrom klnbz ton tudja a kommunikcit felpteni. Ebbl kettt a coldstart
csompontok szmra tartunk fent, a megmaradt egy utat pedig a nem coldstart
csompontoknak. A kvetkez bra ezt a hrom lehetsget szemllteti.

4.38. bra. A kommunikci CC ltali felptse


4.8.4.1 A leading coldstart csompont ltal bejrt t (node A)
Ha egy coldstart csompont belp a kezdsi folyamatba, akkor figyeli a hozztartoz
csatornkat s megprbl kereteket fogadni. Ha nem szlel kommunikcit, akkor megksrli
a hidegindtst. Az tvitel kezdett jelz CAS szimblumot az els rvnyes ciklus ltal
tovbbtjuk, melyet 0-val jellnk.
A 0. ciklusban a csompont megkezdi az indt keret tovbbtst. Mg minden coldstart
csompont megksrli vgrehajtatni a hidegindtst, kzben elfordulhat, hogy tbb
csompont is egyszerre tovbbt CAS szimblumot s egy hidegindtsi tra lp. Ez a
szituci feloldhat a CAS tovbbtst kvet ngy ciklusban. Amint egy coldstartot
kezdemnyez csompont fogad egy CAS szimblumot vagy keret fejrszt ebben a ngy
ciklusban, akkor visszalp figyel (listen) llapotba. Ennek kvetkeztben csak egy
csompont marad ezen az ton.
A negyedik ciklusban a tbbi coldstart csompont folytathatja az indt keretnek
tovbbtst. A csompont, mely kezdemnyezte a hidegindtst, sszegyjti az sszes indt
keretet a negyedik, ill. tdik ciklusban, s rakorrekcit hajt vgre. Ha az rakorrekci nem
jelez hibt s a csompont fogadott legalbb egy rvnyes indt keretet, akkor a csompont
elhagyja az indtsi folyamatot s elkezdi a mvelet vgrehajtst.

4.8.4.2 A following coldstart csompont ltal bejrt t (node B)


Ha egy coldstart csompont megkezdi az indtsi folyamatot, figyelni kezdi a hozz
csatlakoz csatornkat s megprbl FlexRay kereteket fogadni.
Ha szleletnk kommunikcit, akkor megprblunk beilleszteni egy kld coldstart
csompontot, s fogadni kt rvnyes indt keretet ettl a csomponttl, hogy temezhessk
s elvgezhessk a szksges rakorrekcit.
Ha ez a keret fogads sikeres, akkor begyjtnk minden szinkronizcis keretet, s
elvgezzk az rakorrekcit a kvetkez dupla ciklusban. Ha az rakorrekci nem jelez hibt,
s ha a csompont folytatja a keretek fogadst egy hasonl csomponttl, akkor a
beillesztst sikeresnek tekintjk, s a csompont elkezdheti tovbbtani sajt indt kerett.
Egybknt visszalp figyel llapotba.
Ha a kvetkez hrom ciklusban az rakorrekci nem jelez hibt, s legalbb egy msik
coldstart csompont lthat, akkor a csompont elhagyja az indtsi folyamatot s elkezdi a
mvelet vgrehajtst.
4.8.4.3 A nem coldstart csompont ltal bejrt t (node C)
Ha egy nem coldstart csompont kezdi meg az indtsi folyamatot, figyelni kezdi a hozz
csatlakoz csatornkat s megprbl FlexRay kereteket fogadni.
Ha szleletnk kommunikcit, akkor megprblunk beilleszteni egy kld coldstart
csompontot, s fogadni kt rvnyes indt keretet ettl a csomponttl, hogy temezhessk
s elvgezhessk a szksges rakorrekcit.
A kvetkez dupla ciklusban megprblunk legalbb kt olyan coldstart csompontot tallni,
melyek olyan indt kereteket tovbbtanak, amik beillenek a csompont sajt temezsbe.
Ha ez nem teljesl, vagy az rakorrekci hibt jelez, akkor megszaktjuk a beillesztsi
ksrletet s jraprblkozunk.
Miutn rvnyes indt keretprt fogadtunk, kt klnbz coldstart csomponttl, a
csompont elhagyja az indtsi folyamatot s megkezdi a mvelet vgrehajtst.

4.9 raszinkronizls

Egy elosztott rendszerben minden csompont sajt rval rendelkezik. A hmrsklet s


feszltsgingadozs hatsra a klnfle csompontok bels rja eltr egy id utn akkor is,
ha kezdetben minden bels ra szinkronizlva lett.
Alapvet feltevse az idvezrelt rendszernek, hogy egy clusteren bell minden csompont
krlbell azonos idvel rendelkezzen, s ezt a globlis idt tekintsk a kommunikci
idztsnek alapjul. Itt a krlbell azonos azt jelenti, hogy a klnbsg kt csompont
globlis ideje kztt egy toleranciahatron bell legyen. Ennek az rtknek a maximuma adja
az ra pontossgt.
A FlexRay protokoll elosztott raszinkronizcit alkalmaz, ahol minden csompont sajt
magt szinkronizlja a clusterhez, gy hogy figyeli a tbbi csompont ltal kldtt
szinkronizcis kereteket. Hiba tolerns algoritmust hasznlunk.

4.9.1 Az id felptse

4.9.1.1 Idztsi hierarchik

Az id felptse egy csomponton bell ciklusokon, macrotick s microtick temeken


alapszik. Egy makroticket egsz szm mikrotick alkot s egy ciklus egsz szm
makroticket tartalmaz. Ennek felptst a kvetkez bra szemllteti.
4.39. bra: Idztsi hierarchik

Microtickek (mikr temek) olyan kontroller specifikus idegysgek, melyeket a CC


oszcilltora llit el. Idtartamuk kontrollertl fggen vltozik. A csompontok bels
idejnek finomsgt adjk.

Macrotickek (makr temek) szinkronizlsa cluster alap. Tolerancia hatron bell egy
makrotick idtartama azonos minden szinkronizlt csompontra a clusteren bell. A
macrotickek hossza a microtickek egsz szm tbbszrse, ahol a microtickek szma
minden macrotickben ms s ms egy csomponton bell. A microtickek szma egy
macrotickben minden csompontra ms, s ezt a szmot az oszcilltor frekvencija hatrozza
meg. Annak ellenre, hogy brmely macrotick egsz szm microticket tartalmaz, az tlagos
hossza a makro temnek egy cikluson bell nem egsz szm lesz.

Ciklus egsz szm macroticket tartalmaz, melyek szma azonos minden csompontban egy
cikluson bell, s ugyan az marad ciklusrl ciklusra. Brmely idpillanatban a
csompontoknak ugyan az a ciklusszmuk.

4.9.1.2 Globlis s loklis id

A globlis id egyszeren megfogalmazva a clusteren belli id. A FlexRay protokollnak


nincs abszolt vagy referencia globlis ideje, minden csompontnak sajt globlis ideje van.

A loklis id a csompont rjnak idejt mutatja, melyet a kvetkez vltozk adnak meg:
ciklus szmll: Az aktulis ciklus szmt adja meg, s rtkt minden egyes ciklus
elejn nveljk. Szmozst 0-tl egy adott maximum rtkig nveljk, ha ezt a
szmot elrtk a szmll nullzdni fog.
makro szmll: Az aktulis macrotick szmt adja meg, rtkt 0-tl egy adott
maximum rtkig nveljk. Megadja a macrotickek szmt az adott ciklusban.
mikro szmll: Az aktulis microtick szmt adja meg.

Az els kett ezek kzl az alkalmazsok szmra is lthat.

A loklis id a globlis id loklis rtkn alapszik. Minden csompont az raszinkronizcis


algoritmust hasznlja, hogy megksrelje a globlis id helyi rtkhez val alkalmazkodst.

Egy cluster pontossgt a clusteren belli kt csompont ideje kztti maximlis eltrs adja
meg.

4.9.2 Szinkronizcis folyamat


A szinkronizcis folyamat kt f egyenrang folyamatot tartalmaz. A macrotick
temgenerl folyamatot (MTG), mely irnytja a makr s ciklus szmllkat, valamint
alkalmazza a frekvencia (rate) s fzis (offset) korrekcis tkeket. Az raszinkronizcis
folyamat (CSP), mely vgrehajtja a ciklus kezdetnek kijellst, az rtkek szrsnak a
mrst s trolst, valamint a frekvencia s fzis korrekcis rtkek kiszmolst. A
kvetkez bra ezen kt folyamat s a kzeghozzfrs a kapcsolatt mutatja be.

4.40. bra: Az MTG, CSP s kzeghozzfrs kapcsolata


Az raszinkronizci elsdleges feladata az, hogy biztostsa az idklnbsget a csompont
s cluster kztt a pontossgi hatron bell maradva. Kt fle idklnbsget klnbztetnk
meg kt csompont kztt:
fzis differencia s
frekvencia differencia.

Az ismert eljrsok, melyek az idszinkronizcit vgzik a csompontok kztt fzis, vagy


frekvencia korrekcit hasznlnak. A FlexRay ezen mveletek kombincijt hasznlja. A
kvetkez feltteleknek teljeslnie kell:
A frekvencia- s fziskorrekci hasonl mdon zajlik minden csompont esetn. A
frekvencia korrekcit az egsz ciklus alatt vgezzk.
A fziskorrekcit a NIT alatt hajtjuk vgre, mindig csak a pratlan ciklusokban s be
kell fejezni mieltt a kvetkez ciklus kezddne.
A fzisvltozst a microtickek szma jelzi, melyeket hozzadunk a NIT fzis
korrekcis szegmenshez, mely akr negatv is lehet. A kiszmtsa minden ciklusban
id ignyel, de a korrekcit csak minden pratlan ciklus vghez adjuk hozz. A
fziskorrekci kiszmtsa egy ciklusban rtkek mrsn alapul. Ezt a szmtst nem
tudjuk befejezni a NIT eltt, de elkezdhetjk mr a dinamikus szegmensen, vagy
szimblum ablakon bell, addig ameddig a szmts visszacsatolst ksleltetjk a
NIT-ig. A szmtst be kell fejezni, mieltt a fziskorrekcis fzis folytatdna.
A frekvencia (rate) megvltozst microtickek szma jelzi, melyeket hozzadunk a
kommunikcis ciklusban definilt microtickek szmhoz, mely akr negatv is lehet.
rtkt az raszinkronizcis folyamat hatrozza meg, s csak egyszer szmoljuk ki
dupla ciklusonknt. Szmtsa idt ignyel pratlan ciklusokban a statikus szegmenst
kveten. A szmts pros s pratlan dupla ciklusokban rtkek mrsn alapul. Ezt
a szmtst nem tudjuk befejezni a NIT eltt, de elkezdhetjk mr a dinamikus
szegmensen vagy szimblum ablakon bell, addig ameddig a szmts visszacsatolst
ksleltetjk a NIT-ig. A szmtst be kell fejezni, mieltt a kvetkez pros ciklus
elkezddne.
A POC folyamat bellthatja az raszinkronizcis folyamat mkdsi mdjt a kvetkez
mdok valamelyikre:
A STANDBY mdban az raszinkronizcis folyamat fel van fggesztve.
A NONSYNC mdban a CSP vgrehajtja az raszinkronizcit azzal a felttellel,
hogy nem tovbbtunk szinkronizcis kereteket.
A SYNC mdban a CSP vgrehajtja az raszinkronizcit azzal a felttellel, hogy
szinkronizcis kerteket tovbbtunk.

Miutn a POC belltotta a CSP mdjt (SYNC / NONSYNC), a CSP vr a CSP indtsi
folyamatra vr llapotban, amg a POC nem knyszerti a csompontot hidegindtsra vagy
nem illeszti be egy clusterbe.
Az indtsi (startup) folyamat befejezse utn egy ismtld sorozatot tartalmaz ciklust
inicializlunk, egy mrsi fzist, fzis s frekvencia kalkulcit hajtunk vgre. Az fzis
kalkulcit minden ciklusban, a frekvencia kalkulcit csak minden pratlan ciklusban fogjuk
vgrehajtani.

4.9.3 Az ra indtsa

A csompont bels rjnak indtshoz szksg van:


Az MTG folyamat inicializlsra s elkezdsre s
a CSP folyamat inicializlsra s elkezdsre. Ez a folyamat tartalmazza a mrt s
trolt rtkek szrsnak meghatrozshoz szksges ismtld feladatokat, valamint
az fzis s frekvencia korrekcis rtkek kiszmtst.

Az ra elindtsnak (szinkronizlsnak) egy csomponton bell kt mdja van:


A csompont egy leading coldstart csompont
A csompont tveszi a kezdeti rtkeket egy mr fut colstart csomponttl.
Az indtsi folyamat el fog kezddni, amint a POC beszrsi ksrlett jelez a CSP fel.

4.9.3.1 Az ra hidegindtsa
Ha nem szlelnk folyamatban lv kommunikcit a csatornkon, a POC knyszertheti a
csompontot, hogy alkalmazza a cluster coldstart csompontra vonatkoz szablyait. Ez a
kvetkez akcikat okozza:
Az raszinkronizcis folyamatok megszakadnak az A s B csatornn.
Az MTG folyamat elhagyja a startra vrakoz llapott. A kezdeti rtkektl fggen,
macrotick s ciklus kezdete jelek generldnak, melyeket eljuttatunk a tbbi
folyamathoz.
A CSP vr a ciklus kezdetre.
A CSP s MTG folyamatok folyatatjk az temtervket, mg a POC kszenlti mdba nem
helyezi a CSP folyamatot, vagy hiba nem generldik.
4.9.3.2 Az ra indtsa beszrssal
Ha folyamatban lv kommunikcit szlelnk indts kzben, vagy a csompont nem
engedlyezi a hidegindtst, akkor a csompont megprblja egy coldstart csompont
frekvencia, ciklus szm s ciklus indts rtkeinek adoptlsval a cluster idztsbe
illeszteni. Hogy ezt elvgezzk, a CSP folyamat megmutatja az raszinkronizci indtsnak
folyamatait az A s B csatornnak.
Ezutn az A s B csatornk a kdol/dekdol egysgre vrnak, mely jelzi nekik egy
potencilis keret kezdett. A CSS folyamat ekkor vesz egy idblyeget s vr egy jelre,
melyet egy rvnyes pros indt keret fogadsa hoz ltre. Ha nem fogadtunk rvnyes pros
indt keretet, akkor az idblyeget fellrjuk a kvetkez keret kezdet idblyegvel, melyet
fogadtunk.
Mikor egy rvnyes pros indt keretet fogadunk a csompont kpes jrakalkullni a ciklus
s macrotick szmllk kezdeti rtkt. Ezutn a csompont vr a megfelel pratlan indt
keretre. Ezt a keretet egy adott idablakon bell vrjuk. Mikor a potencilis keret kezdett
szleljk ezen az idablakon bell, elindtunk egy mikro idztt. Mikor ez az idzt lejr,
elindul az MTG folyamat az jrakalkullt kezdeti rtkekkel. Ha egy msik potencilis keret
kezdetet is szlelnk az idablakban, akkor ezt kveten jraindtjuk a mikro idztt. Csak
egy csatorna indthatja el az MTG folyamatot. A mikro idzt lejrta s a teljes indt keret
fogadsa kztt, a tbbi csatorna nem indthat, llthat meg, vagy mdosthat MTG
folyamatot, de fogadni tud potencilis indt keret esemnyeket s elindthatja a sajt mikor
idztjt. A nem kezdeti csatorna viselkedse annyiban tr el a kezdeti csatorntl, hogy
nem kezdemnyezhet MTG folyamatot s nem tudja lelltani sajt magt valamint a CSS
folyamatot egy msik csatornn.
A megfelel pros indt keret fogadst s a beszrs feltteleinek teljeslst kveten
felfggesztjk a CSS folyamatot az adott csatornn. Mieltt a felfggeszts megtrtnne,
kldnk egy jelet, mely jelzi a beilleszts sikert. Ezt a jelet hasznljuk a CSS folyamat
felfggesztsre.
Egy idztt hasznlunk, hogy jraindtsuk az raindts szinkronizcis folyamatt, ha a
megfelel indt keret nem rkezik meg ezen idintervallumon bell.

4.9.4 Az id mrse

Minden csompont csatornnknt mri s trolja a statikus szegmensben fogadott sszes


szinkronizcis keret elvrt s megfigyelt rkezsi ideje kztti idklnbsgeket.
Egy keret vrt rkezsi ideje a statikus akci pont. A MAC generl egy jelet, amikor a statikus
akci pontot elrtk. Mikor az ra szinkronizcis folyamat fogadja ezt a jelet, elment egy
idblyeget.
Mg egy keret fogadsa trtnik, a dekdol egysg egy jabb idblyeget vesz, mikor szleli
a msodlagos idreferencia pontot. Ez az idblyeg azonos idlapon nyugszik, mint a statikus
akci pont idblyege. A dekdol egysg ezutn kiszmolja az elsdleges idreferencia
pontot, egy elre meghatrozott fzis rtket kivonva a msodlagos idreferencia pont
idblyegbl. Ezt az rtket tadjuk a keret s szimblum feldolgoz folyamatnak, mely
tadja az eredmnyt a CSP-nek, minden egyes rvnyes szinkronizcis keret fogadsa utn.
Az akci pont s az elsdleges idreferencia pont idblyege kztti klnbsget, tovbb a
logikai rtkeket melyek megadjk, hogy az adat rvnyes e, s hogy a keret indt keret-e
vagy sem, a kvetkezkben ismertetett adatstruktra megfelel helyre mentjk el. A mrsi
fzis akkor r vget, amikor a statikus szegmens befejezdik.
Az adatstruktra melyben a mrsi adatokat troljuk egy hromdimenzis tmb, ahol a
dimenzik a kvetkezk:
vonal szm (115)
kommunikcis csatorna (A vagy B)
kommunikcis ciklus (pros vagy pratlan)
Minden egyes vonalat arra hasznlunk, hogy eltroljuk egy szinkronizlt csompont ltal
kldtt adatokat. Ha a csompont egy szinkronizcis csompont, akkor az els vonal
szrst nullra lltjuk. Ezen hromdimenzis tmb minden eleme tartalmaz egy
szrsrtket, egy logikai rtket, mely jelzi, hogy a szrs helyes-e, tovbb mg egy logikai
rtket, mely megadja, hogy a szrs megegyezik-e egy indt keret szrsval.
Ha a megengedettnl tbb szinkronizcis keretet fogadunk egy csatornn, akkor a
kommunikcis ciklus egy hibt fog generlni a clusteren bell. Ezt jelentjk a host fel s
ebben az esetben csak azokat szinkronizcis kereteket vesszk figyelembe a korrekcis
rtkek szmtsnl, melyek nem lptk mg tl a maximlis szinkronizcis keretszmot.

4.9.5 Korrekcis id szmtsa

A korrekcis id szmtshoz hasznlt eljrs, a hiba tolerns midpoint algoritmus (FTM),


mely a kvetkezkppen mkdik:
1. Az algoritmus meghatrozza k paramter rtkt az rtkek szmtl fggen.
4.1. tblzat: A k paramter rtkei

rtkek szma k

1-2 0

3-7 1

>7 2

2. Az eltrolt mrsi rtkek kzl a k legnagyobbat illetve a k legkisebbet elvetjk.


3. A megmaradt rtkek kzl a legnagyobb, illetve legkisebb tlagt szmoljuk ki s ez
lesz a midpoint rtk. Felttelezzk, hogy ez az rtk jellemzi a csompont szrst a
globlis idhz kpest s szolgltatja a korrekcis idt.

4.9.5.1 A fziskorrekcis rtk szmtsa

A fziskorrekcis (offset) rtk egy eljeles egsz szm, mely megadja, hogy a csompontnak
mennyi microticket kell lptetnie a kvetkez ciklus kezdetig. A negatv szm azt jelzi, hogy
rvidebb, pozitv szm esetn hosszabb lesz a NIT.

A szmts a kvetkez lpsekbl ll:


1. Kivlasztjuk az elzleg trolt szrs rtkeket. Csak azokat az rtkeket hasznljuk
fel, melyeket az aktulis ciklusban mrtnk s troltunk el. Ha egy szinkronizcis
keretazonosthoz kt rtk tartozik (egy az A egy pedig a B csatornhoz), akkor a
kisebbiket fogjuk vlasztani.
2. Ellenrizzk a fogadott szinkronizcis keretek szmt, s ha ez nulla, vagyis nem
fogadtunk ilyen keretet, akkor egy hibajelz bitet engedlyeznk.
3. Vgrehajtjuk a hiba tolerns midpoint algoritmust.
4. A korrekcis idt jraellenrizzk meghatrozott hatrok kztt. Ha a korrekcis id
kvl esik ezen a hatron, akkor egy hibajelz bitet engedlyeznk s a korrekcis id
rtkt a megfelel maximum vagy minimum rtkre lltjuk.
5. Ha megfelel, akkor egy a host ltal szolgltatott korrekcis rtket adunk hozz a
kiszmolt s jraellenrztt rtkhez.
4.9.5.2 A frekvenciakorrekcis rtk szmtsa

A frekvenciakorrekci (rate) clja, hogy a clusteren belli csompontok frekvencia rtkt


kzelebb hozza egymshoz. A frekvenciakorrekcis rtket kt sikeres ciklus mrt
idklnbsgeinek megfelel sszehasonltsa adja meg.

A frekvenciakorrekcis rtk egy eljeles egsz szm, mely jelzi, hogy hny microtickkel
vltozik mag a csompont ciklusnak hossza. A negatv szm azt jelzi, hogy rvidebb, pozitv
szm esetn hosszabb lesz a csompont ciklusa.

A szmts lpsei a kvetkezk:


1. Kivlasztunk elzleg eltrolt szrs rtk prokat s meghatrozzuk a klnbsgket.
Olyan prokat vlasztunk ki, melyek reprezentljk a szinkronizcis keret fogadst
azonos csatornn, egymst kvet ciklusok megegyez slotjaiban. Ha kt prunk van
egy adott szinkronizcis keretazonosthoz (egy az A egy pedig a B csatornhoz),
akkor a klnbsgek tlagt hasznljuk.
2. Ellenrizzk a fogadott szinkronizcis keretprok szmt, s ha nem fogadunk ilyen
keretprt, akkor egy hibajelz bitet engedlyeznk.
3. Vgrehajtjuk a hiba tolerns midpoint algoritmust.
4. A frekvencia korrekcis idhz alkalmazunk egy mrskl vltozt.
5. A korrekcis idt jraellenrizzk meghatrozott hatrok kztt. Ha a korrekcis id
kvl esik ezen a hatron, akkor egy hibajelz bitet engedlyeznk s a korrekcis id
rtkt a megfelel maximum vagy minimum rtkre lltjuk.
6. Ha megfelel, akkor egy a host ltal szolgltatott korrekcis rtket adunk hozz a
kiszmolt s jraellenrztt rtkhez.

4.9.5.3 rtk limitls


Mieltt elfogadnnk a szmtott korrekci rtkeket, jraellenrizzk ket meghatrozott
rtkek kztt.
Ha a korrekcis rtkek a hatrokon bell vannak, akkor a csompontot teljesen
szinkronizltnak tekintjk.
Ha a korrekcis rtk kvl esik a hatrokon, vagyis teljeslt a hibafelttel, akkor a
csompont kiesik a szinkronbl.
Ha a korrekcis rtkek a hatrokon bell vannak, akkor vgre fogjuk hajtani a korrekcit. Ha
brmelyik rtk tllpi a hatrt, akkor egy hibajelents keletkezik, s a csompont belp a
POC norml passzv vagy a POC felfggesztett llapotba. Ha tllpte valamely rtk a hatrt,
akkor nvelni vagy cskkenteni fogjuk a hatrokat. Ha a mvelet folytatdik, akkor a
korrekcit vgrehajtjuk a mdostott rtkkel.

4.9.6 ra korrekci

Ha mr a korrekcis idket kiszmtottuk, felhasznljuk a loklis id mdostsra, ily mdon


szinkronizlva az idt. Ennek ksznheten sokkal kzelebb kerlnk a globlis idhz. Ezt
gy valstjuk meg, hogy a korrekcis idt hasznlva belltjuk a microtickek szmt minden
macrotickben.

Az MTG folyamat generlja a macrotickeket. Kt klnbz ton hozhatjuk ltre az MTG


folyamatot:
A POC folyamat indtja el az MTG folyamatot, ha az indts felttele az, hogy a
csompont coldstart csompont legyen vagy
A csompont egy beszrt csompont s a csompont beszrsa sikeres.
A kt t mindegyike be fogja lltani a ciklusszmllk, a macrotick szmll s a frekvencia
korrekcis rtkek kezdeti rtkeit. Utastsok sorozatt hajtjuk vgre minden mikro temre
s az eredmny makro tem lesz, mely magba foglalja a korrekcis idt az egsz
idintervallum felett. Ezen utasts sorozatok csak akkor hagyjk el az MTG folyamatot, ha a
POC lelltja azt, vagy egy reset macrotick generation (macrotick generls jraindts) jelet
fogad a POC, CSP vagy CSS folyamat.
Egy alkalmazs frekvencia korrekcis idejnek idintervalluma az egsz ciklus, a
fziskorrekci idintervalluma, a fziskorrekci kezdete s a kvetkez ciklus kezdete kzt
eltelt id. Az MTG folyamat ezt kt kln inicializcival kezeli. A ciklus kezdetekor az
algoritmus csak a frekvenciakorrekcis rtket hasznlja az inicializcihoz, a fziskorrekcis
fzisban viszont jra inicializljuk az algoritmust most mr a fziskorrekcis rtket is
hasznlva.
Egyidejleg az MTG folyamattal j mrsi rtket vesznk a CSP ltal s ezeket j korrekcis
rtkek szmtshoz hasznljuk fel. Ezeket az rtkeket az MTG folyamat fogadja el s fogja
hasznlni. Az j fzis korrekcis rtket a fzis korrekcis fzis kezdetn egy pratlan
ciklusban, s az j frekvencia korrekcis rtket pedig egy pros ciklus kezdetn fogadjuk el.
4.10 Controller Host Interface (CHI)

A controller host interface (vezrl host interfsz) irnytja az adat s vezrls folyamot a host
processzor s a FlexRay protokoll motorja kztt minden csomponton bell.

A CHI kt f interfsz blokkot tartalmaz:


protokoll adat interfsz
zenet adat interfsz
A protokoll adat interfsz irnytja az sszes adatcsert, mely fontos a protokoll
mveletekhez, s az zenet adat interfsz irnytja az sszes adatcsert, mely fontos az
zenetvltshoz.

Host Processzor

Host Processzor Interfsz

CHI
zenet zenet
Protokoll Protokoll Protokoll zenet Puffer
zenet Puffer Puffer
CHI Szolgltatsok Konfigurcis Vezrl llapot Konfigurcis
Pufferek Vezrl llapot
Adatok Adatok Adatok Adatok
Adatok Adatok

Protokoll Motor Interfsz

Protokoll Motor

4.41. bra: A controller host interface

A protokoll adat interfsz kezeli a protokoll konfigurcis, kontroll s llapot adatokat. Az


zenet adat interfsz kezeli az zenet puffert, az zenet puffer konfigurcis, irnyts s
llapot adatokat.
sszessgben a CHI olyan szolgltatsokat nyjt melyek a protokoll mveletek szmra
nem lthatk.

4.10.1 CHI szolgltatsok

4.10.1.1 Makro tem idzt


Abszolt idzt
A csompont biztostani fog legalbb egy abszolt idztt, mely idztt bellthatjuk gy,
hogy egy adott kommunikcis ciklus meghatrozott macroticknl jrjon le.
Az abszolt idzt belltst addig tehetjk meg, mg a POC norml aktv vagy norml
passzv llapotban van. Az idzt deaktivldik, mikor a protokoll elhagyja POC norml
aktv vagy passzv llapotot, kivve az tmenetet a norml aktv s norml passzv llapotok
kztt.
Relatv idzt
A csompont biztost egy vagy tbb relatv idztt, melyet bellthatunk gy, hogy egy
meghatrozott macroticknl jrjon le.
Az relatv idztk belltst addig tehetjk meg, mg a POC norml aktv vagy norml
passzv llapotban van. Az idztk deaktivldnak, mikor a protokoll elhagyja POC norml
aktv vagy passzv llapotot, kivve az tmenetet a norml aktv s norml passzv llapotok
kztt.

4.10.1.2 Megszakts
A megszakts szolgltats egy konfigurlhat megszaktsi folyamatot biztost a host
szmra.
Lehetsges, hogy a host brmelyik megszaktst be, vagy kikapcsolja. A host akr egyszerre
az sszes megszaktst is be, vagy kikapcsolhatja anlkl, hogy egyesvel kne ezt
megtennie. Tovbb a host trlheti az egyes megszaktsokat.
Legalbb egy megszaktskrs generldik, mikor egy idzt lejr.

4.10.1.3 zenetazonost szrs


Az zenetazonost szrs nyjtja azt a lehetsget, hogy a bejv zenetek pufferben
keressnk az zenetazonost alapjn, melyet megvltoztathatunk a kivlasztott keret
adatszegmensnek (payload) els kt bjtjban.
Az zenet azonost szrst gy vgezzk, hogy megvltoztatjuk az zenetazonost ltal
kijellt a keret zenetazonostjt, ha a kommunikcis ciklus dinamikus szegmensn bell
annak adat bevezet indiktor (payload preamble indicator) rtke 1 re van lltva a keret
fejrszben.
Hogy tmogassuk ezt a szolgltatst, szksg van az albbi adatok CHI ltali karbantartsra:
1. Az zenet puffer vezrl adatok (message buffer control data) tartalmazzk az adat
bevezet indiktort minden tovbbtott pufferhez ahol a host bellthatja, hogy az
zenetet tartalmaz-e vagy sem zenetazonostt. Ha nem tmogatjuk az
zenetazonost szolgltatst, akkor a CHI biztostja, hogy az sszes dinamikus
szegmensben tovbbtott puffer adat bevezet indiktor rtke 0 legyen (0-ra lltja).
2. Az zenet puffer konfigurcis adatok (message buffer configuration data)
zenetazonost szrt tartalmaznak minden egyes fogadott pufferhez.
Minden szemantikailag helyes keret, melyet a kommunikcis ciklus dinamikus
szegmensben fogadtunk s tartalmaz zenetazonostt, akkor a CHI elfogadja ezt az
azonostt.

4.10.1.4 Hlzatirnyts
A hlzatirnyt szolgltats biztostja, hogy feldolgozzuk s kicserljk a hlzatirnyt
adatokat. Ez a szolgltats magas szint host alap hlzatirnytsi protokoll, mely az
indtsi (startup) s lelltsi (shutdown) folyamatok cluster szlessg koordincijt
biztostja, melyek dntsei az alkalmazsok llapotaitl fggnek.
A hlzatirnytst hlzatirnyt vektorok cserjvel hajtjuk vgre, a hlzatirnyt ltal
kivlasztott keretet engedlyezzk, ha a kommunikcis ciklus statikus szegmensben az
adatbevezet indiktor tkt 1-re lltjuk.

A szolgltats tmogatshoz szksg van a kvetkez CHI ltal karbantartott adatokra:


1. A protokoll konfigurcis adatok (protocol configuration data) tartalmazzk a
hlzatirnyt vektor hosszt.
2. Az zenet puffer vezrl adatok troljk az adatbevezet indiktor rtkt, minden
egyes tovbbtott pufferhez, hogy a host bellthassa, hogy az zenet tartalmaz-e vagy
sem hlzatirnyt vektort. Ha nem tmogatjuk a hlzatirnyt szolgltatst, akkor
a CHI biztostja, hogy az adatbevezet indiktor rtke 0 legyen, minden egyes
statikus szegmensben tovbbtott puffer esetn.
5 MOST: Media Oriented System Transport

5.1 Trtnelmi ttekints

5.1.1 A jrmvek fejldse sorn kialakult megvltozott ignyek

A mai modern trsadalmunkban az emberek ignyei az utazssal kapcsolatban nagymrtkben


megvltoztak, akr csak a 25-30 vvel ezeltti helyzethez kpest is. Szinte minden embernek,
vagy legalbbis azoknak, akik a jrmgyrts piaca szempontjbl fontosak, van
mobiltelefonja, zene gyjtemnye, s termszetesen szeretne minl gyakrabban szrakozni.
Ugyanakkor a trsadalom nagy rsze egyre tbbet dolgozik, ingzik a munkahelye, s az
otthona kztt s ezrt kialakult egy olyan igny rszrl, hogy amikor valamire vrakozik,
gymond holtideje keletkezik, vagy utasknt jut el egy kivlasztott clllomsra, akkor
szeretn ezt az idt hasznosan eltlteni. Ezen kvl fontos mg, hogy a komfortigny is
nagyban megntt a vsrlk rszrl.

5.1. bra: E23-as els 7-es BMW (1977) s az F01 LCI (facelift 2013) utastere

Ahogy a fenti fnykpbl is lthat, a kt modell kztt 30-35 v telt el, s br alapveten
megvannak a hasonlsgok, valjban risi klnbsgeket lthatunk. A rgebbi modellben
az egyetlen szrakozsi eszkz egy kazetts rdi volt, mobiltelefonlsra nem volt lehetsg,
a mszerek analgok voltak, minden egyes funkcinak sajt gombja volt, az emberek mg
trkpekrl navigltak, ezrt pldul rendkvl fontos extra volt a trkpolvas lmpa. s br
a maga korban kiemelked volt, de a knyelem szempontjbl a kp msik oldaln lthat j
7es BMW-vel sszehasonltani sem lehet. Ebben a 2013-as LCI (azaz Life Cycle Impulse,
modellfrisstsen tesett) 7es BMW-ben mr kt teljesen digitlis LCD kijelz tallhat, a
vezet eltt az analg mszerek eltntek, ezek csak imitcii a korbbi mszereknek, gy a
mszerfalon gyakorlatilag tetszleges adatok, zenetek, funkcik jelenthetk meg. A
kzps kijelzrl az aut sszes funkcija elrhet, bellthat az iDrive nvre keresztelt
teker gombbal, mely 2002-es megjelense ta rengeteget fejldtt.
Olyan aprsgok is bellthatk, minthogy az aut bezrsa utn meddig vilgtson a
fnyszr, vagy az lsfts milyen mrtk legyen az llapon/httmln, a lehetsgeket
hossz oldalakon t lehetne sorolni.
Ezen kvl az autban termszetesen tallhat 3D-s pleteket tartalmaz, a klasszikus
megoldsoknl sokkal gyorsabb navigci, amely a Google mholdas nzett is tmogatja,
hasznlja a TMC-t (Traffic Message Channel), s a msik BMW-k ltal a Connected Drive
rendszeren keresztl kzztett forgalmi adatokat, jelzi az akadlyokat, s a kialakult
torldsokat.
Kpes mholdas / digitlis rdi, s CD lejtszsra, 6db DVD s termszetesen digitlis TV
adsok vettsre, az autn bell internet megosztsra, s interaktv hangvezrlsre.
Ez utbbi funkci azt jelenti, hogy egy e-mailt/sms-t/naptr bejegyzst akr szban is
lediktlhatunk az autnknak, s az automatikusan lerja, visszaolvassa azt, majd elkldi a
kvnt szemlynek. Az adattrolsrt egy merevlemez felel az autban, amelyre a kedvenc
zeninket is felmsolhatjuk, akr CD-rl, akr a telefonunkrl, akr egy iPod lejtszrl.
Megemlteni sem rdemes, hogy az elbb emltett kszlkekrl termszetesen zent is le tud
jtszani, akr USB, akr Bluetooth kapcsolaton keresztl. A merevlemez maradk rszn a
navigcis adatok, belltsok, s a rendszert kpez szoftver tallhat. Az aut kihangost
rendszerhez Bluetoothon keresztl tbb mobil telefont is kapcsolhatunk, brmelyikrl az
sszes funkci ugyangy elrhet, fggetlenl attl, hogy az utas, vagy a sofr a kszlk.
St, a Connected Drive rendszeren keresztl az okos telefonunkon keresztl llandan
lthatjuk vals idben, hogy az autnk mennyi km-t tett meg, mennyi az aktulis
zemanyagszint, mikor esedkes a kvetkez szerviz, s krhetjk tvolrl, hogy szellztesse,
vagy llftssel melegtse fel az utasteret. Mg arra is lehetsgnk addik, hogy otthon a
szmtgp eltt lve megtervezett tbb pontbl ll tvonalat tkldjk az autnak, s
mindezen funkcikat akrmilyen tvolsgbl interneten keresztl vgrehajthatjuk. Az utbbi
funkci lnyege, hogy amikor beszllunk, az aut mr rgtn tudja, mi a pontos clunk, nem
kell egyesvel bevinni a cmeket a rendszerbe.
s ha mindez nem lenne elg, akkor az els kt kijelz mell rendelhet mg gynevezett
Rear Seat Entertainment, amikor is ugyanezek a funkcik mind elrhetk kln-kln, mind a
kt hts utas szmra is.

5.2. bra: Rear Seat Entertainment CIC High [3]

Ezzel a rvid s tnyleg csak felletes bemutatval taln sikerlt rzkeltetni, hogy mekkora
klnbsg van az elvrsok tern egy mai fels kategris prmium aut, s egy vele
megegyez szint, de 30 vvel ezeltti gpjrm kztt.
Ahogy ntt az igny az egyre nagyobb komfort, az egyre preczebb, knyelmesebb
szablyozsok s a kifinomult mkds irnt, gy ntt az autban felhalmozdott
vezrlegysgeknek s ez ltal a kbeleknek a mennyisge, s nem elhanyagolhat mdon a
slya, komplexitsa, gyrtsi kltsge. Korbban az volt a termszetes, hogy minden jel kln
kbelen megy, s hogyha pldul a sebessg jelre tbb vezrlnek is szksge volt, akkor
mindegyikhez ment egy jel vezetk. Az albbi brn (5.3. bra) lthat, hogy a kezdetben a
CAN soros kommunikci feladata mindssze annyi volt, hogy az elektronikus
vltvezrlssel knnyebb kommunikcit biztostson a motorvezrlnek, a jel digitlis
mivolta okn jobb volt a zavartrse, s knnyebben diagnosztizlhat volt. Ksbb ez a
hlzat kiegszlt a kiprgs gtlkkal (ASC/ASR), az ABS-el, az Airbag vezrlvel, s gy
tovbb.
5.3. bra: Balra a 2. genercis E32 7es, jobbra a jelenlegi F01 7-es BMW Hlzati rajza (Lin
nlkl) [3]

A mai gynevezett multi network (a 5.3. bra jobb oldali rsze) rendszereknek az a lnyege,
hogy tbb hlzat tpus, s kommunikcis szabvny ll rendelkezsre, s a klnbz
vezrlegysgek informci, s sebessg ignyeiknek megfelel hlzatra kerlnek
illesztsre.
Pldul ma mr a motor- s vltvezrlnek, vagy a menetstabilizlnak sokkal nagyobb a
svszlessg ignye, gy a hajtslnccal kapcsolatos vezrlk a PT-CAN hlzatot hasznljk
(ami egy High-Speed CAN, 500 kbit/s).
Ugyanakkor az egyszerbb funkcik, mint a klma motorok vezrlse, az akkumultor
szenzor, genertorvezrls, s az ajtkban tallhat kapcsolk csak gynevezett Sub-Bus
(egy vezetkes, lineris) primitv hlzaton kommuniklnak, ilyen hlzat a LIN, a BSD, s a
K-Bus. Mivel itt nincs akkora jelentsge a sebessgnek (2,4-19,2kbit/s), az adatok pedig nem
biztonsg kritikusak, ezrt e rendszereket hasznlva kltsget sprolhatunk meg.
Az autban tallhat sszes hlzat kztti szinkronizcit, s az eltr szabvnyok miatt
szksges fordtst egy gy nevezett Gateway-el oldjk meg, ami jelen esetben a ZGM
(Central Gateway Module).
Vannak azonban az autban olyan rszek, ahol a svszlessg igny nagysgrendekkel
nagyobb, mint a tbbi kommunikcis hlzaton. Jellemzen ezek a szrakoztat s
komfortelektronikk, ahol a rendelkezsre ll felhasznlni kvnt adatok (hangok, zenk,
filmek, adatok) nem, vagy csak nehezen tmrthetk, gy knytelenek vagyunk nagyobb
svszlessget biztostani a szmukra.
Jelen ffejezet ezeknek a rendszereknek a kommunikcis rendszervel, a MOST (Media
Oriented System Transport) hlzattal foglalkozik. Ez a kommunikcis rendszer elszr az
E65 kdjel 7-es BMW-ben jelent meg 2002-ben, mra pedig a prmium s lassan a
kzpkategria elektromos hlzata is elkpzelhetetlen a MOST nlkl.

5.4. bra: BMW F01 LCI Facelift 2013

5.2 MOST kommunikcis hlzat trtnelmnek bemutatsa, s


kialakulsa

5.2.1 Trtnelem, s a MOST kooperci

A 80-as vek vgn, amikor a jrmvekben tallhat kommunikcis hlzatok megjelentek,


akkor a legtbb gyrt gy gondolta, hogy ezen hlzatok klnbzsgei lehetsget adnak
majd arra, hogy ez ltal a sajt termkeiket elklnthessk a piac tbbi szerepljtl.
gymond exkluzivitst szerezzenek, hogy pldul valamilyen specilis hlzat csak egy adott
gyrt jrmveiben ltezik.
Ezen elkpzels vezetett oda, hogy a Mercedes fejlesztette a CAN hlzatot, a PSA csoport s
a Renault a VAN hlzatot, mg a BMW az I-Bus elnevezs teljesen sajt rendszert
fejlesztett. Ez az egsz rengeteg problmt okozott, egyrszrl az autk nem voltak
kompatibilisek egymssal, mindegyikhez egyedi rendszert kellett fejleszteni (teht nem
fordulhatott el olyan, mint ma, hogy egy vezrlegysg tbb gyrt autjba is bepthet,
maximum a szoftver klnbzik), ezen kvl a diagnosztika sorn minden gyrt
gpjrmveihez klnbz eszkzkre volt szksg. Arrl a termszetesen trivilis tnyrl
pedig mg nem is beszltnk, hogy ez ltal minden gyrtnak komoly fejlesztsi kltsgeket
kellett klteni a sajt hlzatnak a fejlesztsre, tesztelsre, s vizsglatra.
Egy id utn viszont a gyrtknak r kellett jnnie, hogy alapveten mindegy, hogy melyik
cg milyen adattviteli szabvnyrl beszlnk, a lnyege mindegyik technolginak az, hogy
biteket mozgassunk biztonsgosan, s gyorsan a vezrlk kztt.
1996-ban 3 cg, a BMW, a Becker (ma Harman Automotive Division), s az OASIS Silicon
Systems (ma SMSC) kezdett el elszr a MOST-al, mint tlettel foglalkozni, amikor az E65-
s 7-es BMW s az ebben tallhat rendkvl innovatv iDrive rendszer fejlesztst elkezdtk.
A rendszer alapjt a Mercedes ltal elkezdett fejleszts, a D2B (Domestic Digital Bus) adta,
ezrt a BMW elszr csak vatosan kezdett el trgyalsokat folytatni a Daimler Benz-el, de
ahogy a felek egyre kzelebb kerltek egymshoz, szerencsre hamar belttk, hogy jobban
jrnak, ha a MOST kifejlesztst mr kzsen vgzik, s ez ltal kzsen viselik a fejleszts
terheit.
Eleinte csak a buszrendszer fizikai felptst, s a hlzat menedzsmentet specifikltk
kzsen, de ahogy a bizalom ntt a kt rivlis kztt, ezek kiegszltek az tviteli rendszer, s
a funkcik specifikciinak kzs fejlesztsvel, st a ksbbiekben mr a tesztelsi
eljrsokat is kzsen alaktottk ki. A MOST clja az volt (s ez a mai napig is), hogy
fejlesztsek sorozatt hozzk ltre, teht ne csak elmleti szabvnyokat kreljanak, hanem
gyakorlati fejlesztseket vgezzenek, mikzben egyik fl sem akadlyozza a msik munkjt.
A szably az volt, hogy az a fl diktlja a tempt, amelyik a legtbb idrfordtst ignyl
feladatokkal foglalkozik.
Hamar kiderlt, hogy mg elnysebb lenne, hogyha egy nyitott koopercit valstanak meg,
amelyhez ms autgyrtk, beszlltk, s fejlesztk is csatlakozhatnak.
gy alakult meg 1998-ban a MOST kooperci (GbR), amelynek tagjai a BMW, a Becker, a
Daimler, s az OASIS Silicon Systems volt. Az Audi rviddel a megalakuls utn
csatlakozott, s ahogy a MOST kooperci egyre ismertebb vlt, a tagok szma rohamosan
nvekedni kezdett, s hamar elrte a 80-at, gyakorlatilag az sszes autgyrt, beszllt s
infotainment fejleszt csatlakozott. A vilgpremier 2000-ben a Turinban tartott ITS World
Congress esemnyen volt, ahol az akkori tagok, a BMW, a Mercedes, az Audi, s a
Volkswagen is killtotta MOST hlzattal felszerelt prototpusaikat.
5.5. bra: 2000 ITS World Congress MOST premier [10]

Gyakorlatilag a MOST-nak, mint az els ilyen szabvnynak ksznhet, hogy ma mr az


autgyrtk kztt rengeteg kooperci van. Ugyanis a MOST fejlesztse sorn rjttek, hogy a
lemezek alatt tallhat infrastrukturlis technolgiknl, ami az tlag felhasznl szmra teljes
mrtkben lthatatlan, sokkal hatkonyabb a kzs ervel trtn fejleszts, s a mrkk
egymstl val szeparlsra inkbb a felhasznl ltal lthat dolgokat s a marketinget
hasznljk fel.

5.3 Az els szabvny: MOST25

5.3.1 A rendszer alapvet tulajdonsgai, logikai felptse

A MOST egy specilisan a gpjrmvek szmra kifejlesztett kommunikcis technolgia, a


multimdis adatok tovbbtsra. Magnak a MOST nvnek a jelentse (Media Oriented
System Transport) is erre utal.
Alapveten kt f kvetelmny fogalmazdott meg a gyrtk rszrl a MOST-al szemben,
amelyeket hinytalanul teljest is:
A MOST buszon video-, s hang jelek, navigcis adatok, s ms szolgltatsok mellett
vezrl jelek is tvihetk legyenek.
Maga a MOST technolgia logikai felptse alkalmas legyen arra, hogy a jrmben tallhat
sokfle, s komplex adatokat egyszerre kezelje. Ezen kvl a teljes rendszernek a funkciit s
feladatait is rendszerezi azltal, hogy az alapjaitl gynevezett funkci blokkokbl (Function
Block) pl fel.
A jelenleg hasznlt MOST25 szabvny az els generci (termszetesen ennek is tbb
verzija van), a sebessge kb. 25 Mbit/s. Az adattovbbts optikai szlon trtnik, ez
rszletesen a kvetkez fejezetben kerl bemutatsra. A pontos adattviteli sebessg a
rendszer mintavtelezsi frekvencijtl, s a frame hosszsgtl fgg. ltalnos esetben a
mintavtelezsi frekvencia 44,1 kHz, a szabvny frame pedig 64 byte, vagyis 512 bit. A kett
szorzata adja meg az elmleti 22,58 Mbit/s-os sebessget. A ksbb rszletesebben
bemutatsra kerl MOST50 ktszer akkora elmleti sebessge abbl addik, hogy a frame
nagysga megduplzdott, 128 byte, vagyis 1024 bit lett.
Az els kvetelmnyt a frame specilis felptsnek ksznheten tudja teljesteni, ugyanis
egy frame 3 kln rszbl ll. A szinkron rsze felel az Audio/Video jelek tovbbtsrt, az
aszinkron rsz nagy mennyisg navigcis rendszer adatot s egyb aszinkron zenetet/jelet
kpes tovbbtani, s mindezt gy tudja megtenni, hogy a kzben a szinkron zeneteket nem
zavarja, ezltal tesz eleget az els kvetelmnynek. A harmadik rsze az zenetnek a vezrl
adat blokk. Ennek nagy elnye, hogy mivel brmilyen vezrl jel tovbbthat a MOST-on
keresztl, ezrt a legtbb egysgnek tpelltson kvl nincs szksge ms csatlakozsra a
MOST-on kvl a mkdshez.
A kvetkez brn (5.6. bra) lthat a MOST OSI (Open System Interconnection) modellje,
amely a klnbz protokollok ltal nyjtott funkcikat egymsra pl rtegekbe sorolja.
Lthat, hogy az alkalmazs rsz a funkci blokkokbl s rszben a Network Service
(Hlzati kiszolgl) szoftver oldalbl pl fel. Maga a Network Service az
tulajdonkppen egy middleware-nek nevezhet, ami kapcsolatot ltest a szoftver (Function
Block) s a hardver (MOST hlzati vezrl) majd ezen keresztl a fizikai csatol fellet
kztt (Optikai, vagy a MOST50/150-nl elektromos kapcsolat). Ezzel prhuzamosan lthat
egy Stream kiszolgl (Stream Service), amely az egsz rendszer lnyegt ad szinkron
Audio/Video jelek tovbbtsrt felel, de szigoran vve ez az elem nem tartozik bele az OSI
modellbe, az brn a teljes rendszer megrtse cljbl kerlt brzolsra.

5.6. bra: MOST az ISO-OSI modell szerint [10]

Az egsz rendszert gykereiben meghatroz funkci blokkokra lthatunk egy pldt a fenti
brn, ahol egy Audio (jelen esetben CD) lejtszn keresztl ismerhetjk meg a felptst. A
funkci blokk definilja egy adott alkalmazs vezrlshez szksges interfszt, ami kt
elemtpusbl ll:
Tulajdonsgok (Properties), amelyek lerjk, vagy vltoztatjk a vezrelni kvnt funkci
llapott.
Eljrsok (Methods), amelyek vgrehajtjk a mveletet, ami egy meghatrozott id utn
valamilyen eredmnnyel szolgl.

5.7. bra: Egy CD lejtsz funkci blokkja [10]

A MOST hierarchia a specifikci szerint 3 szintbl pl fel, ezt lthatjuk a kvetkez brn
(5.8. bra):

5.8. bra: A MOST hierarchia [10]


5.9. bra: Interakcik a MOST hierarchiban [10]

Slave: egy olyan MOST eszkz, amelyet a Controller vezrel, az ltala megvalsthat
dolgokat a funkci blokkjaiban szerepl tulajdonsgokon s eljrsokon keresztl rhetjk el.
Az eszkz nem kpes ms Slave-ek vezrlsre, mivel a rendszer felptsrl nem trol
adatot, nincs tudomsa. Ennek az egyik elnye, hogy a Slave-ek a rendszerbl knnyedn
eltvolthatk, vagy ppen hozzadhatk anlkl, hogy a szoftveren mdostannk, vagy
msik Slave-ek mkdst befolysolnnk. Ezen kvl, amennyiben Slave-knt kerlt
implementlsra pldul egy CD vlt, vagy erst, akkor eltr jrm platformokban is
hasznlhatk, anlkl hogy rajtuk mdostani kellene.
A Controller (Vezrl): egy olyan alkalmazs, aminek a feladata, hogy a klnbz Slave-ek
funkci blokkjait vezrelje. Termszetesen maga a Controller is tartalmazhat sajt maga
szmra funkci blokkokat, amelyeket szintn tud vezrelni (lsd 5.9. bra).
A Controllernek mr rendelkeznie kell rszleges rendszerismerettel, pontosan tudnia kell,
hogy milyen funkci blokkok tallhatk a jrmben, amelyeket vezrelnie szksges. Ilyen
Controller pldul egy rdi fejegysg, amely kpes vezrelni egy slave erstt, vagy DVD
vltt.
HMI (Human Machine Interface): ami egy magas szint elrst biztost a felhasznlknak az
elrhet funkcikrl, vagyis a HMI koordinlja a klnbz Controllereket. Tulajdonkppen
ez maga az, amit a jrm sofrje lt, s amin keresztl vezrli a teljes rendszert.

5.3.2 Hlzat fizikai felptse

A MOST hlzat egy gyr struktrj buszrendszer, ami annyit jelen, hogy az adat mindig
csak egy irnyba folyik. Ezltal brmilyen adattovbbtsra csak akkor van md, amennyiben
a teljes kr megfelelen mkdik. Teht brmilyen meghibsods trtnik a gyrn bell, az
a teljes rendszer mkdst lehetetlenn teszi.

5.10. bra: Balra lthat a gyr struktra ltalnos esetben, jobbra az E65 7-es BMW-nl [16]

A MOST busz jelenleg manyag szloptikn keresztl kommunikl, s a BMW-ben zld


szn kls bortssal rendelkezik, amit ltalban egy, a megtrst akadlyoz vkony fekete
ggecsvel burkolnak. A pulzl fny hullmhossza 650 nm, teht a piros szn lthat fny
tartomnyba esik. A kommunikcihoz minden egyes MOST eszkznek szksge van egy
optikai adra, s egy optikai vevre. Ezeket a BMW a sajt maga szmra fejlesztette ki az
OASIS-el s az Infineon-nal kzsen, s a klnlegessgk, hogy pihen, alv llapotban is
nagyon alacsony az ramfelvtelk, ezrt a rendszerben megvalsthat a Wake up by
MOST, vagyis hogy kln vezrl vezetk nlkl, a MOST hlzaton keresztl
felbreszthetjk az eszkzeinket.

5.11. bra: A MOST bels adjnak, s vevjnek felptse, jobbra pedig a csatlakozk a BMW-
nl [2]
5.12. bra: Szabvny csatlakoz rszletes felptse [30]

Az ad esetben a LED meghajt elektronika a vevegysgben tallhat, az ismtlsi


frekvencia 44,1 Mhz, pontosan annyi, mint a CD szabvny szerinti mintavtelezsi
frekvencija, gy lejtszskor nincs szksg pufferre. A vev olyan specilis didbl (LED)
ll, melyek az optikai jelet elektromos jell alaktjk, majd ezt egy elerst felersti, s
tovbbkldsre kerl feldolgozsra a hlzati interfszbe. Ezen kvl tallhat mg egy
elektronika, amely a vezrl bresztsrt (Wake-up) felel.
Mivel minden MOST eszkz egy adbl, s egy vevbl pl fel, ezrt maga a MOST kbel
is minden esetben 2 optikai vezetbl pl fel, amelybl az egyik a vevhz kapcsoldik, a
msik pedig az adhoz. A vezrlegysgen tallhat csatlakozknak nem kell a vev didt
tartalmazniuk, hanem csak gynevezett pure fibre coupling mdszerrel a vezrlegysgen
bell tallhat optikai kbellel egyestjk a kls kbelt. Ennek a rendszernek az az elnye,
hogy a vevt, s az adt a vezrlegysgen bell brhol elhelyezhetjk, gy kevsb lesz
rzkeny, msrszt a csatlakozban a hagyomnyos elektromos rintkezkhz kpest a
csatlakoz fellet skjnl mlyebben lehet az optikai vezet, gy nem kell specilis
vdelemrl gondoskodni.
Amennyiben a vezet skban lenne, egy esetleges karcols a manyag felleten ksbb
ronthatn az adattvitelt. A csatlakoz, s ennek a felptse a MOST kooperci
szabvnyban rgztsre kerlt, s a kt szlbl ll optikai vezet modulja minden
csatlakoz fajtban azonos. Az 1-es PIN (lb) mindig a bejv jel, a 2-es lb pedig a kimen
jel.
5.13. bra: A MOST csatlakozs felptse a vezrlegysgben [16]

5.4 A MOST mkdsi elve, az adattovbbts mdja

5.4.1 Az adattovbbts mdja, a szloptika

A MOST fejlesztse sorn elszr egyrtelm volt, hogy valami egszen ms eljrsra lesz
szksg az adattovbbtshoz, hiszen a nagy svszlessg igny nem elgthet ki a
hagyomnyos rz vezetkeken keresztl, ugyanis nagy svszlessg esetben az
elektromgneses sugrzs igen nagy lesz, s ez interferencit okozhat a jrm tbbi
komponensben. A szloptiknl ilyen problma nincs, mert amg a rzvezetken elektromos
analg s digitlis jelek kzlekednek, addig a szloptikn csak fny impulzusok. Elnye mg
a szloptiknak, hogy azonos svszlessgnl kisebb a helyignye, s a slya, mint a
rzvezetknek.
A telekommunikcis technolgiban a MOST fejlesztsnek idejben mr bven elterjedtek
az optikai vezetkek, azonban ezek vegszl alapak voltak, ami gpjrmves felhasznlsra
alkalmatlan, ugyanis a jrmvekre jellemz vibrcik repedseket okozhatnak az vegben, s
a kis sugar hajltssal szemben is kevsb ellenllak, ezek pedig a szk beptsi
lehetsgek miatt az autknl kikerlhetetlenek.
Ezrt az autipar szmra egy j kbelt fejlesztettek ki (s gyrtottak) a Dow-Corning cgnl,
ami a Polimer Optical Fiber (POF), vagyis polimer alap szloptika.
5.14. bra: A szloptika felptse, s mkdse [16]

A fenti brn 1: Szigetels, 2: Fnyvisszaver burkolat, 3: Mag.


A Polimer alap szloptiknak rengeteg elnye van a hagyomnyossal szemben a
gpjrmves felhasznlsok sorn:
Kevsb rzkeny a porra, kis mennyisg szennyezds mg nem befolysolja jelentsen a
kommunikcit.
Egyszerbben kezelhet, a mg megengedhet legkisebb hajltsi sugr 50mm, aminek
ksznheten a jrmvekben az elhelyezs knnyebben megoldhat.
Az veggel ellenttben ezek a szlak egyszerbben megmunklhatk. Vghatk, s lehetsg
van mdostsok elvgzsre, ezrt a kbelkteg gyrtsa is egyszerbb, de a szervizek
szmra a javts is sokkal knnyebb.
A polimer szlaknak az ellltsa kifejezetten olcs, s nem ignyelnek specilis
csatlakozkat, s burkolatokat.

Az tvitel gy trtnik, hogy a vezrlegysg elektromos jelt (5.13. bra: 1) optikai jell
alaktjuk a mr emltett bels LED ad modullal (2), s a fnyjelet az optikai szlba vezetjk
(3). A kbelen tallhat fnyvisszaver burkolat megakadlyozza, hogy a fny kilphessen a
magbl, gy az knytelen rajta keresztl haladni. A kls burkolat, s a fnyvisszaver fekete
szne megakadlyozza, hogy kls fny szennyezze a jelet. Ezutn a vev modul fotdidja
(4) a fnyt elektromos jell alaktja vissza, s ezt tovbbtja a msik vezrlhz (5).

5.15. bra: Balra a MOST tvitel smja, jobbra a fny tja a szloptikban [2]
A szloptika esetben nem hagyhatjuk figyelmen kvl a fnyvesztesget, ami azt jelenti,
hogy a fny az optikai szlon haladva veszt az intenzitsbl, vagyis a jel erssge cskken.
Akr vehetjk az elektromos vezetkhez hasonlan gy, mintha ez a fny ellenllsa lenne.
Ezt a vesztesget deciBel-ben mrjk, ezrt a mrtkegysg az dB/m, amely minl
alacsonyabb, annl jobb a szloptika hatsfoka, s minl nagyobb annl kevesebb fny jut a
vevhz.
tlagos esetben 0,5 dB vesztesget okoz egy csatlakoz, s 0,3dB vesztesget okoz
mterenknt a szloptika. Mivel minden vezrl jra ismtli a jelet, ezt a vesztesget mindig
csak kt vezrlegysg kztt kell szmtani, nem pedig a teljes rendszerre. sszesen 3 dB
jelvesztesg azt jelenti, hogy a jel fele olyan ers lett.

5.16. bra: A fny vesztesge [16]

A szervizels sorn vannak bizonyos dolgok, amiket figyelembe kell venni szloptika
alkalmazsnl. Pldul, amennyiben olyan fnyezsi vagy egyb javtsi munklatokra van
szksg, ahol h keletkezhet, a hmrsklet nem haladhatja meg a 85 C fokot. Ezen kvl a
kbelkteget, amelyben MOST szlak futnak nem szabad hajltani, nyjtani, vagy ersebben
meghzni.
A javtsra clszerszmok llnak rendelkezsre, ilyenkor a manyag szloptikt specilis
vgval elvgjuk, s a javt kszletben lv csatlakozval elltjuk, majd a szl msik vgt
szintn a csatlakozba helyezzk. A zld burkolat szloptika javtsnl fekete vagy
narancssrga szloptikt kell hasznlnunk, hogy ksbb lthat legyen hol volt mr javtva a
rendszer. A szabvny szerint kt vezrlegysg kztt maximum egy javts a megengedett,
amennyiben ennl tbbre lenne szksg, akkor egyben kell cserlni a teljes kbelt a kt
egysg kztt.

5.4.2 Az adattvitel mkdsi elve

Ahogy korbban mr emltsre kerlt, a kommunikci egy gyr struktrn keresztl


trtnik, s tekinthetjk gy, hogy a MOST a klnll komponensekbl alkot egy kzponti
egysget, ezltal nemcsak egy hagyomnyos rtelemben vett hlzat, hanem egy integrlt
technolgia, amely lehetv teszi a vezrlst is.

5.17. bra: Az adattvitel mkdsi elve [2]

A hlzat minden eleme (csatlakoz hurok, vagy vezrlegysg) rszt vesz a gyrben,
amelyen folyamatosan kering egy zenet, hogy a kommunikci lehetsges (ready to send
llapot). Ez az zenet megrkezik a vezrlegysg vev oldalhoz, majd az mdosts nlkl
tovbbtja az ad moduljval a sorban kvetkez egysg fel, ezltal halad krbe az zenet.
Amennyiben valamelyik egysg szeretne elkldeni egy zenetet, akkor az zenetet mdostja
foglalt zenett (occupied), majd hozzcsatolja a cmt a cl vezrlnek, egy hibajavt
kdot, s magt az adatot. Minden egyes vezrl annak rdekben, hogy a jel erssge
megmaradjon, repeater (ismtl) funkcit lt el, vagyis elolvassa az zenetet, s jra
legenerlja ugyanezt tovbbkldsre. Amikor a csomag elrkezik a cl-vezrlhz, akkor az
ugyangy tovbbtja, gy elbb-utbb visszajut az eredeti feladhoz, ami ekkor leveszi a
csomagot a krrl, s visszalltja a ready to send llapotot. Ennek az elnye, hogy a
hlzat nagy kiterjeds lehet, htrnyai pedig, hogy nehz a hibakeress, a meghibsodsok
a hlzat kiesst okozzk (ellenttben a CAN-el, ahol lehetsg van vsz mkdsre), s a
MOST-nl a kbelezs rzkeny, s bonyolult.
A MOST busz minden rsztvevje kldhet zenetet a MOST hlzaton, de msik adatbusszal
(CAN, LIN, Flexray, stb.) csak az gynevezett Master vezrlegysg kezdemnyezhet
kommunikcit.
Ha brmilyen jelleg hiba lpne fel a hlzat valamelyik rsztvevjnl, akkor annak az
egysgnek a vevje s az adja automatikusan sszekapcsolsra kerl. Ezltal a
meghibsodott vezrl termszetesen nem fog mkdni, de a hlzat a tbbi rsztvev
szmra hasznlhat marad. Ehhez hasonlan, minden vezrl csak abban az esetben vlasztja
szt a vev s az ad kztti sszekttetst, ha megkapja a tpfeszltsget.
Minden egyes MOST kommunikciban rsztvev egysg rgztve van egy gynevezett
regisztrcis fjlban, amely a Master vezrlegysgben tallhat. Ez a fjl a jrm
sszeszerelse s gyrtsa sorn kerl letrolsra, vagy pedig amennyiben ksbb a szerviz
szeretn valamilyen egysggel bvteni a MOST hlzatot, akkor az aut teljes jrakdolsa
s programozsa sorn kerl frisstsre ez a lista a hozzadott eszkzzel.
Ebben a regisztrcis fjlban nemcsak a beptett vezrlegysgek, hanem azok sorrendje is
eltrolsra kerl, ezltal a diagnosztikai rendszer kpes megllaptani, hogy utoljra melyik
vezrlegysg, s mikor mkdtt megfelelen, s hol szakadt meg a gyrben a
kommunikci. Ezen kvl ez a regisztrcis fjl a ZGM-ben (kzponti gateway modul) is el
van trolva, hogy ha a teljes MOST hlzat sszedl, s a Master vezrlegysg sem rhet
el, akkor a diagnosztika sorn a ZGM-bl ezek az adatok mg mindig kiolvashatk legyenek.

5.4.3 Az adatcsomagok felptse, svszlessg

A MOST adatcsomagjai a tbbi kommunikcis szabvnyokhoz hasonlan Frame-ekbl


plnek fel. Ezeket a kereteket a vezrlegysgek egymsnak 44,1 kHz-es frekvencival
tovbbtjk. Erre a fix frekvencira azrt van szksg, mert a specilis szinkron adatokat, mint
a zene, vagy video csak abban az esetben lehet megfelelen tovbbtani, ha azonos
idkznknt rkeznek az adatcsomagok. A 44,1 kHz azrt idelis ilyen szempontbl, mert a
CD, DVD, s a DAB (digitlis rdi) szabvny szerinti mintavtelezsi frekvencija pontosan
44,1 kHz.
A MOST25 esetben egy frame, ahogy mr korbban emltettk 64 byte-bl ll, ennek a
felosztst ismertetjk a tovbbiakban.
5.18. bra: A MOST frame felptse [30]

Az els elem a bevezet (preamble), amely 4 bit hosszsg s a frame elejt jelzi. Egy
blokkban tallhat minden egyes frame-nek sajt bevezetje van. Feladata ezen kvl mg,
hogy szinkronizlja az rajelet a Slave-ek s Master kztt.
A msodik elem az elvlaszt rsz (boundary descriptor / delimiter), amely szintn 4 bit
hosszsg, s a feladata hogy egyrtelmen elvlassza a bevezett az adatmeztl. Ezen
rsz ltal kerl meghatrozsra, hogy a kvetkezkben ismertetett adatmez szinkron s
aszinkron rsze hogyan osztozik a rendelkezsre ll byte-okon. Az rtke 6 s 15 kztt
lehet (decimlis), s a vrhat szinkron adat mennyisgt gy kapjuk meg, hogy a boundary
descriptor rtkt megszorozzuk 4-el, s annyi byte lesz a szinkron adat a rendelkezsre ll
60-bl. Ezt a TimingMaster NIC-je (Network Interface Controller) lltja be, de amennyiben
vltoztat a felosztson, akkor a szinkron kapcsolatokat jbl kell ltesteni.
A harmadik elem az adatmez, ami a hasznos informcit tartalmazza. Ez a MOST25
esetben, mint mr korbban emltettk 60 byte lehet. Ebbl a szinkron rsz (amely az audio
s video adatokat jelenti) prioritst lvez, s ezrt minimum 24 byte, de adott esetben a teljes
60 byte is lehet ilyen jelleg adat. Az adatok gynevezett Quadlet-ekbl, azaz 4 byte-bl
ll csomagokbl llnak, ezrt a feloszts is csak ilyen egysgenknt vltozhat.
5.19. bra: MOST adatmez [30]

Az aszinkron adatok (pl.: navigcis adatok, kpek, zenetek, vektorok, stb.) akkor
kerlnek tovbbtsra, amikor egy vezrlegysg olyan frame-et kap, amelynek a cmzettje
megegyezik azzal, akinek maga is szeretne aszinkron adatot kldeni, s amennyiben abban a
frameben maradt mg szabad hely (Quadlet) az adatmezben. Vagyis az aszinkron adatok
szablytalan idkznknt s szintn Quadletekben (4 byte) kerlnek tovbbtsra. Az
albbi tblzatban lthat, hogy a klnbz felhasznlsok sorn mennyi a svszlessg
igny, s milyen jelleg az tviend adat:

5.1. tblzat: Svszlessg igny, s tpus a klnbz alkalmazsoknl [2]

Application Band-width (data rate) Data Data Format

AM/FM
Check Control
Audio/CD 1.4 Mbits/s 1 Channel Stereo Synchronous
Telephone
SVS
TV Audio
1.4 Mbits/s Synchronous
CD Video MPEG 1 Video
Synchronous and
DVD 2.8-11 Mbits/s MPEG 2 Video
Asynchronous
250 Kbps/s Vector data (arrows) Asynchronous
Navigation 1.4 Mbits/s MPEG 1 Video (maps) Synchronous
1.4 Mbits/s Voice commands Synchronous
Telematic services A few bytes Asynchronous
Ezutn 2 Check byte kvetkezik, amelyet vezrl byte-oknak is nevezhetnk. Ezek feladata
ketts, egyrszt tartalmazzk a felad s a cmzett azonostjt, msrszt a cl vezrlnek
kldend parancsokat, vezrljeleket, mint pldul bemenet vlts, vagy brmilyen bellts
vltoztatsnak krelmt (pl.: hanger vltoztats, EQ, stb.) tovbbtjk.
Ezekbl a Check byte prokbl 16 darabot (vagyis 16 frame-nyi check byte-ot) egyest maga
a cl vezrlegysg gy ltrehozva egy check frame-et amely sszesen 32 byte. Ebben vezrl
parancsok, s diagnosztikai informcik tallhatk a cmzett szmra, s mint ilyet, cm
orientlt adattvitelnek nevezzk.

5.20. bra: Check byte s Check frame felptse [30]

Knnyen belthat, hogy a teljes 64 byte 1/32-ed rsze a vezrl adat (2 byte), vagyis a 22.5
Mbit/s-bl krlbell 700 kbit/s a vezrlshez rendelkezsre ll svszlessg, amely
nagysgrendileg 2700 telegramnak felel meg msodpercenknt. rdemes megjegyezni, hogy
jelenleg nincs olyan vezrlegysg, ami ennek akrcsak a harmadt (900 telegram) is fel
tudn dolgozni msodpercenknt, gy ez a svszlessg bven elegend, ennek ellenre a
ksbbi szabvnyokban (MOST 50) mr 4 byte-nyi vezrl adat ll rendelkezsre.
Az utols eltti rsz a sttusz mez, amely a frame tvitelvel kapcsolatos informcikat
tartalmaz a vev szmra.
Vgl pedig egy parits mez kvetkezik, amely arra szolgl, hogy az esetleges bit-hibkat,
amelyek a frame tvitele sorn keletkezhetnek kiszrjk. Amennyiben hibt llaptunk meg,
gy az zenet megismtlsre kerl.
5.5 A MOST jelene: MOST50 s a MOST150, mint j
szabvnyok

5.5.1 MOST50 Fejlesztsek az eldhz kpest, s az ezeket kivlt okok

Mr a MOST kooperci ltrejttekor az volt az elkpzels, hogy ne csak egy szabvnyt


alaktsanak ki a partnerek, hanem egy teljes evolcis tvonalat, kihasznlva azt, hogy a
koopercis partnerek miatt mr egyforma elfogadottsga lesz a szabvnynak. A
jrmiparban amita a gyrtk korbban mr megtttk a bokjukat az egysgestl eltr
kommunikcis rendszereikkel, az vlt jellemzv, hogy csak olyan szabvnyok kpesek
elterjedni, amelyek mgtt a lehet legtbb gyrt sorakozik fel. A MOST-nak ebbl a
szempontbl szerencsje van, hiszen ma mr tbb mint 16 gyrt s 60 beszllt tartozik a
csoporthoz.
A vevk rszrl jelentkez svszlessg igny nvekedsvel hamar, mr 2008-ban
bekvetkezett, hogy a MOST25 hlzat terhelse 50% krnykre kerlt. 2010-re pedig az is
vilgoss vlt, hogy vrhatan a jvben kialakul ignyek miatt hamarosan kritikusan (95%)
tlterhelnk a hagyomnyos MOST25 hlzatot, gy a tovbbi fejleszts elkerlhetetlenn
vlt [26].

5.21. bra: MOST hlzat terhelse az vek sorn szzalkosan, jelen esetben az Audinl [26]

A MOST50-et 2006 jniusban mutattk be, s tbb szempontbl is komoly elrelps volt a
MOST25-hz kpest, ennek ellenre a gyrtk j rsze nem adaptlta ezt a fejlesztst, hanem
megmaradtak a jl bevlt MOST25-nl. A Toyota volt az els, aki a MOST50-et alkalmazta,
klnsen tmogatva a csavart rpron keresztli MOST adattvitelt. Ksbb ms zsiai
autkban is megjelent a MOST50, de Eurpban nem volt szmottev a felhasznlsa.
Vltozsok a MOST50-nl a MOST25-hz kpest:
A mintavtelezsi frekvencia br nem vltozott (44.1 kHz maradt), de a teljes frame
hosszsga dupljra ntt, 128 byte (1024 bit) lett, ezltal a svszlessg is kb. a dupljra
ntt (ahogy a neve is utal r 50 Mbit/s).
A szinkron s aszinkron adatmez kztti arny most mr dinamikusan vltoztathat anlkl,
hogy a szinkron kapcsolatot jra fel kellene pteni.
A korbbiakkal ellenttben akrmelyik lefoglalhatja a teljes rendelkezsre ll helyet (0-29
quadlet) a frame-ben, amely szinkron esetben 117 byte, mg aszinkron esetn 116 byte lehet.
A teljes frame elejn egy 11 byte-os fejlc (Header) tallhat, amelybe belekerlt a
Boundary Descriptor, a System Lock Flag s 4 byte-nyi vezrl adat. Ugyanakkor ezek
nem 16 framenknt llnak ssze, hanem dinamikusan vltozva minimum 6, maximum 9
framenknt, ezltal jobb lett a svszlessg kihasznlsa.
A fizikai rtegben definilsra kerlt az rnykols nlkli, vagy rnykolt csavart rpr is,
mint fizikai hordoz az optikai szl helyett.

5.22. bra: MOST50 Frame felptse [10]

5.5.2 Az igazi ttrs a fejlesztsben: MOST150


A MOST150, mint szabvny 2007 oktberben kerlt bemutatsra, de vals jrmben trtn
felhasznlsra egszen 2012 szeptemberig, az j 3. genercis Audi A3-as megjelensig
kellett vrni. rdekes, hogy ez a hihetetlen nagy sebessg rendszer elszr egy kompakt
autban jelent meg, s csak ez utn vrhat, hogy ksbb a mrka tbbi tpusban, mint
pldul a luxus A8-asban is megjelenjen. Ennek oka mindssze annyi, hogy az Audi-nl az
els aut az A3-as, amely az j modulris felpts jrmrendszerre pl (MQB s ksbb a
nagyobb autkban az MLB). Vrhatan ms gyrtk is elkezdik szp lassan bevezetni az j
szabvnyt, hiszen elnyei elvitathatatlanok.
A 2007-es Baden Badenben tartott VDI konferencin a MOST kooperci egy az SMSC ltal
kifejlesztett demonstrcis platformot mutatott be, amely 32 csompontot tartalmazott
egyetlen MOST150-es gyrn. A bemutat padon (lsd 5.23. bra) 3 db lapos tvn volt
lthat klnbz HD (nagy felbonts) video ads, mellette pedig 18 db SD (hagyomnyos
kis felbonts) vide anyag, s ezek a hozzjuk tartoz hangsvokkal egytt folyamatosan,
szaggatsmentesen kerltek tvitelre. Emellett a fennmarad szabad 60 Mbit/s kapacits mg
mindig elg volt arra, hogy a MOST150-nl bevezetett Ethernet over MOST funkcinak
ksznheten kt ember nagy sebessggel tudjon bngszni a vilghln ezen a rendszeren
keresztl.
A szakmt gyakorlatilag sokkolta a demonstrci, hiszen korbban elkpzelhetetlen volt,
hogy egy ehhez hasonl olcs, s egyszeren kipthet hlzaton ilyen mennyisg video jel
s adat tvihet legyen.

5.23. bra: Az SMSC MOST150 demonstrcis berendezse [27]


A MOST150 els rnzsre nagyon sokban hasonlt a mr ismertetett MOST50-re (5.5.1
fejezet), azonban a felszn alatt nagyon fontos vltozsok trtntek.
Elszr rdemes megnzni a Frame felptst. A mintavtelezsi frekvencia lehet azonos a
korbbiakkal (44,1kHz), de lehet 48kHz is, az ajnls az utbbit javasolja. Maga a Frame
hosszsga 384 Byte (3072 bit), amelynek az els fele 12 byte-nyi fejlc, amely a MOST50-
hez hasonl elemeket tartalmaz. A svszlessg itt is dinamikusan adaptlhat, s akr a teljes
rendelkezsre ll 372 byte-nyi adatmezt kitltheti csak szinkron stream adat, vagy ppen
aszinkron adatcsomag. A feloszts a korbbiakhoz hasonlan Quadletenknt trtnik, s a
Boundary Descriptorban (amely jelen esetben a fejlcben tallhat) kerl meghatrozsra.

5.24. bra: MOST150 Frame felptse

A legfontosabb jts az isochronous adattvitel, amely leginkbb gy van kezelve a


MOST-on bell mintha szinkron adatcsatorna lenne, a szksges svszlessg mindig le van
foglalva. Vagyis az isochronous csatornk ki vannak osztva, s amennyiben szksges, az
adat tvitelre kerl rajtuk keresztl. A MOST25/50 ezzel szemben kizrlag szinkron
adatkapcsolatra kpes, ezrt pldul egy MPEG adatfolyam, amennyiben nem egyezik az
adott tvitel svszlessgvel, mestersgesen plusz bit-ekkel kitmsre kerl, vagy esetleg
egy fix bitrtra kerl tkonvertlsra. Hasonlan bizonyos PCM hangsvoknl szintn
hasznlnak mintavtelezsi frekvencia talaktkat, hogy a MOST frekvencijval szinkronba
kerljn, s ezltal tvihet legyen. De sajnos nem minden hang s video folyam alakthat
knnyedn t ebbl a clbl, ezrt hasznos az isochronous tvitel, amelynek 3
mechanizmusa ltezik:
A/V csomagolt isochronous adatfolyam, amely esetben a berkez adat a MOST time frame-
hez kpest semmilyen referencival nem rendelkezik, de az adat mr sztbontsra kerlt
kisebb csomagokra. Tipikusan ilyenek a vltoz, vagy fix bitrtj MPEG adatfolyamok, ahol
a MOST INIC-je elre lefoglalja a maximlisan szksges svszlessget, az adatfolyam a
bels memriba (buffer) kerl eltrolsra, onnan pedig ciklikusan kerl tvitelre a MOST-on
keresztl.

5.25. bra: A/V csomagolt Isochronous tvitel, vltoz svszlessgnl a maximlis lefoglalva
[10]

DiscreteFrame Isochronous adatfolyam, amelyre olyan esetben van szksg, amikor PCM
hangsvok mintavtelezsi frekvencija nem egyezik a MOST-val. Ilyenkor megoldhat az
adatok tvezetse a MOST-on keresztl anlkl, hogy konvertlnunk kellene azonos
frekvencira, vagy szinkronizlni a MOST-hoz. Persze az tvezets nem egyszer, ilyen
esetben az idalapnak meg kell maradnia, ezrt a MOST150 INIC-je rendelkezik olyan
bemenetekkel, amelyek egyedi kls rajeleket is kpesek fogadni, itt az idalap is eltrolsra
kerl, s a cleszkznl az tvitel utn ennek segtsgvel helyesen kerl visszalltsra.
QoS Isochronous md, amelynek ksznheten IP alap Ethernet adattvitel valsthat meg
a MOST-on keresztl brmilyen adaptci nlkl. Ez olyankor szksges, amikor garantlt
svszlessgre van szksgnk (Kamera s video szerver felhasznls), s egyedi csatornn
kerl tvitelre mindkt irnyba. Ebben az esetben a connection manager utastja a cl
eszkzket, hogy foglaljanak le svszlessget, s csatlakozzanak az adott csatornhoz.
A kvetkez fejezetben ltni fogjuk, hogy mirt olyan fontos ez az Ethernet tviteli lehetsg
a MOST-on keresztl, s mirt nagy ttrs, hogy erre a MOST150-en kpes.
5.6 A MOST tovbbi fejlesztse s a vrhat konkurencik

5.6.1 Az Ethernet alkalmazsa gpjrmvekben, sszehasonlts a MOST-


al

Az informatika fejldsvel felvetdhet a krds, hogy amikor a vilg sszes rendszerben


megfelel s elfogadott az Ethernet adatkapcsolat, akkor a jrmves felhasznlsban mirt
nem ezt hasznljuk, mirt van szksg sajt klnleges szabvnyok
(CAN/LIN/MOST/Flexray stb.) erre a clra.
Ahhoz, hogy megrtsk mirt nem egyrtelm ennek az adattviteli mdnak az elnye,
tudnunk kell, hogyan mkdik az Ethernet. A rendszer gy kerlt kialaktsra, hogy nem
determinisztikus, vagyis nem megllapthat, hogy pontosan mikor trtnik majd tkzs a
hlzaton, mikor rkezik meg az adat pontosan a clhoz, s milyen ksedelemmel. Belthat,
hogy mg ez internetezsnl, e-maileknl vagy egyb hasonl felhasznlsoknl ez nem jelent
problmt, a jrmvekben rengeteg rendszer biztonsga kritikus, ahol ilyen jelleg lazasg
nem engedhet meg.
Ahogy n az eszkzk szma egy Ethernet hlzaton, gy nvekszik az tkzsek szma is,
amely az Ethernet esetben gy nz ki, hogy ha tkzs trtnik, akkor visszalpnek a
kldk, s jra prblkoznak, s ezt addig folytatjk, amg az adott csomag tkzs nlkl el
nem ri a cljt. Belthat, hogy ilyen esetben nagy mennyisg id, s ez ltal svszlessg
kerl elpazarolsra. Ezen segthetnk gynevezett Switch-ek alkalmazsval, amelyek
puffereket hasznlnak ennek a kikszblsre, de ebben az esetben jbl ksedelmet vittnk
be a rendszerbe, ami nem mindig engedhet meg. Radsul ezek a Switchek/pufferek az
Ethernet ad-vev egysgen fell tovbbi eszkzket, s ez ltal plusz kltsget jelentenek a
hlzat kialaktsakor, nem beszlve arrl, hogy a hlzatot az emltett okok miatt igencsak
tl kell mretezni s igen komoly szoftver szksges ahhoz, hogy az egsz folyamat
vgbemenjen.
Amennyiben egy Audio/Video adatfolyamot szeretnnk tovbbtani Etherneten keresztl, fel
kell bontanunk csomagokra, s minden egyes eszkz utn meg kell vizsglnunk a tovbbts
sorn, majd a cl eszkznl ki kell csomagolnunk, egyestennk kell folyamatos streamm,
amely ksedelmet okoz, s svszlessg vesztesggel jr. Ilyen eseteken a MOST-nl hasznlt
szinkron vagy isochronous adattvitel nagysgrenddel elnysebb.
Egy egyszer pldn bemutatva egy CD lejtszsakor a MOST-ot hasznlva a vezrl
csatornban rtelmezni kell, hogy hova akarjuk kldeni az adatot, hogy a frame-en bell hol
helyezkedik el, s hol keresse a fogad. Amint ez a bellts megtrtnt, utna mr csak
kizrlag az audio (vagy ppen video) adat kerl szinkron mdon tvitelre, brmilyen a
cmzshez vagy idztshez szksges tbblet adat nlkl.
Ezzel szemben az Ethernet tvitel sorn minden egyes csomag tvitelhez egy frameben
minimum 210 bit kiegszt adat tallhat (bevezet, delimiter, cl s forrs cmek, stb.), s
ez mg nem tartalmazza a protokollokhoz (mint pl. a ksbb bemutatsra kerl AVB)
szksges biteket. Ha figyelembe vesszk, hogy egy sztere CD adatszksglete mindssze
32bit, akkor lthat, hogy milyen kicsi a hasznos adat arnya a teljes tvitelhez kpest. Persze
megtehetjk, hogy tbb audio/video svot visznk t egy Ethernet csomagon bell, de
amennyiben ez a csomag tl nagy lesz, akkor az elvesztse sorn tl nagy kiessek
trtnnnek, amit csak nagyobb s kltsgesebb pufferekkel lehet kompenzlni, ami szintn
kslekedseket vihet be a rendszerbe.

5.26. bra: Egy tipikus Ethernet Frame felptse [21]

Termszetesen az Ethernetnek nagyon fontos szerepe van s lesz a jrmtechnikban, csak


tisztban kell lenni vele, hogy hol van rtelme hasznlni. Nagy mennyisg adat tvitelnl,
vagy kls rendszerek integrlsnl elnye elvitathatatlan. Itt jelenik meg a MOST150
klnlegessge, hogy tvzni tudja az Ethernet s a szinkron adattvitel elnyeit.
A BMW a mr emltett (5.1.1 fejezet) 2009-es F01-es 7-es sorozat ta hasznlja az IEEE
802.3u szabvny Ethernet kapcsolatot, de egyelre kizrlag a nagy mennyisg trhellyel
rendelkez vezrlegysgek (CIC/NBT) szoftver frisstse cljbl, s a hts utasok
infotainment rendszernek (RSE: Rear Seat Entertainment) a kzponti rendszerhez val
kapcsolshoz. Az OBD csatlakozban tallhat kt vezetk, melyeket a megfelel
ellenllssal sszektve (ez a gyri ICOM diagnosztikai interfszben tallhat) a kzponti
gateway (ZGM) engedlyezi az Ethernet elrst az OBD csatlakoz bizonyos vezetkein
keresztl a programozs gyorstsa rdekben, de csak arra az idre. Az jrm bels
hlzatban viszont az Ethernet kapcsolat llandan mkdik.
5.27. bra: Ethernet kapcsolat az F01-es BMW-ben [3]

5.6.2 A konkurencik rvid ttekintse s ismertetse

A korbban mr emltett okokbl brmilyen konkurencia elterjedse igencsak nehzkes,


hiszen a jrmpiac nem olyan dinamikus, mint az informatika, a gyrtk jobban szeretik a
kiforrott, elterjedt dolgokat. Ugyanakkor a MOST a piac szerepli szerint nem elg nyitott,
egy szk csoport irnytja, s a szabvnyok miatt kltsgesebb is, mint egy nylt forrs
rendszer. Egy 2008 novemberben megjelent Hansen jelents akkoriban azt jsolta, hogy
amennyiben nem vltozik a helyzet, a MOST helyt hamarosan teljesen tveheti az Ethernet.
Azta eltelt 5 v, s ez a tendencia nem igazn ltszik, gy vrhatan a MOST mg egy j
darabig vezet szerepben marad. Klnsen akkor, ha a MOST over Ethernet funkcit sikerl
megfelelen kiaknzni, egyestve ezzel a kt rendszer elnyeit.

5.6.2.1 IEEE1394 Firewire Automotive


A rendszer a szmtgpeknl elterjedt Firewire alapjaira pl, de termszetesen talaktsra
kerlt a jrmves felhasznlsnak megfelelen. A 2000-es vekben kezdett megjelenni,
legfbb elnye, hogy visszafel is kompatibilis, a MOST-hoz hasonlan szintn kpes
isochronous tvitelre, s a MOST-al ellenttben nem csak a gyr topolgit (elrendezst)
tmogatja, hanem a fa, vagy csillag jelleg is knnyen megvalsthat. Ezen kvl
olcsbbnak, s megbzhatbbnak tartottk az Ethernethez kpest, a sebessge 2008-ban 800
Mbit/s volt, de 2009-tl mr akr 3,2 Gbit-es sebessget grtek. A rz s szloptiks fizikai
fellet egyarnt alkalmazhat, st egy rendszeren bell a topolgikhoz hasonlan mg
keverhet is, ezzel is nvelve a rendszer flexibilitst.

5.28. bra: IEEE1394 rzvezetkes s szloptiks hibrid rendszer [12]

Egy specilis vltozata (1394b) az F35-s vadszreplgpben 60 csompontot kttt ssze,


s valstotta meg kztk a gyors adattvitelt. Auts szempontbl a Nissan mutatott be
IEEE1394-el felszerelt jrmveket, s infotainment rendszert. Ennek ellenre, mostanban
keveset hallani rla (nagyon kevs friss, s relevns informcit lehet tallni, a legtbb cikk
s publikci 2008 eltti). gy elfordulhat, hogy a mr emltett nagyobb tmogats
hinyban annak ellenre nem trt be a piacra, hogy elnyei elvitathatatlanok.

5.6.2.2 Ethernet: OPEN Alliance - SIG / BroadR-Reach / AVB


Az itt felsorolt nevek s csoportosulsok azok kz tartoznak, akik az Ethernet alap
kommunikcit fejlesztik s elnyben rszestik, mindegyikk a hlzat klnbz rtegeit
kpviselik.
Az OPEN (One Pair EtherNet) szvetsg felel az Ethernet fizikai rsznek
sztenderdizcijrt. A BMW, Hyundai, Harman, NXP, Freescale ltal alaptott szvetsg a
SIG (Special Interest Group) nevet kapta, s clja hogy minl hamarabb elterjedjen az
Ethernet a jrmtechnikban. Ezrt az alapok lefektetse, s a sztenderdek ltrehozsa, az
iparg ignyeinek meghatrozsa a f feladata, hogy ez ltal a jrmvekben tallhat
hlzatok egyszerbb, s olcsbb vljanak. Komoly tmogatja mg az Ethernetnek a
jrmvekben a JLR (Jaguar Land Rover) csoport, akik elsk szeretnnek lenni az
alkalmazs terletn [18].
A Broadcom ltal 2012-ben ltrehozott BroadR-Reach nylt szabvny felel a fizikai eszkzk
s tesztrendszerek kifejlesztsrt.
Az AVB az AVnu szvetsg ltal kifejlesztett adattviteli protokoll, amely az IEEE802.1
(Audio/Video Bridging) nevet kapta, szintn egy nylt forrs rendszer (rszletesebben lsd
[17]). Az Ethernet rendszer elnyei s htrnyai mr korbban ismertetsre kerltek (5.6.1
fejezet).

5.7 MOST sszefoglals

A Bosch alelnke, Rainer Kallenbach egy 2011 mjusban, Mnchenben tartott konferencin
kifejtette, hogy vlemnye szerint mi vrhat az Ethernet elterjedsvel kapcsolatban [11].
Jelenleg a DoIP (Diagnosis over IP) van elterjedben (mint ahogy ezt korbban mr
bemutattuk (5.6.1 fejezet) az F01-es 7es BMW-nl), a tbbi kommunikcirt egyelre a
CAN, Flexray, s a MOST felel. A Bosch vlemnye szerint elszr 2015-ben vrhat, hogy
az Ethernet egyltaln a MOST150-nek konkurencijv vlhat, kedvez esetben pedig 2020-
ra akr teljesen helyettestheti is. 2020 utn pedig vrhatan szinte kizrlag az Ethernet fog
szerepet jtszani a gerinchlzatban.
Addig azonban a MOST150 is eltntet egy tviteli technolgit, vrhatan a jelenlegi
kamerarendszereknl, s kijelzknl hasznlatos LVDS-t (Low Voltage Differential
Signaling) teljes egszben kivltja. Termszetesen 2020 utn vrhatan ezt a feladatot is az
Ethernet veheti t a MOST-tl.
Nem szabad elfelejtennk, hogy a vezett segt/tmogat rendszerek terjedsvel egyre
nagyobb igny alakul ki a klnbz nagy felbonts kamera rendszerek hasznlatra (sv
felismers, gyalogos felismers, nll vezets, vszhelyzetek felismerse), s ez ltal egyre
tovbb nhet a svszlessg igny.
Ahogy albb (5.29. bra) lthat, a MOST fejldse rendkvl gyors volt, s az ltala nyjtott
szolgltatsok egyre sokrtbbek.
5.29. bra: A MOST fejldse s a felhasznlsi lehetsgek

Termszetesen a MOST fejlesztse sem llt le, mr bemutatsra kerlt a MOSTnG (egszen
friss, az Elektronik Automotive 2013. prilisi szmban [5]), amely klnbz sebessgeken
zemelve, visszafel kompatibilitst biztostva igyekszik meghistani, hogy az Ethernet
tvegye a helyt. A tervek kztt szerepel az 5 Gbit/s-os sebessg a LED helyett lzer
didkkal megvalstva, klnleges optikai szlakon, melyek hajltsi rdiusza kisebb lehet,
s kevsb rzkeny a kls behatsokra. Ezen kvl a tervek kztt szerepel, egy MOST
alap teljes ADAS (Advanced Driver Assistance System vagyis vezett segt rendszer)
kifejlesztse is, ami a legfontosabb krdse az utbbi idben a jrmiparnak. Vrhatan
izgalmas jvnek nznk elbe a jrmtechnolgiban tallhat hlzatokat, s az ltaluk
megvalsthat funkcikat figyelembe vve, ezrt rdemes szemmel tartani a fejlemnyeket.
5.30. bra: MOST alap ADAS vezett tmogat rendszer kamera kpe [5]
6 Az autipari Ethernet fejldsi irnyai
Az Ethernet szabvny a helyi s vrosi mret szmtstechnikai hlzatokban (LAN Local
Area Network, MAN Metropolitan Area Network) igen elterjedt, szinte egyeduralkod. A
szles elterjedtsgnek ksznheten a technolgia jl ismertt, s olcsv vlt, gy nem csoda,
hogy az autipari gyrtk szvesen adoptlnk a technolgit. Ilyen mdon a mr kifejlesztett
alkatrszekre s megoldsokra, szabvnyokra ptve knnyen s gyorsan, a meglv
szabvnyos (jl ismert s olcs) eszkzkkel kompatibilis rendszereket lehet pteni.

6.1 ltalnos Ethernet [43]

Az Ethernet fejldse sorn (1973-ban kezdte a Xerox PARC) szmos fejldsi fzison ment
keresztl, a kezdeti meglehetsen drga s krlmnyes hardver megoldsokat (pl. 10base5 -
10 Mbit/s-os, .n. vastag Ethernet) egyre olcsbbak, egyszerbbek vltottk le, mikzben a
sebessg nagysgrendekkel ntt. Az adatformtum alapvet felptse azonban nem sokat
vltozott, ezzel biztostva a kompatibilitst. A kzeghozzfrs mdja sem vltozott,
CSMA/CD vagyis vivrzkels tbbszrs hozzfrs tkzsrzkelssel. Az azta
szabvnycsaldd bvlt IEEE 802.3-as szm szabvnyt 1982 decemberben hagytk jv.

6.2 Broadcom gyrtmnycsaldok.

Az Internet klnbz hlzati komponenseiben igen nagy arnyban tallhatk meg a


Broadcom integrlt ramkrei, s ezt az elterjedtsget a cg az autiparra is ki akarja
terjeszteni, gy rthet, hogy az sszes Ethernettel kapcsolatos autipari fejlesztsben rszt
vesz, mondhatni, k a folyamat egyik motorja.
Az gynevezett fabless, vagyis sajt szilicium lapkt kszt gyrral nem rendelkez
flvezetgyrt/fejleszt cg igen szles vlasztkban fejlesztett ki autipari felhasznlsra
sznt, Ethernet vezrl+illeszt IC-ket. Ezek kztt tallhat pldul 10/100/1000 Mbit/s
sebessg, hagyomnyos Ethernet alap (4 rpras), de autipari alkalmazsra optimalizlt
transceiver IC, 1 rpron mkd, 100 Mbit/s sebessg (BroadR-Reach) transceiver tpus
(BCM89810), s 7 portos switch 5 beptett fizikai illesztvel (BCM89501). [44]
6.1. bra 4 portos autipari Ethernet PHYceiver logikai felptse

A Fast, 100 Mbit/s-os Ethernethez kpest eltrs, hogy az illeszt IC-k mindegyike
egyszerre ktirny (full-duplex) adattvitelt tesz lehetv, aminek megvalstshoz, mivel
egyazon vezetkpron terjed mind a ktirny jel, a vevben a sajt oldal adja ltal kiadott
jelet ki kell vonni a teljes megjelen feszltsgbl. Ezt a digitalizlt jel feldolgozsa sorn
teszik meg, az Echo Canceler, vagyis nhang-cskkent funkcival (visszhang-cskkent
lenne a tkrfordts, de ez nem rja le jl a funkcit). Hasonl funkcit tlt be az XTALK
Canceller, vagyis thalls cskkent, amely a szomszdos csatornkon/vezetkeken kiadott
jel visszajutst hivatott cskkenteni.
(A hagyomnyos Gigabit Ethernet hlzatok szintn full-duplex kapcsolatot valstanak meg
minden rpron.)
6.2. bra OPEN Ethernet tervezett alkalmazsa autban [44]

6.3 OPEN Ethernet

One Pair Ethernet Network, azaz egyetlen vezetkpron nagy sebessg (100 Mbit/s), full-
duplex jeltvitelt biztost, s (a sajtkzlemnyek szerint [45]) tpelltst is kpes biztostani
a csatlakoztatott eszkznek (Power Over Ethernet). (Ez kiss ellentmondsos, mivel a
hagyomnyos Ethernet fizikai rtege legalbb 2 rprat hasznl a PoE megvalstshoz
lsd: 7.2. bra Ethernet vonal csatol s lezr elemei [46]. Lehet, hogy az aut testelst is
felhasznlva valstottk meg, de ez nagyban cskkenti a rendszer hangslyozottan egyszer
kbelezhetsgt.)
Az OPEN Special Interest Group (http://www.opensig.org/) tagja 7 autgyrt, s tbb
alkatrszeket, rszegysgeket, kiegszt rendszereket elllt vllalat:
Broadcom, BMW, Bosch Group, Continental, Daimler, Freescale, Harman, Hyundai, Jaguar
Land Rover, NXP, PSA Peugeot Citroen, Renault, Renesas, hozzjuk csatlakozott rengeteg
vllalat befogadknt (adopter).
6.3. bra OPEN demonstcis sszellts elvi vzlata [47]

A Continental szerint 2015-re vrhatk az els sorozatgyrts Ethernet kommunikcira


alkalmas vezrl egysgek, s 2020-ra minden jrmves szegmensben be fog tudni mutatni
projecteket. [47]

6.4. bra Az OPEN az Ethernet fizikai komponenseit hasznlja fel, csak a vezetk vltozik. [48]
6.4 RTPGE [49]

Reduced Twisted Pair Gigabit Ethernet = cskkentett szm rpras Gigabit Ethernet.
Specifikcija jelenleg van kialakulban. A magasabb szint protokollokat illeten egyetrts
van (a meglv Gigabit Ethernet megoldsra ptve), azonban rengeteg rszletrl csak
mostanban llapodnak meg, fknt a fizikai kapcsolat kialaktst illeten (POE mdja,
csatlakoz, kbelhossz), st mg az sem biztos, hogy egyetlen rpr lesz a vgleges s
egyetlen tviteli kzeg-vltozat, vagy a 2 rpr is megengedett.
Kb. 50 vllalat kzremkdik a kifejlesztsben, gyakorlatilag ugyanazok, akik az OPEN
SIG-ot is alkotjk, gy valsznleg az elbbit kvnjk vele levltani/tovbbfejleszteni.
A hozzfrhet legfrissebb informcik szerint [50] a kidolgozs alatt ll RTPGE
megrzi az IEEE 802.3 keret formtumt,
csak a full-duplex mkdst tmogatja
1 Gbit/s sebessget tmogat jrmves s ipari krnyezetben
opcionlisan nagy energia-hatkonysg zemmdot is definil
a minsgi jellemzket 15 m-ig terjed rendszerben definilja, auts alkalmazsban
legalbb 40 m-es hattvolsgot tz ki clknt ipari vezrlsi, szlltsi (lgi, vast,
busz, nehzgpjrm) terleteken
opcionlis gyors felledsi idej (< 100 ms) procedrt definil
Tovbbi rszleteket is megtudhat az rdekld a tavaly novemberben lezrult Tanulmnyi
Csoport nyilvnosan hozzfrhet anyagaibl. [49]
7 A hagyomnyos Fast Ethernet (100 Base-TX)
Az autipari Ethernet tulajdonsgainak megismershez, mivel az mg rszben fejleszts alatt
ll, a hagyomnyos Ethernet jellemzinek ismertetse nyjthat alapot.

7.1 tviteli kzeg

Legalbb CAT5 minsg sodrott rprokbl ll kbel. A 4 rprbl 1 az ads, 1 a vtel


szmra van fenntartva, vagyis full-duplex adattvitelre is alkalmas. A fennmarad 2 rpr
vagy tpllst biztost (POE), vagy nincs felhasznlva. Gigabit Ethernet esetn ezen a kt
rpron is kommunikci folyik, egyszerre mindkt irnyban.

7.1. bra CAT5 minsg, rnykolatlan csavart rpr (UTP), 4 rpr 1 kzs kpenyben [51]

7.2 Adatfolyam irnytsa

Az tviteli kzeg full-duplex mkdst is lehetv tesz, ebben az esetben nem szksges a
kzeghozzfrst szablyozni, vagyis a CSMA/CD protokoll nem szksges, de ez csak akkor
lehetsges, ha a hlzatot alkot eszkzket legalbb kapcsol (switch) vagy magasabb szint
protokoll alapjn mkd eszkz kti ssze (adatkapcsolati, vagy viszony rteg). Hub
segtsgvel kialaktott hlzatban a hub az sszes port fel tovbbtja a brmely ms porton
rkez adatokat, gy ilyenkor egyetlen adatfolyam a teljes szegmenst (a hub ltal sszekttt
vgpontokat) lefoglalja, tovbbi kommunikci ms irnyban sem lehetsges. A hub-bal
szemben, amely a keretek cmzst (MAC cm) nem dolgozza fel, a kapcsol kpes arra, hogy
a kapott adatkeretet csak a megfelel irnyba tovbbtsa, gy a tbbi adatvezetk felszabadul,
s lehetv vlik a full-duplex mkds.
7.3 PHYceiver s a vonal illesztse

7.2. bra Ethernet vonal csatol s lezr elemei [52]

A kb. 110 ohm hullmimpedancij, szimmetrikus jelvezetkeket 1:1 tttel


transzformtorokkal csatoljk az n. PHYceiver-hez (Physical layer + transceiver, a fizikai
szintet implementl integrlt ramkr). A transzformtor csak a differencil mdus
(klnbsgi) jelet engedi tovbb, a kzs mdus jeleket (melyek a 3 szint modulci
mellktermkeknt llnak el, vagy az tviteli ton csatoldnak be kls zavarforrsbl) nem.
A hossz, rnykolatlan rpr igen nagymrtkben hajlamos kzs mdus zavarjeleket
felvenni, tipikusan hlzati (50 Hz s felharmonikusai), kapcsol zem ramkrkbl
szrmaz (201500 kHz), msorszr rdifrekvencis (500 kHz 108 MHz), s GSM
(900, 1800 MHz) jelek fordulnak el nagy jelszinten. A kisfrekvencis tartomnyban a
transzformtor szigetelse igen nagy csillaptst biztost, a kzepes s nagy frekvencij
zavar jelek csillaptst tovbbi kzs mdus fojttekercs fokozza.
A reflexik megelzsre mindkt vget 50+50=100 ohmos lezr ellenllsokkal ltjk
el.
A transzformtorokat s fojttekercseket ltalban egyetlen alkatrszbe integrlva
forgalmazzk, s magnetics nven gyakran 1 dobozknt brzoljk a rajzokon.
7.3. bra BCM89610 System Diagram [51]

7.4 Csatorna-kdols

MLT-3 az tviteli kzegben 2 helyett 3 szintet hasznl, pozitv, 0 s negatv eljel


feszltsggel hajtja meg a vezetkprokat. Ennek elnye az, hogy a jel energija kisebb a
kritikus 20100 MHz-es tartomnyban, msrszt kisebb az egyenfeszltsg komponens. Az
MLT-3 kd ellltsi szablya: a kdolt jel llapotai a 0, +, 0, sorrendet kvetik. Ha az
eredeti kdban 0 volt, akkor a kdolt jel llapota nem vltozik, ha az eredeti kdban 1-es volt,
akkor az MLT-3 a soron kvetkez llapotba ugrik. A kd hatkonysga 1 bit/szimblum.
[51]

7.4. bra MLT-3 kdolt jel az Ethernet ad kimenetn [53]

Ha a + s polarits impulzusok arnya nem egyenl, az tlagos jelszint (DC) az ad


oldalon eltoldik a 0 rtkrl. A transzformtoros csatols a DC rtket kptelen tvinni, ezrt
a vev oldalon a teljes jelfeszltsg toldik el olyan mrtkben, hogy az tlagrtk 0 legyen.
Ez a jelensg a DC vndorls, DC wandering. Az eltoldott jelekbl a vltsok idpontjt
pontatlanabbul lehet meghatrozni, szlssges esetben teljesen ellehetetlenti az adattvitelt.
Ez akkor fordulhat el, ha hossz 0 sorozat van a bemeneti kdban, ami +, vagy llapotban
tartja az MLT-3 jelet. Ennek megakadlyozsa fontos feladat a PHYceiver-en bell. Az
alapvet mdszer a scrambling, amikor meghatrozott szekvencia szerint egyes biteket
invertlnak, majd a vteli oldalon ezt megismtlik, gy az eredeti jelet kapjk vissza, de
kzben az tviteli ton a hossz azonos llapot sorozatok megsznnek. Ez a mdszer
nmagban mg nem 100 %-os megbzhatsg, mert ha a kdoland jel pont megegyezik a
scrambling mintasorozattal, akkor az eredmnye pont 0-kbl ll sorozat lesz. Az ilyen
adatsort killer packet-nek nevezik, mert hatsra a hlzati kommunikci megszakad. A
korai ethernet rendszerek mg nem voltak vdettek az ilyen vletlen, vagy sokkal gyakrabban
szndkos hibk ellen [54], de mr tbbfle szabadalom is szletett a kivdsre.
Megjegyzs: az AC csatols (kondenztor vagy transzformtor segtsgvel, ami a DC
komponenst nem viszi t) ms kommunikcis rendszerekben is elfordul, gy a fenti DC
vndorls jelensge nem csak Ethernet esetn ismert, s ms megoldsok is ismertek a
problma megoldsra, kztk olyanok is, amelyek nem megszntetik a DC komponenst ads
eltt, hanem helyrelltjk a vevben. Pl. [55]

7.5 tviteli t hatsa, ekvalizci

A kbel a jeleket frekvencitl fggen csillaptja (1.17. bra), illetve tolja el a fzist,
elssorban a szkin-hats miatt. A frekvenciafgg csillapts hatsra az impulzusok
eltorzulnak. (7.5. bra) Ezt a torztst ellenttes eljel amplitd- s fzistorztssal
kompenzlni kell (ekvalizci), a szksges kompenzci mrtke fgg a kbel
hosszsgtl, ezrt adaptv algoritmusokkal hatrozzk meg az optimlis rtket.
A modern eszkzkben a PHYceiver DSP-t, digitlis jelfeldolgozt alkalmaz a fenti, analg
jelleg feladatok (csatornakdols, DC helyrellts, ekvalizci) megvalstsra.

7.5. bra tviteli t hatsa miatt eltorzult Ethernet vonali feszltsg [53]

7.6 Tpellts az adatvezetkeken (Power Over Ethernet)

Eszkzk tpelltsra hasznlhatk a 4 rpr adattvitelre nem hasznlt tagjai, vagy az adatot
tovbbt rprak is. Elbbi esetben egyszeren prhuzamosan kapcsoljk az sszetartoz
vezetkeket, s az egyik prra a pozitv, msikra a negatv feszltsget kapcsoljk. A msodik
esetben a jelilleszt transzformtor vonali tekercsnek kzpmegcsapolsra kapcsoldik a
tpfeszltsg. Mindkt fajta kialakts szemllteti a 7.6. bra. A transzformtoron tfoly
ram a kt fl tekercsen ellenttes irnyban ramlik krbe a vasmag krl, ezrt ellenttes
eljel, de azonos nagysg gerjesztst hoz ltre, amik kioltjk egyms hatst, ezrt a
hasznos jelet nem zavarja. Ms, ltalnosabb megfogalmazsban: a tpll ram jellege kzs
mdus, az adatjel rama viszont differencil mdus, gy fggetlenek egymstl. Az UTP
CAT5 kbelek egy ernek ellenllsa 0,2 ohm/m, erenknt maximum 0,5 A-rel terhelhet, ez
100 m-en mr 10 V feszltsgesst eredmnyez. Hogy ez ne okozzon gondot, a bemeneti
oldalon a lehet legnagyobb feszltsget tplljk be, de ez letvdelmi okokbl csak
trpefeszltsg (Extra Low Voltage) lehet, tipikusan 48 V, maximum 56 V szokott lenni. A
tpllt oldalon megjelen ramot egy e clra kszlt kapcsol zem tpegysg alaktja a
kszlk mkdshez szksges, ltalban 12 V, 5 V, s/vagy 3,3 V-os stabil szintre.
Elvileg lehetsges egyetlen rpron differencil mdban is tpllst biztostani, mivel az adat
s a tpram spektruma megfelel kialakts esetn nem fedi t egymst jelentsen, vagyis
szrkkel elklnthetk, de ehhez ms kialakts csatol transzformtorokra, s egyb szr
elemekre van/lenne szksg. Jrmvekben lehetsges tovbb a fm kocsiszekrnyt
referenciapontknt (GND) felhasznlva az adat szmra fenntartott rpron csak a pozitv
plust tovbbtani, kzs mdusban, de ezzel elveszten a rendszer az egyszer
bvthetsget, mert kln GND csatlakozst kellene biztostani. Rszben ezek miatt a
nehzsgek miatt nem felttlenl szerencss az egyetlen rpron trtn adattovbbts.
7.6. bra Power Over Ethernet bektsi vzlat 4 pr vezetket hasznlva. [56]
8 Kommunikcis rendszerek sszehasonltsa

8.1 Kommunikcis kvetelmnyek, szempontok [57]

A klnbz kommunikcis csatornkra vonatkoz kvetelmnyeket a jrm


rszegysgeinek ignyei hatrozzk meg. A rszegysg fajtja (pl. egy alrendszer vezrlje,
intelligens beavatkoz szerv, vagy rzkel) s a vele kapcsolatos funkcionlis s biztonsgi
kvetelmnyek behatroljk az ltala hasznlhat kommunikcis csatorna tpust.
Hibatrs: a biztonsgi szempontbl kritikus alkalmazsokban a hibatrs meghatroz
tnyez. A hiba lehet kls (elektromgneses) zavar, laza kapcsolat, hibs vezetket s hibs
ramkr ltal okozott. A beptett szoftver s/vagy hardver redundancival a kommunikci
tolernss tehet hibk ellen, vagy egy kommunikcis hiba ltal okozott hiba kimutathat s
kezelhet. Szoftveres redundancia lehet az egyszer ismtls, tbbszrs mintavtelezs,
redundns csatornakdols, hibajelz kd (pl. paritsbit, CRC, checksum), vagy hibajavt
kd (Forward Error Correction, pl. hamming-kd, Reed-Solomon kd, konvolcis kdok).
Leghatkonyabb hibajelz/-javt kdokra nagy tvolsg, vezetk nlkli tvitelnl van
szksg, de az adatbiztonsg vagy hattvolsg nvelse rdekben vezetkes tvitel esetn is
alkalmazni szoktk.
Determinisztikussg/meghatrozottsg: A determinisztikus kommunikcis rendszer garantlt
idztseket nyjt, vagyis elre lehet tudni egy zenet tvitelhez szksges idt. A
determinisztikus kommunikci megkveteli az zenetek helyes fogadst. Sok
biztonsgkritikus alrendszer valsidejsget is megkvetel, amihez determinizmusra van
szksg, azaz az zeneteket elre definilt idpontban vagy -intervallumban kell tovbbtani,
hogy teljestse a szndkolt alrendszer funkcionalitst.
Adattviteli sebessg: Ahogy az elektronikusan vezrelt alrendszerek szma s bonyolultsga
n az autkban, gy n az igny a magasabb sebessgre. Termszetesen kompromisszumot
kell ktni a sebessg s az r kztt. Sok esetben jobb egy olcsbb kommunikcis buszt
vlasztani kisebb sebessggel az ers rrzkenysg miatt. Ezen kvl biztonsgi vagy
felptsi okok miatt a rszegysgek kztti sszekttets csak lassabb kommunikcit tesz
lehetv.
Rugalmassg: A rugalmassg azt fejezi ki, hogy a hlzat kpes megbirkzni vltoz
terhelssel s/vagy zenetszmmal is, sklzhat s bvthet (anlkl, hogy a mr
konfigurlt kommunikcit jra kellene konfigurlni).
Adatbiztonsg: Ha a kommunikcis rendszer elrhet a jrmvn kvlrl, pldul
diagnosztikai eszkzzel vagy vezetk nlkli mdon, akkor fontos biztostani, hogy
illetktelenl ne lehessen hozzfrni a rendszerhez. A jelenleg hasznlt jrmves
kommunikcis protokollokat a szabvnyuk nem teszi biztonsgoss. Tipikusan jrulkos
biztonsgi protokollokat kell realizlni az alkalmazsban bizonyos funkcikhoz.
Lthatan a hibatrs s az adatbiztonsg olyan tulajdonsgok, amelyek redundancit
ignyelnek az eredeti kommunikcis informcin fell, ami a hasznos adattviteli sebessget
cskkenti. A rugalmassg s a determinisztikussg pedig gyakran ellentmond
kvetelmnyek.
8.2 sszehasonlt tblzatok
8.1. tblzat Tipikusan autipari, nagy sebessg hlzatok
Automotive
MOST CAN FlexRay PLC
ethernet
Hajtslnc, Biztonsag-
jelzfnyek,
komfort, kritikus
felhasznlsi terlet multimdia infotainment, kiegszt
diagnosztika rendszerek
rendszerek
stb. vezrlse
40 m (25
40 m (1 15, 40, 100 >20 m,
max. tvolsg mbit/sec 24 m
MBaud) m hlzatfgg
optikai)
+/-0,62V
2 Vpp Hi 2,5- (ad), idle=0V n*10 mW
jelszint optikai 0, +/-1 V?
4V, Lo 2,5-1V (diff), +/-0,4 V (DC-BUS)
(vev)
max. sebessg 25, 50, 150 1,3 M
1M 2x10 M 100 M, 1 G
(bit/s v. baud) M (DCB1M)
megbzhatsg
Kzepes J Kiemelked J kzepes
(tmegy-e az adat) (redundns hlzat)
CRC-24,
15 bites CRC, CRC-32,
hiba felismers, 8*oversampling
javts opcionlisan van
javts + tbbsgi
jrakldssel jraklds
logika
IC: magas,
r magas kzepes Nagyon magas kzepes
vezetk:0
bvthetsg 64 host-ig 110 node j
full-duplex half-duplex
adatforgalom irnya (dediklt half-duplex half-duplex full-duplex (DC helyi
csatornkon) rendszerekben)

Elektromgneses
nincs kicsi kicsi nagy
Interferencia
determinisztikussg igen nem is nem

kzeghozzfrs dediklt Mdostott


CSMA/CD+CR CSMA/CD
mdja irnyok TDMA

vegyes, hurok
topolgia ketts gyr busz fa nem definilt
nem lehet
Optikai v. 120 ohm 100 ohm csavart meglv tp
kzeg csavart rpr
elektromos csavart rpr rpr vezetkek
2004 (2007
bevezets ve 2003 1993 ~ 2015
BMW X5)
sebessg,
mr meglv
klnlegessg nincs EMI legelterjedtebb flexibilits, POE
vezetken
ketts hlzat
8.2. tblzat Ms terletrl tvett hlzatok

LVDS USB (2.0) I2C SPI RS-232


Vezerl- Vezerl-
nagy sebessg nagy sebessg
egysgeken egysgeken Telematika
felhasznlsi terlet (pl. multimdia) felhasznli
bell, az IC-k bell, az IC-k rendszerek
rendszerek alkalmazasok
kztt kztt
max. tvolsg 1m kb. 3 m 7,6 m 0,5 m n*100 m
~2 V, vagy 17,78
jelszint 0,35 V 0-5 V 0-5 V 5...12 V
mA (->400 mV)
500 M
max. sebessg (bit/s
(multipont) 2-3 480 M 1 v. 3,4 M 10 M 115 k
v. baud)
G (p2p)
megbzhatsg j (helyi j (helyi
j j Kzepes
(tmegy-e az adat) hlzaton) hlzaton)
hiba felismers, nincs definilva nem/
CRC-16 nincs nincs
javts ezen a szinten paritsbit
alacsony (a
r sebessghez kzepes minimlis minimlis alacsony
kpest)
csak multipont
bvthetsg 127 eszkz, 5 szint 127 v. 1023 4? -
esetben
simplex/half- full-duplex
adatforgalom irnya half-duplex half-duplex full-duplex
duplex (is lehet)
Elektromgneses kicsi (rnykolt, zavarkiboc
kicsi
Interferencia szimmetrikus) sts
nem
determinisztikussg - is
definilt
dediklt
TDMA, vagy
kzeghozzfrs 1 master, n* irnyok
egyszeres 1 master, 1 slave multimaster
mdja slave +handshak
hozzfrs
e
pont-pont, vagy Logikailag 5 szint
topolgia busz busz pont-pont
busz fa
100 ohm 90 ohm,
2 vezetk (+ 3-4 vezetk 225
kzeg rnykolt, rnykolt, csavart
GND) (+ GND) vezetk
csavart rpr rpr

bevezets ve 1994 2000 1982 1979 1962

tplls,
alacsony
klnlegessg univerzalits, nagy olcs, elterjedt
fogyaszts
sebessg
8.3. tblzat Autipari, kis-kzepes sebessg (class A+B), protokollok

J1850 J1708 K-line SENT/SPC LIN

Ajto, klma, lsek,


felhasznlsi terlet diagnosztika diagnosztika diagnosztika rzkelk
ablaktrl

max. tvolsg 40 m 10 m 5m 10 m

jelszint ~8 Vpp 1224 V 4 Vpp 12 V


max. sebessg (bit/s 41,6 k (PWM)
9,6 k 10,4 k 30 k 19,2 k
v. baud) 10,4 k (VPW)
megbzhatsg
Kzepes Kzepes Kzepes kzepes Kzepes
(tmegy-e az adat)
CRC 8bit, 8 bit checksum,
hiba felismers, 4 bit CRC,
fault tolerant nem/ paritsbit nyugta, 8 bit checksum
javts javts nincs
(PWM) jraklds

r alacsony alacsony alacsony alacsony

1, vagy 4
bvthetsg max. 32 node kzepes
(SPC)

adatforgalom irnya half-duplex half-duplex half-duplex simplex half-duplex

kicsi (PWM tolerns, a kis


Elektromgneses diff. jel) a kis sebessg sebessg miatt a kis sebessg
kicsi (diff. jel)
Interferencia kzepes miatt kicsi kicsi, + EMI miatt kicsi
(VPW esetn) szrk
determinisztikussg igen, de lass rszben
egyszeres,
kzeghozzfrs
CSMA/CD CSMA/CD master-slave megszltsos 1 master, 16 slave
mdja
(SPC)
aktv csillag,
topolgia busz busz busz
busz (SPC)

kzeg 1 r / rpr rpr 1 v. 2 vezetk 1 vezetk 1 vezetk

bevezets ve 1988 1999 (V1.0)


olcs,
klnlegessg ktelez
determinisztikus
8.4. tblzat Vezetk nlkli kommunikci

WiFi GSM GPS IrDA Bluetooth


Telematika
Tvirnytk, szemlyes
Utastjkoztats, rendszerek Sebessg s
felhasznlsi terlet szemlyes interfszek,
szrakoztats adattvitele, hely adatok
interfszek telefon
telefon
1m
~50 m (irnytva n*1
max. tvolsg worldwide worldwide (standard), +/- ~10 m, 100 m
km)
15 fok
helyileg csak
jelszint 2W 4 W max. optikai 1, 2,5, 100 mW
vtel
115,2 k
max. sebessg (bit/s 721 k (V1.2) 2,1
56 M 56 k N/A (SIR)1 G
v. baud) M (V2.0+EDR)
(GigaIR)
megbzhatsg alapbl gyenge, de
kzepes gyenge kzepes
(tmegy-e az adat) gyenge redundns
hiba felismers, FEC, CRC,
javts ARQ
r kzepes kzepes kzepes alacsony kzepes
bvthetsg

adatforgalom irnya half-duplex half-duplex simplex half-duplex half-duplex

kibocsts s kibocsts s
Elektromgneses jelents EM rzkenysg,
rzkenysgi nincs rzkenysgi
Interferencia kibocsts rnykoltsg
problmk problmk
determinisztikussg nem nem lehet

Frekvencia s
kzeghozzfrs Frekvenciaosztsos frekvenciaoszt
idosztsos
mdja multiplex s, 1 master
multiplex
bevezets ve
klnlegessg nincs EMI
Irodalomjegyzk
[1] AUDSLEY, N., BURNS, A., RICHARDSON, M.,TINDELL, K., and WELLINGS,
A., Applying New Scheduling Theory to Static Priority Pre-emptive Scheduling, Software
Engineering Journal 8(5) pp. 284-292 (September 1993)
[2] BMW Bus systems; BMW Aftersales Training; 2004/10
[3] BMW F01/F02 LCI Technical Training 2012/05
[4] CSRI, Gy. http://autotechnika.hu/cikkek/2616,a-lin-busz.html
[5] Elektronik Automotive 2013 prilis MOST Special Edition 2013;
http://www.mostcooperation.com/publications/brochures-
newsletters/latest/index.html?do204181=download
[6] ETSCHBERGER, K. (2001). Controller Area Network. IXXAT Press, Weingarten
[7] FARSI, M. and BARBOSA, M. (2000). CANopen Implementation: applications to
industrial networks. Research Studies Press Ltd., Baldock, Hertfordshire, England)
[8] FlexRay Electronical Physical Layer (EPL)-Specification - V2[1].1.rev_A.pdf
[9] FlexRay - Protocol Specification_V2[1].1.rev A.pdf
[10] GRZEMBA, A.; MOST The Automotive multimedia network, From MOST25 to
MOST150; 2011;
[11] HAMMERSCHMIDT, C.; Ethernet to gain ground in automotive applications, Bosch
predicts: http://www.eetimes.com/electronics-news/4212870/Ethernet-to-gain-ground-in-
automotive-applications--Bosch-predicts?cid=NL_MCU
[12] http://www.automotive-eetimes.com/en/1394-automotive-an-alternative-to-most-and-
ethernet.html?cmp_id=7&news_id=212201662
[13] http://www.diakom.com.ru/el/communication/can/can.html
[14] http://www.lin-subbus.org/ (ingyenesen letlthet)
[15] http://www.specifications.nl/index.php
[16] Introduction to advanced body electronics; BMW Aftersales Training; 2004/12
[17] KREIFELDT, R.; AVB for Automotive use; AVnu Alliance White Paper; 2009/07
[18] LESLIE, J.; Ethernet Activities at Jaguar Land Rover; 2nd Ethernet & IP Automotive
Technology Day, Regensburg 19th September 2012
[19] LEUNG, J., and WHITEHEAD, J., On The Complexity of Fixed-Priority Scheduling
of Periodic Real-Time Tasks Performance Evaluation 2(4), pp. 237-250 (December 1982)
[20] MOST Bus diagnostics; BMW Aftersales Training; 2004/02
[21] MOST Informative, Issue 8. 11. oldal, 2012 Oktober
[22] NOLTE, T., HANSSON, H., NORSTROM, C. and PUNNEKKAT, S. Using bit-
stuffing distributions in CAN analysis. IEEE/IEE Real-Time Embedded Systems Workshop
[23] PFEIFFER, O. Betting on CAN & CANopenEmbedded Systems Academy
http://www.esacademy.com/en/library/technical-articles-and-documents/can-and-
canopen/betting-on-can-and-canopen.html
[24] REIF, K. (Hrsg.); Batterien, Bordnetze, und Vernetzung; 2010
[25] Road vehicles Diagnostic systems - Requirement for interchange of digital
information, International Standard ISO9141, 1st Edition, 1989
[26] SCHNEIDENBACH, A. and ESCH, S.; ATZelektronik worldwide Edition: 2010-03
Migration of Most25 to Most150 18. oldal
[27] SMSC MOST Recent Newsletter 2007 November
[28] Tanenbaum A. S. - David J. WETHERALL. Szmtgp-hlzatok, Panem Kft.
Harmadik, bvtett, tdolgozott kiads. (2013)
[29] TINDELL, K., BURNS, A. and WELLINGS, A. J., Calculating ControllerArea
Network(CAN) message response times, Control Engineering Practice, vol. 3, no. 8, pp.
1163-1169 (1995).
[30] Volkswagen SSP 286 New data bus systems
[31] WERNER SCHAAL, H.; Ethernet und IP im Kraftfahrzeug; Elektronik Automotive
2012/04
[32] www.embendded.com
[33] National Instruments - DAC resolution comparison (2013-04-19)
[34] BME Gpjrmvek Tanszk, Dr. Szalay Zsolt - Jrmelektronika elads vzlat 2013-
04-19
[35] http://en.wikipedia.org/wiki/DDR2_SDRAM 2013-04-19
[36] http://en.wikipedia.org/wiki/Self-clocking_signal (2013-04-19)
[37] http://en.wikipedia.org/wiki/E-carrier (2013-04-19)
[38] www.softing.com - bus-arbitration-method (2013-04-06)
[39] Integrated Circuit Systems, Inc. - ICS1890 datasheet RevG, 1997-10-21
[40] kislexikon.hu - modulacis_sebessg Lapoda Multimdia (2013-04-19)
[41] www.softing.com - iso-11898-2-network.gif (2013-04-19)
[42] BME - Elektronika2 jegyzet - Elosztott reaktancis ramkrk (2013-04-19)
[43] http://en.wikipedia.org/wiki/Ethernet (2013-04-22)
[44] Broadcom - Automotive Solutions
[45] Continental press release 2012.01.31 (2013-04-19)
[46] Integrated Circuit Systems, Inc. - ICS1893 datasheet, RevC, June, 2000
[47] OPEN Alliance Special Interest Group (2013-04-22)
[48] Electronic Design, William Wong - Automotive Ethernet Arrives, Dec. 13, 2011
(2013-04-22)
[49] Reduced Twisted Pair Giganet Ethernet Study Group (2013-04-19)
[50] RTPGE Phy Study Groop - Approved Objectives, November 15, 2012
[51] schrack - UTP CAT5 fot (2013-04-22)
[52] Integrated Circuit Systems, Inc. - ICS1893 datasheet, RevC, June, 2000
[53] Integrated Circuit Systems, Inc. - ICS1890 datasheet RevG, 1997-10-21
[54] Signal Consulting, Inc., Dr Howard Johnson - Killer Packet (2013-04-22)
[55] Signal Consulting, Inc., Dr. Howard Johnson - Sonet data coding (a DC restoration
method 2002) (2013-04-22)
[56] Silver Telecom Ag8000 Power-Over-Ethernet Module V1.7 2009 (2013-04-22)
[57] A JV JRMVE 2011 01/02 46.oldal Dr. Kandr Tibor - Automotive
communication protocols focused on the x-by-wire applications. (2013-04-19)

Vous aimerez peut-être aussi