Vous êtes sur la page 1sur 94

MINISTERIO DA EDUCACAO

UNIVERSIDADE FEDERAL DO PARANA

BRUNO KELSEY REZENDE SENA


RONNY SERGIO RAMOS MILLEO

SIMULACAO DE UM RECEPTOR RF DE CONVERSAO DIRETA


PARA A NORMA LTE USANDO MODELOS COMPORTAMENTAIS

CURITIBA

2017
BRUNO KELSEY REZENDE SENA
RONNY SERGIO RAMOS MILLEO

SIMULACAO DE UM RECEPTOR RF DE CONVERSAO DIRETA


PARA A NORMA LTE USANDO MODELOS COMPORTAMENTAIS

Projeto apresentado como requisito


parcial a obtencao de nota da disciplina
de Projeto Integrado B, do Curso de
Engenharia Eletrica com Enfase em
Sistemas Eletronicos Embarcados.
Orientador: Prof. Dr. Luis Henrique A.
Lolis

CURITIBA

2017
Dedicamos este trabalho ao grupo GICS - Group of Integrated
Circuits and Systems.
AGRADECIMENTOS

Agradecemos, primeiramente, a Deus, pois sem Ele nao poderamos desenvolver este
trabalho.
Agradecemos a nossa famlia e amigos, que nos dao apoio nos momentos
que mais precisamos, agradecemos tambem a dedicacao dos professores que nos
permitem chegar mais longe.
LISTA DE FIGURAS
2.1 Elementos de um sistema de comunicacao. . . . . . . . . . . . . . . . . 12
2.2 Ilustracao dos componentes de um transmissor de radio. . . . . . . . . 13
2.3 Ilustracao de um receptor de radio. . . . . . . . . . . . . . . . . . . . . . 13
2.4 Envio de sinal binario via ASK . . . . . . . . . . . . . . . . . . . . . . . 14
2.5 Envio de sinal binario via FSK . . . . . . . . . . . . . . . . . . . . . . . . 14
2.6 Envio de sinal binario via PSK . . . . . . . . . . . . . . . . . . . . . . . 15
2.7 Constelacao de um sinal QPSK . . . . . . . . . . . . . . . . . . . . . . . 16
2.8 Constelacao 64-QAM mostrando os codigos em decimais, os smbolos
estao dispersos mantendo-se uma diferenca de 1 bit entre o codigo e
seus vizinhos. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17
2.9 Modulacao OFDM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18
2.10 Ocupacao do espectro de um sistema multi-portadora classico. . . . . . 19
2.11 Ocupacao do espectro de um sistema de espectro OFDM. . . . . . . . 19
2.12 Alocacao de blocos e banda passante para a LTE. . . . . . . . . . . . . 20
2.13 Exemplificacao das modulacoes OFDMA e SC-FDMA. . . . . . . . . . . 21
2.14 Arquitetura heterodino de um radio receptor . . . . . . . . . . . . . . . . 22
2.15 Diagrama de blocos de um receptor de conversao direta. . . . . . . . . 23
2.16 Diagrama de blocos das operacoes do filtro. . . . . . . . . . . . . . . . . 24
2.17 Caractersticas do LNA. . . . . . . . . . . . . . . . . . . . . . . . . . . . 25
2.18 Representacao de um Mixer ideal. . . . . . . . . . . . . . . . . . . . . . 26
2.19 Caractersticas ideais para filtros simples . . . . . . . . . . . . . . . . . 27
2.20 Caractersticas ideais para filtros combinados . . . . . . . . . . . . . . . 28
2.21 Modelo de filtro passa baixa de primeira ordem . . . . . . . . . . . . . . 29
2.22 Modelo do parametro S para rede de duas portas . . . . . . . . . . . . 30
2.23 Quadripolo caracterizado por uma matriz S. . . . . . . . . . . . . . . . . 30
2.24 Conversor AD e Conversor DA . . . . . . . . . . . . . . . . . . . . . . . 32
2.25 Amostragem, quantizacao e referencia sinal analogico para digital . . . 32
2.26 Representacao do rudo em cascata . . . . . . . . . . . . . . . . . . . . 36
2.27 IIP3, para um sistema ou dispositivo nao linear . . . . . . . . . . . . . . 37
2.28 Filtro para rejeicao de interferencias . . . . . . . . . . . . . . . . . . . . 44
2.29 Ilustracao da largura de banda e Frequencia Central. . . . . . . . . . . . 45
2.30 Exemplo de analise Top-Down no Cadence Virtuoso. . . . . . . . . . . . 48
2.31 Exemplo de utilizacao do Verilog na plataforma Cadence Virtuoso. . . . 48
2.32 Modelo de sistema criado no Simulink . . . . . . . . . . . . . . . . . . . 49
2.33 Exemplo de analise pelo RF Budget Analyzer . . . . . . . . . . . . . . . 50

3.1 Blocos para a geracao do sinal LTE . . . . . . . . . . . . . . . . . . . . 56


3.2 Sinal LTE ideal apos o filtro . . . . . . . . . . . . . . . . . . . . . . . . . 57
3.3 Receptor recriado em ambiente Simulink . . . . . . . . . . . . . . . . . 58
3.4 Resposta no tempo para um sinal LTE de ocupacao espectral igual a 5
MHz com alocacao total. . . . . . . . . . . . . . . . . . . . . . . . . . . . 59

4.1 Circuito utilizado para obter o sinal modulado LTE em simulacao. . . . . 60


4.2 Simulacao LTE no domnio do tempo. . . . . . . . . . . . . . . . . . . . 61
4.3 Espectro de frequencia do sinal LTE da figura 4.2. . . . . . . . . . . . . 61
4.4 Teste de Rudo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62
4.5 Teste de IIP3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63
4.6 Esquematico do amplificador . . . . . . . . . . . . . . . . . . . . . . . . 64
4.7 Resultado para LNA [1] . . . . . . . . . . . . . . . . . . . . . . . . . . . 65
4.8 Resultado para Mixer [1] apresentado na Tabela 3.2 . . . . . . . . . . . 66
4.9 Esquematico do amplificador . . . . . . . . . . . . . . . . . . . . . . . . 67
4.10 Esquematico do amplificador . . . . . . . . . . . . . . . . . . . . . . . . 68
4.11 Resposta em frequencia usando MATLAB . . . . . . . . . . . . . . . . . 68
4.12 Resposta em frequencia usando Cadence Virtuoso . . . . . . . . . . . 69
4.13 Simulacao RF Budget LNA [1] Mixer [1] . . . . . . . . . . . . . . . . . . 70
4.14 Simulacao RF Budget para o maior ganho, LNA [1] Mixer [3] . . . . . . 71
4.15 Simulacao RF Budget para o melhor NF, LNA [1] Mixer [6] . . . . . . . 71
4.16 Simulacao RF Budget para o maior IIP3, LNA [6] Mixer [5] . . . . . . . 72
4.17 Simulacao RF Budget para o menor ganho, LNA [7] Mixer [1] . . . . . . 72
4.18 Simulacao RF Budget para o menor IIP3, LNA [3] Mixer [6] . . . . . . . 72
4.19 Simulacao RF Budget para o maior ganho 180 nm, LNA [8] Mixer [14] 73
4.20 Simulacao RF Budget para o melhor NF 180 nm, LNA [8] Mixer [14] . . 73
4.21 Simulacao RF Budget para o maior IIP3 180 nm, LNA [8] Mixer [11] . . 73
4.22 Simulacao RF Budget para o menor ganho 180 nm, LNA [14] Mixer [20] 74
4.23 Simulacao RF Budget para o menor IIP3 180 nm, LNA [11] Mixer [7] . . 74
4.24 Sinal Gerado . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75
4.25 Sinal gerado pos canal . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75
4.26 Sinal I na sada do Receptor . . . . . . . . . . . . . . . . . . . . . . . . 76
4.27 Sinal Q na sada do Receptor . . . . . . . . . . . . . . . . . . . . . . . . 76
LISTA DE SIGLAS
RF Radio Frequencia
LNA Amplificador de Baixo Rudo
G Ganho
NF Figura de Rudo
IIP3 Ponto de Intersecao de Terceira Ordem
IP2 Ponto de Intersecao de Segunda Ordem
IM3 Intermodulacao de Terceira Ordem
FDM Figura de Merito
FDD Figura de Desempenho
FI Frequencia Intermediaria
FC Frequencia Central
F Fator de Rudo
CS Common-Source Stage
CG Common-Gate Stage
fT Transit Frequency
PLL Malha de Captura de Fase
OL Oscilador Local
BBA Amplificadores em Banda Base
LPF Filtros passa Baixa
ADC Conversores Analogicos Digitais
SNR Relacao Sinal-Rudo
SNDR Relacao sinal-rudo mais distorcao
BER Taxa de Erro Binaria
BW Banda Equivalente
FPGA Field Programable Gate Array
ASIC Application-Specific Integrated Circuit
LTE Long Term Evolution
3GPP 3rd Generation Partnership Project
IP Protocolo de Internet
TDD Time-division duplex
SC-FDMA Single Carrier - Frequency Division Multiple Access
OFDMA Orthogonal Frequency Division Multiple Access
ASK Chaveamento por Amplitude
FSK Chaveamento por Frequencia
PSK Chaveamento por Fase
BPSK Binary Phase Shift Keying
QPSK Chaveamento de fase por quadratura
OFDM Orthogonal Frequency Division Multiplexing
QAM Quadrature Amplitude Modulation
MIMO Multiple Input Multiple Output
SUMARIO

1 INTRODUCAO 9
1.1 Objetivos . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
1.1.1 Objetivo Geral . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
1.1.2 Objetivos Especficos . . . . . . . . . . . . . . . . . . . . . . . . 10
1.1.3 Normas e padroes utilizados . . . . . . . . . . . . . . . . . . . . 11

2 FUNDAMENTACAO TEORICA 12
2.1 Sistemas De Comunicacao Sem Fio . . . . . . . . . . . . . . . . . . . . 12
2.2 Modulacao . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13
2.2.1 Modulacao QPSK . . . . . . . . . . . . . . . . . . . . . . . . . . 15
2.2.2 Modulacao QAM . . . . . . . . . . . . . . . . . . . . . . . . . . . 17
2.2.3 Modulacao OFDM . . . . . . . . . . . . . . . . . . . . . . . . . . 18
2.3 Norma LTE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20
2.4 Receptor classico de RF . . . . . . . . . . . . . . . . . . . . . . . . . . . 21
2.4.1 A Arquitetura de Conversao Direta . . . . . . . . . . . . . . . . . 22
2.5 Blocos constituintes do receptor . . . . . . . . . . . . . . . . . . . . . . 23
2.5.1 Filtro entrada do bloco . . . . . . . . . . . . . . . . . . . . . . . . 23
2.5.2 Amplificador de baixo rudo LNA . . . . . . . . . . . . . . . . . . 24
2.5.3 Misturador . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25
2.5.4 Amplificador em Banda Base . . . . . . . . . . . . . . . . . . . . 27
2.5.5 Filtros Ativos . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27
2.5.6 Parametros de espalhamento . . . . . . . . . . . . . . . . . . . . 29
2.5.7 Conversor Analogico Digital . . . . . . . . . . . . . . . . . . . . . 32
2.6 Parametros de um bloco receptor e equacoes em cascata . . . . . . . . 33
2.6.1 Fator de rudo/figura de rudo e Sensibilidade . . . . . . . . . . . 33
2.6.2 Linearidade . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37
2.6.3 Ganho e Metodo da distribuicao das degradacoes . . . . . . . . 39
2.6.4 Seletividade . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44
2.6.5 Largura de Banda . . . . . . . . . . . . . . . . . . . . . . . . . . 44
2.7 Modelagem por descricao de hardware . . . . . . . . . . . . . . . . . . 45
2.7.1 Funcionamento Basico . . . . . . . . . . . . . . . . . . . . . . . 46
2.8 Modelagem sistemica de alto nvel . . . . . . . . . . . . . . . . . . . . . 49
2.8.1 Simulink . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49
2.8.2 RF Budget Analyzer . . . . . . . . . . . . . . . . . . . . . . . . . 50

3 METODOLOGIA 52
3.1 Escolha dos componentes . . . . . . . . . . . . . . . . . . . . . . . . . . 52
3.2 Desenvolvimento em Verilog-AMS . . . . . . . . . . . . . . . . . . . . . 55
3.3 Simulacoes de parametros em cascata . . . . . . . . . . . . . . . . . . 56
3.3.1 Parametros para a validacao do ambiente de simulacao . . . . . 56
3.4 Geracao do sinal LTE . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56
3.5 Simulacao de envoltoria para o sinal LTE . . . . . . . . . . . . . . . . . 57
3.5.1 Medicao de EVM . . . . . . . . . . . . . . . . . . . . . . . . . . . 59
4 PROJETO 60
4.1 Teste do sinal LTE gerado pelo Cadence . . . . . . . . . . . . . . . . . . 60
4.2 Testes de rudo e nao linearidade . . . . . . . . . . . . . . . . . . . . . . 61
4.2.1 Rudo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62
4.2.2 IIP3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63
4.3 Teste do amplificador . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64
4.4 Teste do misturador . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65
4.5 Teste dos filtros . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68
4.6 Simulacoes RF Budget . . . . . . . . . . . . . . . . . . . . . . . . . . . 70
4.6.1 Simulacao completa em ambiente simulink . . . . . . . . . . . . 75

5 CONCLUSAO 77

6 CRONOGRAMA 79
6.1 Etapas . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79
6.2 Cronograma Semestral das Etapas de Desenvolvimento de Trabalho . . 80

A REFERENCIAS ARTIGOS PARA COLETA DE DADOS 81


A.1 Referencias LNA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81
A.2 Referencias Mixer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83
A.3 Codigo utilizado para Modelagem e simulacao de um receptor RF com
sinais LTE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86
A.4 Codigo utilizado para encontrar o menor e menor valor dos parametros
do bloco RF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88

BIBLIOGRAFIA 93
9

CAPITULO 1

INTRODUCAO

Sistemas de comunicacao estao cada vez mais presentes e se mostram essenciais


para nosso desenvolvimento tecnologico. Geralmente e trabalho de um engenheiro
de sistema, que tem conhecimento no desempenho de cada circuito, e desenvolve
modelos de blocos de RF tanto para receptores quanto para transmissores.
Partindo de uma analise chamada Top-Down, cria-se modelos matematicos
simples que representem as caractersticas de blocos inteiros de um circuito RF.
A partir desses modelos simples, sao criados outros modelos mais
aperfeicoados adicionando-se imperfeicoes aos blocos, em busca de um modelo que
se pareca o suficiente com os circuitos reais. Para que entao, possa-se fazer analises
bloco a bloco, ou ate mesmo analises do comportamento de um transmissor ou
receptor inteiro, sem a necessidade de se ter os circuitos ja projetados.
Em contrapartida, uma analise Bottom-Up, por exemplo, busca adaptar o
sistema as limitacoes ja apresentadas por circuitos reais. Seja melhorando os
modelos comportamentais, seja redistribuindo as especificacoes durante o processo
Top-Down. Enquanto por esse lado sao utilizados simuladores robustos de circuitos
de microeletronica, nas simulacoes Top-Down usa-se certas linguagens de
programacao que permitem a adicao de calculos matematicos para a modelizacao
dos circuitos e que podem gerar blocos comportamentais a serem usados no lugar de
circuitos reais durante simulacoes.
Algumas das principais caractersticas observadas em circuitos RF sao a
degradacao da relacao sinal rudo, traduzida pela NF, a aparicao de distorcoes e a
degradacao do SNDR, traduzido pelo IIP3, e as caractersticas de ganho. A partir
disso, separando a degradacao da qualidade do sinal bloco a bloco de acordo com
dada distribuicao (LOLIS, 2011) pode-se desejar que um determinado bloco degrade
10

mais ou menos o sinal e dessa forma pode-se melhorar ou piorar o desempenho


local de um bloco buscando otimizar o sistema completo.
Partindo do princpio que este trabalho trata apenas de receptores, antes de
comecar a projetar um receptor e necessario saber quais sinais deverao ser
demodulados. Como propoe-se a discutir aqui, as simulacoes usando a analogia
Top-Down terao como sinal de entrada um formato de onda gerado matematicamente
para representar um sinal (LTE Long Term Evolution) cujas caractersticas de banda
passante, frequencias portadoras e outras estao bem definidas na sua norma tecnica
(ETSI, 2011).

1.1 Objetivos

1.1.1 Objetivo Geral

Realizar uma prova de conceito a nvel sistema, para averiguar a capacidade do


desenvolvimento de um receptor de radio frequencia para norma LTE, embasado em
blocos no estado da arte cascateados.

1.1.2 Objetivos Especficos

Selecionar componentes existentes para utilizar no receptor RF (Projeto


Integrado A - 2016-2);
modelar blocos de RF usando Verilog (Projeto Integrado A - 2016-2);
simular modelos criados no software Cadence Virtuoso (Projeto Integrado A -
2016-2);
testar o receptor para um sinal LTE (Projeto Integrado A - 2016-2);
fazer o calculo de G, NF e IIP3 em cascata nos blocos do receptor RF (Projeto
Integrado B - 2017-1);
Simular modelos criados no software Simulink (Projeto Integrado B - 2017-1);
propor a modelagem de hardware que podera ser utilizada para futuras
11

aplicacoes no sistema RF (Projeto Integrado B - 2017-1);


validar o sistema (Projeto Integrado B - 2017-1);

1.1.3 Normas e padroes utilizados

Norma ABNT,
Software Mathworks MATLAB e Simulink (Para a geracao dos graficos e
simulacao),
Software Cadence Virtuoso (Para a simulacao),
Linguagem de programacao MATLAB (Para o tratamento dos resultados),
Linguagem de programacao Verilog-AMS (Para descrever os circuitos de
simulacao),
Software Adobe Photoshop CC (Para o tratamento das figuras e graficos).
12

CAPITULO 2

FUNDAMENTACAO TEORICA

2.1 Sistemas De Comunicacao Sem Fio

Os sistemas de comunicacao sem fio envolvem fundamentalmente sistemas que


trabalham com transmissao e recepcao de informacao atraves de ondas
eletromagneticas e essa informacao pode ser provinda de um sinal analogico ou
digital (HAYKIN, 2007). Uma vez definido o sinal a ser enviado, o mesmo e
transformado em smbolos a serem modulados, em seguida o sinal e codificado para
reduzir o erro de recepcao e entao o sinal e enviado.
Chegando no receptor o sinal recebido e demodulado, decodificado e por fim
recria-se o sinal original no destino para que possa ser utilizado da melhor maneira. Os
componentes necessarios para se ter um sistema de comunicacao sao os seguintes:
fonte de informacao, transmissor, meio de transmissao (canal), receptor e um destino
para a informacao, apresentados na figura 2.1 (HAYKIN, 2007).

Fonte de Rede e Rede e Destino da


camadas de Transmissor Receptor
informao camadas de informao
controle controle

Canal

Figura 2.1: Elementos de um sistema de comunicacao.


(HAYKIN, 2007)

A seguir estao os componentes que formam em geral um transmissor, os


componentes que o compoe sao: fonte de informacao, pilha de protocolos,
13

modulador, conversao ascendente e filtro, amplificador e o estagio RF na figura 2.2.

Estgio
RF

Converso
Fonte de

Amp.
Pilha de
Modulador ascendente
informao protocolos
e filtro

Figura 2.2: Ilustracao dos componentes de um transmissor de radio.


(HAYKIN, 2007)

No caso do receptor, primeiro vem o estagio RF, um amplificador, a conversao


descendente de filtro, um demodulador com sincronizacao, compensacao de canal,
pilha de protocolos e o destino da informacao figura 2.3.

Estgio
RF

Converso
Pilha de
Amp.

descendente Demodulador Compensao Destino da


de canal protocolos informao
e filtro

Sincronizao

Figura 2.3: Ilustracao de um receptor de radio.


(HAYKIN, 2007)

2.2 Modulacao

Em sistemas de comunicacao tem-se 3 caractersticas principais, o meio de


transmissao do sinal de banda base, o proprio sinal (banda base) e a portadora.
Com a necessidade de envio de dados por meios como o ar foi criado o
processo de modulacao, sendo este um processo que facilita a transmissao de dados
no meio. Em modulacao digital existem tres tecnicas basicas de comunicacao:
14

chaveamento por amplitude (ASK), chaveamento por frequencia (FSK), e


chaveamento por fase (PSK) (HAYKIN, 2007). Essas tecnicas variam
respectivamente a amplitude, a frequencia e a fase para que se possa enviar a
informacao desejada ao destino.
Na modulacao ASK sao usadas diferentes amplitudes para diferentes
smbolos mantendo-se a fase e a frequencia iguais, como mostrado na figura
2.4 (HAYKIN, 2004).

Figura 2.4: Envio de sinal binario via ASK


(HAYKIN, 2007)

No metodo FSK, e gerada uma frequencia para o bit 1 e outra para o bit 0,
permanecendo os demais parametros inalterados, como mostrado na figura
2.5 (HAYKIN, 2007).

Figura 2.5: Envio de sinal binario via FSK


(HAYKIN, 2007)

A figura 2.6 apresenta o envio de um sinal binario pelo metodo de


chaveamento por fase, esse envio de informacao pelo metodo PSK e conhecido
como BPSK (Binary Phase Shift Keying).
15

Quando se tem-se esse sistema de modulacao uma alteracao do bit 0 para


o bit 1 ou mesmo a alteracao do bit 1 para o bit 0, a onda da portadora sofre uma
alteracao de fase equivalente a 180 graus, frequencia e amplitude permanecem os
mesmos para essa tecnica (HAYKIN, 2007).

Figura 2.6: Envio de sinal binario via PSK


(HAYKIN, 2007)

2.2.1 Modulacao QPSK

A modulacao QPSK utiliza a tecnica de modulacao em quadratura para modular um


sinal PSK. A modulacao em quadratura foi desenvolvida para aumentar a quantidade
de informacao enviada sem alteracao na banda ocupada, ou seja, aumentar a
eficiencia espectral. Como utilizado na modulacao em amplitude, chama-se envelope
do sinal enviado a forma com que ele modula a alta frequencia (HAYKIN, 2007).
Modulacoes em amplitude usam um envelope real enquanto a modulacao em
quadratura introduz o uso de um envelope complexo misturando a modulacao em
amplitude e fase, como pode ser visto na equacao 2.1, onde a(t) e o envelope e (t)
e a fase do sinal g(t).

g(t) = a(t) cos(2fc t + (t)) (2.1)

A equacao 2.1 pode ser transformada na equacao 2.2 atraves de uma relacao
trigonometrica.

g(t) = gI (t) cos(2fc t) gQ (t) sen(2fc t) (2.2)


16

Onde, gI (t) = a(t) cos(2fc t) e gQ (t) = a(t) sen(2fc t). Define-se gI (t) como
sinal In-Phase (em fase) e gQ (t) como sinal Quadrature (em quadratura). O sinal g(t)
pode ainda ser escrito como mostra a equacao (2.3).

g(t) = Re[gC (t) exp(j2fc t)] (2.3)

Onde gC (t) = gI (t) + jgQ (t) e os sinais gI (t) e gQ (t) sao reais. Entao para uma
modulacao QPSK pode-se transmitir dois bits ao mesmo tempo aumentando assim a
eficiencia espectral, as fases da modulacao QPSK podem ser analisadas na Tabela
2.1 onde E e a energia do sinal transmitido e T e a duracao do smbolo.

Tabela 2.1: Fases modulacao QPSK


Smbolo Bits Expressao Fase/Grau I Q
r
2Es 1 1
S1 00 A cos(t + /4) 45o
r T 2 2
2Es 1 1
S2 01 A cos(t + 3/4) 135o
r T 2 2
2Es 1 1
S3 11 A cos(t + 5/4) 225o
r T 2 2
2Es 1 1
S4 10 A cos(t + 7/4) 310o
T 2 2

Os quatro smbolos de QPSK escrevem entao um seno ou um cosseno,


aplicando propriedades trigonometricas nas expressoes apresentadas na Tabela 2.1
e possvel representar a modulacao QPSK por sinais ortogonais. Desta forma e
possvel mostrar a modulacao QPSK por uma Constelacao apresentada na figura 2.7.

Figura 2.7: Constelacao de um sinal QPSK


17

2.2.2 Modulacao QAM

A modulacao QAM (quadrature amplitude modulation, modulacao por amplitude e


quadratura) mistura as modulacoes em amplitude e em quadratura para aumentar o
numero de smbolos que podem ser codificados de maneira que o numero de
smbolos M deve ser potencia de 2. Exemplos de modulacao QAM sao 16-QAM,
64-QAM e 256-QAM.
A representacao desses smbolos no plano complexo (chamada de
constelacao) pode ser vista na figura 2.8. A distancia entre os smbolos deve ser
sempre a mesma e sua codificacao deve ser feita de tal maneira que dois smbolos
adjacentes tenham a diferenca de 1 bit apenas para que seja possvel identificar e
corrigir erros na recepcao. A imagem foi gerada pelo software MATLAB.

Figura 2.8: Constelacao 64-QAM mostrando os codigos em decimais, os smbolos


estao dispersos mantendo-se uma diferenca de 1 bit entre o codigo e seus vizinhos.
18

2.2.3 Modulacao OFDM

Cada vez mais existem aplicacoes em telecomunicacoes e isso demanda no estudo


sobre taxa de transmissao de bits portanto deve-se pensar em como sera realizada
essa transmissao, qual o meio e qual a largura de banda, entre outras caractersticas.
Uma solucao que se apresenta e o uso de tecnicas de equalizacao aplicada
diretamente no receptor, porem para realizar esse feito seria necessario uma
equalizacao em tempo real, o que dificultaria muito o projeto (DAVID, 2007).
Para que se elimine entao tamanha complexibilidade utiliza-se alguns tipos
de modulacao de sinal, uma das mais utilizadas e a modulacao OFDM (Orthogonal
Frequency Division Multiplexing) (DAVID, 2007). Tem-se varias subportadoras em
frequencias diferentes no processo de modulacao OFDM. Para evitar que ocorra
interferencia entre as mesmas, elas sao ortogonais entre si. Pode-se, utilizando um
filtro casado, selecionar e isolar uma banda subportadora especfica mesmo
tratando-se de sobreposicao (BAPTISTA, 2008).
A modulacao dessas subportadoras podem ser realizadas em QPSK, 16-QAM
ou 64-QAM (BAPTISTA, 2008) com a transmissao paralela de dados e uma baixa taxa
de transmissao de dados por subportadoras (PINTO; ALBUQUERQUE, 2002).

Figura 2.9: Modulacao OFDM.


(PEREIRA, 2007-2008)
19

Esse conceito e utilizado num esquema de transmissao de multiplas antenas


para cada receptor que trabalha em formato MIMO (Multiple Input Multiple Output).
Alguns exemplos de bandas para essas transmissoes sao modulos de 1,4 MHz a 20
MHz. A quantidade de portadoras, suas larguras de banda, sensibilidade e outros
referenciais sao devidamente tabelados pela norma e organizados pela nomenclatura
E-UTRA (Evolved Universal Terrestrial Radio Access) (SESIA; TOUFIK; BAKER,
2009).
Em geral sistemas de multiplas subportadoras subdividem a largura de banda
do canal utilizado em uma certa quantidade de canais paralelos, sendo que desta
forma e mais facil para o receptor compensar o ganhos individuais de cada canal no
domnio da frequencia como pode ser observado na figura 2.10 (a) (SESIA; TOUFIK;
BAKER, 2009).

Figura 2.10: Ocupacao do espectro de um sistema multi-portadora classico.


(SESIA; TOUFIK; BAKER, 2009)

Ja a figura 2.11, apresenta o espectro do modulacao OFDM, pode-se observar


entao que as multiplas subportadores sao ortogonais, e que esse tipo de modulacao
ocupa uma menos banda (SESIA; TOUFIK; BAKER, 2009).

Figura 2.11: Ocupacao do espectro de um sistema de espectro OFDM.


(SESIA; TOUFIK; BAKER, 2009)
20

2.3 Norma LTE

A organizacao do ramo das telecomunicacoes 3GPP (3rd Generation Partnership


Project) desenvolve padroes para atendimento a necessidade da demanda que exite
hoje em servicoes que trafegam sobre IP (Protocolo de Internet). E uma das ultimas
padronizacoes do grupo e sobre a tecnologia LTE (Long Term Evolution) (SESIA;
TOUFIK; BAKER, 2009).

Figura 2.12: Alocacao de blocos e banda passante para a LTE.


(ETSI, 2011)

O conceito basico por tras da tecnologia considerada de quarta geracao e


a multiplexacao de frequencias portadoras, as subportadoras, com bandas definidas
individuais iguais e uma banda de transmissao mais larga contendo varios desses
modulos (resource blocks) de transmissao e o LTE suporta FDD (Frequency-division
duplex) e TDD (Time-division duplex). A figura 2.12 ilustra o raciocnio.
Com uma transmissao de varias portadoras de informacao, o LTE acaba
sendo visivelmente mais veloz que a tecnologia anterior (3a geracao) e podendo
atingir um throughput (taxa de transmissao) bastante alto. A norma faz uso tambem
de diferentes modulacoes: QPSK, 16-QAM, 64-QMA e taxas de codigo: 1/8, 1/5, 1/4,
21

1/3, 1/2, 2/3, 3/4 e 4/5 (SESIA; TOUFIK; BAKER, 2009).


E importante descrever a diferenca entre Downlink e Uplink para sistemas de
comunicacao. Downlink e definido como o sinal que sai de um satelite para a Terra,
ou em analogia, o sinal que sai da estacao radio base para o usuario e Uplink e o
sinal enviado da Terra para satelites, ou seguindo a mesma analogia para plataformas
moveis, e o sinal enviado pelo usuario ate a estacao radio base.
A organizacao 3GPP (3rd Generation Partnership Project) definiu para a
norma LTE, modulacoes diferentes para uplink e downlink, sendo elas SC-FDMA
(Single Carrier - Frequency Division Multiple Access) e OFDMA (Orthogonal
Frequency Division Multiple Access) respectivamente, vide figura 2.13

Figura 2.13: Exemplificacao das modulacoes OFDMA e SC-FDMA.


(SESIA; TOUFIK; BAKER, 2009)

2.4 Receptor classico de RF

O foco do trabalho e o receptor de RF, sendo esse um projeto complexo pois ha uma
entrada com sinais com baixa potencia e com muita interferencia, ou seja, alem do
sinal desejado outros sao captados pela antena e esses sinais sao filtrados e
22

eliminados por um primeiro filtro.


Na figura 2.14 e mostrada a arquitetura de receptor conhecida como
heterodina (HAYKIN, 2007) (ZAPATA, 2007). O sinal e amplificado para que possa ser
atribudo aos demais estagios, e misturado com um oscilador local produzindo uma
translacao em frequencia de dados, tendo como resultado uma frequencia mais baixa
chamada de frequencia intermediaria (FI), nesse sinal estao contidas informacoes da
portadora original que sera amplificado e posteriormente demodulado.

Figura 2.14: Arquitetura heterodino de um radio receptor


(ZAPATA, 2007)

E necessario que se tenha conhecimento das diferentes arquiteturas que


implementam as funcoes descritas na figura 2.3. A arquitetura que sera testada e a
de conversao direta, que representa o sistema com o menor numero de componentes
na recepcao portanto sua simulacao e mais simples.

2.4.1 A Arquitetura de Conversao Direta

A arquitetura de receptor de conversao direta representa a maneira mais simples de


implementar um receptor de radio frequencia. A arquitetura tambem e chamada de
Zero-IF pois nao apresenta frequencias intermediarias. No entanto, no momento de
implementar o circuito, existem uma serie de dificuldades tecnicas que devem ser
suplantadas. Tais dificuldades devem ser modeladas e simuladas para definir a
23

especificacao sistemica dos blocos da arquitetura.


A arquitetura do receptor consiste nos seguintes blocos (QIZHENG, 2006):
Antena, Filtro de antena ou Duplexer (no caso de comunicacao Full Duplex),
Amplificador de Baixo Rudo LNA (Low Noise Amplifier ), eventualmente mais um filtro
e mais um amplificador depois do LNA, um circuito sntese de frequencia em
quadratura (geralmente uma Malha de Captura de Fase (PLL) (Phase Locked Loop)
que contem o oscilador local (OL) (Local Oscillator ), dois misturadores,
amplificadores em banda base (BBA) (Base Band Amplifier ), filtros passa baixa de
anti-aliasing (LPF) (Low Pass Filter ) e Conversores Analogicos Digitais (ADC)
(Analog to Digital Converter ).

Figura 2.15: Diagrama de blocos de um receptor de conversao direta.


(QIZHENG, 2006)

2.5 Blocos constituintes do receptor

2.5.1 Filtro entrada do bloco

O filtro na entrada do circuito apresentado na figura 2.16 serve para isolar os sinais que
estao fora da banda passante da norma. Por exemplo, a norma IEEE 802.15.4 ocupa
uma banda de 80 MHz em torno da frequencia central de 2,44GHz (802.15.4TM -2003,
2003). Esse filtro limita a dinamica do sinal na entrada do LNA e permite que o mesmo
nao sature se houver um grande sinal fora da banda da norma. Os componentes
passivos tem grande linearidade pois suportam grandes sinais.
24

Figura 2.16: Diagrama de blocos das operacoes do filtro.


Fonte: O Autor

A figura de rudo de componentes passivos e proporcional a suas perdas. O


filtro de entrada e modelado da seguinte forma: ganho inferior a um e fator de rudo
no valor do ganho, e resposta em frequencia (ordem, tipo de funcao e
frequencias) (QIZHENG, 2006).

2.5.2 Amplificador de baixo rudo LNA

O LNA (Low Noise Amplifier, amplificador de baixo rudo) tem como funcao principal
receber o sinal da antena e proporcionar ganho suficiente para os demais estagios do
receptor. Mesmo provendo tal ganho deve acrescentar baixo rudo ao sistema, outra
caracterstica importante e suportar uma larga banda de sinal sem
distorcoes (QIZHENG, 2006).
Para se garantir uma baixa figura de rudo ou um receptor com melhor
sensibilidade, e prefervel que se faca o alto ganho no bloco de entrada sendo este o
LNA pois a sensibilidade, a linearidade ou mesmo a figura de rudo (NF) e (IIP3) de
um receptor dependem do ganho recebido em cadeia, assim garantindo menor
impacto na figura de rudo geral do sistema (LEE, 2001).
Em contrapartida, esse alto ganho degrada a linearidade (IIP3). Portanto
mesmo sendo necessario um alto ganho no bloco de entrada LNA porem e
importante tomar cuidado com a sua linearidade para um bom desempenho do
receptor (LEE, 2001).
Em funcao de desenvolver um componente que possa balancear ganho,
impedancia de entrada, figura de rudo e consumo, essesessas caractersticas devem
ser vistas como crticas para esse componente. Alem disso o LNA deve permanecer
25

em operacao linear quando sinais fortes forem recebidos e tambem quando receber
sinais fracos com forte rudo . Das medidas de linearidade, este trabalho trata da
interceptacao de terceira ordem (IIP3) (LEE, 2001).

Figura 2.17: Caractersticas do LNA.


(ROA, 2003)

A necessidade do casamento de impedancia do LNA deve-se ao estagio


anterior a ele, sendo este geralmente uma antena, o valor especificado para este
casamento geralmente e uma impedancia de 50 portanto sua impedancia de
entrada deve ser 50 para assim garantir uma maxima transferencia de potencia
(ROA, 2003).

2.5.3 Misturador

Um dispositivo ativo ou passivo usado para converter sinais de uma frequencia para
outra. Possui duas entradas, uma para o oscilador local FLO e outra para a sada de
sinal do primeiro bloco que provem do LNA em fRF .
O misturador recebe um sinal de entrada em RF input, esse sinal sera
26

convertido em uma determinada frequencia fRF , entao ele mistura esse sinal com a
fLO , uma onda periodica. Produz-se entao a frequencia intermediaria FI, que e
derivada da soma quando o sinal e para transmissao e diferenca no caso de
recebimento dessas frequencias. Isso permite uma melhor amplificacao para o sinal
ser demodulado (LEE, 2001).
Na sada do Mixer existe a Frequencia Intermediaria (FI) (ou a frequencia em
banda base, como e na arquitetura de conversao direta) como mostra na figura 2.18.

Figura 2.18: Representacao de um Mixer ideal.

As principais caractersticas de um misturador sao, conversao de ganho,


rejeicao de frequencia de imagem, figura de rudo ja aborta no bloco do LNA e
linearidade (LEE, 2001).
A frequencia intermediaria FI e derivada da diferenca entre fLO e fRF no caso
de recebimento dessas frequencias. As principais caractersticas de um misturador
sao, conversao de ganho, figura de rudo e linearidade (LEE, 2001).
A representacao de um misturador matematicamente com o produto de dois
cossenos e apresentado na equacao (2.4) (LEE, 2001).

AB
(A cos1 t) (B cos2 t) = [cos(1 2 )t + cos(1 + 2 )t] (2.4)
2
27

2.5.4 Amplificador em Banda Base

O amplificador em banda base tem o mesmo modelo do LNA, mas devera conter
melhor linearidade e podera ter mais rudo, sua banda passante e significantemente
reduzida, pois so o sinal de interesse precisa passar.

2.5.5 Filtros Ativos

Quando se necessita a passagem ou retensao de algum sinal, sendo este sinal ja


especificado, utilizam-se filtros. Os filtros passivos, sao constitudos apenas por
elementos passivos, como resistores, indutores e capacitores. Ja os filtros ativos
nada mais sao que elementos que contem em sua construcao componentes ativos,
como transistores, amplificadores operacionais alem de seus componentes passivos
como resistores, capacitores e indutores (CONSENSO, 2010). Alem dessas duas
caractersticas dividem-se os filtros em filtros passa-baixa, passa-alta, passa-faixa e
rejeita-faixa.
Na figura 2.19(a) pode-se observar a caracterstica ideal de um filtro passa
baixa, a caracterstica do mesmo e a atenuacao de sinais que estao acima da
frequencia de corte. Na figura 2.19(b) pode-se observar a caracterstica ideal de um
filtro passa alta, sendo este o filtro que permite passagem de sinais que estao acima
da frequencia de corte (SEDRA; SMITH, 2010).

(a) Caracterstica ideal filtro passa baixa (b) Caracterstica ideal filtro passa alta

Figura 2.19: Caractersticas ideais para filtros simples


(SEDRA; SMITH, 2010)
28

Filtros passa-baixa e passa-alta sao bem mais simples de se fazer se


comparados aos passa-faixa e rejeita-faixa. Isso deve-se ao fato de que os filtros
passa-faixa e rejeita-faixa sao feitos atraves da combinacao dos filtros passa-baixa e
passa-alta.

(a) Caracterstica ideal filtro passa faixa (b) Caracterstica ideal filtro rejeita faixa

Figura 2.20: Caractersticas ideais para filtros combinados


(SEDRA; SMITH, 2010)

Quando se deseja passagem de uma determinada faixa de sinal e utilizado


o filtro passa faixa, a figura 2.20(a) apresenta a caracterstica do mesmo. Por fim,
quando se deseja a rejeicao de uma determinada faixa de sinal, utiliza-se filtros rejeita
faixa apresentados na figura 2.20(b) (SEDRA; SMITH, 2010).
Como o presente projeto e baseado em um receptor de conversao direta, os
filtros comumente utilizado para tal, sao filtros passa baixa. O filtro passa baixa e
geralmente um filtro ativo, portanto tera efeitos da nao linearidade. Esse filtro se
diferencia do amplificador em banda base por ter uma resposta em frequencia
seletiva e ganho praticamente unitario. Ele devera ter melhor linearidade que o BBA
(Base Band Amplifier ) e podera ter mais rudo. O modelo base desse filtro podera ser
o de amplificador, com uma resposta em frequencia melhor detalhada.
Em altas frequencias os capacitores funcionam como curto circuito, no caso
do circuito apresentado na figura 2.21 ele ira desviar a corrente (sinal de entrada)
para a referencia do circuito, ja em baixas frequencias o mesmo tera uma impedancia
elevada trabalhando como um circuito aberto, assim o sinal passara pelo amplificador
e sera entregue na sada (SEDRA; SMITH, 2010).
O uso de filtros passa baixa nos circuitos receptores RF de conversao direta,
29

se deve a necessidade de que apenas o sinal da diferenca entre a frequencia do


oscilador local menos a frequencia de referencia (fosc fRef ), seja utilizado na sada
do misturador, sendo entao este o sinal modulante em bada base. Ele tambem e
utilizado para rejeitar componentes de alta frequencia (PINHEIRO, 2006).

Figura 2.21: Modelo de filtro passa baixa de primeira ordem


(SEDRA; SMITH, 2010)

E importante ressaltar que modelos de filtros podem ser descritos usando


parametros S.

2.5.6 Parametros de espalhamento

Quando deseja-se expressar caractersticas de circuitos eletricos empregando grau


de espalhamento utiliza-se o parametro S. Grau exemplifica quanto uma onda pode
ser amplificada ou atenuada, durante sua transmissao em um circuito (FUJISHIRO,
1996 - 2017).
A figura 2.22 apresenta um modelo de parametros S para um dispositivo de
duas portas. As portas de entrada e sada sao numeradas de acordo com a porta de
incidencia j e a porta de deteccao i, sendo assim tem-se Sij , quando i = j existe uma
onda de reflexao, quando i 6= j ocorre uma onda de transmissao (FUJISHIRO, 1996 -
2017).
30

Figura 2.22: Modelo do parametro S para rede de duas portas


(VISWANATHAN; GUPTA, 2016)

S11 e S22 sao os coeficientes de reflexao das portas de sada e entrada, e


entrada com a sada respectivamente, quando se tem casamento de cargas.
S12 e S21 sao respectivamente os ganhos de transmissao reverso e direto,
quando se tem casamento de cargas.
Esses coeficientes e ganhos quando se tem um dispositivo de duas portas
podem ser representados pela matriz S:


S11 S12
S= (2.5)
S21 S22

O quadripolo apresentado na figura 2.23 apresenta um sistema com uma


representacao de ondas incidentes e refletidas (ORFANIDIS, 2016).

Figura 2.23: Quadripolo caracterizado por uma matriz S.


O Autor adaptado de (VISWANATHAN; GUPTA, 2016)

A matriz (2.5) pode ser relacionada pelos sistemas das equacoes (2.6) e (2.7).

b1 = S11 a1 + S12 a2 (2.6)


31

b2 = S21 a1 + S22 a2 (2.7)

As ondas de potencia incidentes e as ondas refletidas sao dadas pelas razes


quadradas de a1 e bi .
Partindo das equacoes (2.6) e (2.7) tem-se:

V+
a1 = 1 (2.8)
Z0

V2
a2 = (2.9)
Z0

V
b1 = 1 (2.10)
Z0

V+
b2 = 2 (2.11)
Z0

A impedancia caracterstica da linha de transmissao que sao conectadas as


portas e Z0 , portanto pode-se escrever (ORFANIDIS, 2016):

V1 = S11 V1+ + S12 V2 (2.12)

V2+ = S21 V1+ + S22 V2 (2.13)

Assim pode-se obter o parametro de espalhamento de uma porta definida


quando as demais portas se encontram casadas.

V1

S11 = + (2.14)
V1 V2 =0

V1

S12 = (2.15)
V2 V1+ =0
32

V2+

S21 = + (2.16)
V1 V2 =0

V2+

S22 = (2.17)
V2 V1 =0

2.5.7 Conversor Analogico Digital

O conversor analogico digital (CAD) e o conversor digital analogico (CDA) sao


considerados como se fossem os ouvidos e olhos de um sistema misto (que contem
sinais analogicos e digitais) (PELGROM, 2013).

Figura 2.24: Conversor AD e Conversor DA


(PELGROM, 2013)

Transicao entre domnio do tempo discreto e tempo contnuo, relacao entre


quantidade fsica e numerica e a quantizacao da amplitude do sinal, sao tres
processos distintos de conversores analogico digital (PELGROM, 2013).

Figura 2.25: Amostragem, quantizacao e referencia sinal analogico para digital


(PELGROM, 2013)
33

A figura 2.25, mostra alguns dos passos da CAD, tem-se a amostragem do


sinal, logo apos a quantizacao do sinal e por fim a transformacao do sinal analogico
para um sinal puramente digital.

2.6 Parametros de um bloco receptor e equacoes em cascata

Existem diferentes arquiteturas para implementacao de um sistema receptor, como


super-heterodino, conversao direta, zero IF, entre outras. Isso faz parecer que
estacoes de receptoras de RF que operam em sistemas sem fio podem ter diferentes
caractersticas em sua arquitetura. Porem pode-se identificar caractersticas comuns
para que essas arquiteturas obtenham um funcionamento padrao (QIZHENG, 2006).
Algumas caractersticas chave na concepcao de receptores RF sao a
sensibilidade de recepcao, caractersticas de intermodulacao e seletividade de canal
(QIZHENG, 2006). A sensibilidade de um receptor esta atrelada ao excesso da figura
de rudo do receptor e a linearidade se relaciona a intermodulacao. As caractersticas
do filtro de canal e rudo de fase do oscilador local, dominam o canal de seletividade,
sendo este o perfil de interferencias em detrimento da distancia do
sinal (QIZHENG, 2006).

2.6.1 Fator de rudo/figura de rudo e Sensibilidade

A sensibilidade dos sistemas de comunicacoes e fortemente afetada pelo rudo


inserido no meio. Uma medida usual do desempenho de rudo e o Fator de Rudo (F)
apresentado na equacao (2.18). Esse fator e definido pela relacao da potencia total
de rudo na entrada, apresentado na equacao (2.19), e a relacao sinal-rudo de sada
equacao (2.20) (LEE, 2001) (QIZHENG, 2006).
A relacao sinal-rudo (SNR) e importante pois determina diretamente a taxa
de erro binaria (BER) (Bit Error Rate) (QIZHENG, 2006) ((2.21)).

SN Ri
F = (2.18)
SN Ro
34

PS i
SN Ri = (2.19)
PN i

PS o
SN Ro = (2.20)
PN o

Aplicando a equacao (2.21) para a potencia do rudo na entrada e na sada:

S
SN R = (2.21)
N

Onde, PS i e a potencia do sinal desejado na entrada, PN i e a potencia do sinal


de rudo na entrada, PS o e a potencia do sinal desejado na sada e PN o e a potencia
de rudo na sada. Mesclando as equacoes (2.19) e (2.20) obtem-se a equacao que
define o Fator de Rudo.
Em um caso ideal SN Ri e SN Ro seriam iguais, porem componentes ativos
sempre acrescentam rudo ao sistema. A equacao (2.22) e a proporcao em decibels
(dB), da degradacao do sinal-rudo introduzido pelo sistema, quanto maior a
degradacao maior a figura de rudo (LEE, 2001) (QIZHENG, 2006).

 
SN Ri PNsystem
N F = 10log = 10log 1 + dB. (2.22)
SN Ro PNi

O sistema banda base precisa de uma determinada qualidade, dada em SNR


para que se respeite o BER. Substituindo SN Ri pela razao entre a potencia do sinal
de entrada e a potencia do rudo de entrada, tem-se:

PS i /PN i
Fr = (2.23)
SN Ro

A potencia de rudo PN i e calculada utilizando-se um rudo termico em uma


banda equivalente BW , e a equacao pode ser escrita como:

PN i = kT0 BW (2.24)
35

Onde k e a constante de Boltzmann, T0 e 290 Kelvin (QIZHENG, 2006) e BW


e a banda passante ocupada por um canal de comunicacao em Hz. Entao da equacao
(2.18) pode-se entender que a sensibilidade (linear) de entrada do receptor pode ser
descrito pela equacao (2.25).

Ps = kT0 BW Fr SN Ro (2.25)

Assumindo que o mnimo SN R necessario para se obter valores desejados


da taxa de erro binaria correspondente ao nvel de sensibilidade e SN Rmin , calcula-se
que a potencia de sensibilidade do receptor, em dBm, e (QIZHENG, 2006):

Smin = 10log(Ps,min ) = 174 + 10log(BW ) + N Fr + SN Rmin (2.26)

Onde 10log(kT0 ) = 174 dBm/Hz, N Fr e a figura de rudo total do receptor


em dB (N Fr = 10log(Fr )) e SN Rmin = 10log(S/N )min (QIZHENG, 2006). Ainda
pode-se rearranjar a equacao (2.26) para obter o valor total da figura de rudo do
sistema diretamente:

N Fr = 174 + Smin 10log(BW ) SN Rmin (2.27)

Como um receptor nao e formado apenas por um unico bloco, e interessante


conhecer a figura de rudo em cascata dos blocos individuais do sistema. Assumindo
que a impedancia de cada estagio e casada com a impedancia do estagio seguinte,
pode-se dizer que a potencia disponvel em um enesimo estagio do receptor
e representada pela equacao (2.28) (QIZHENG, 2006):

n
Y
PN r = Fr kT0 BW gj (2.28)
j=1

Onde Fr e o fator de rudo total do sistema, e o produtorio leva em


36

consideracao o ganho em cascata dos blocos anteriores (QIZHENG, 2006). Pode-se


ainda dividir o fator de rudo total em: fator de rudo do primeiro bloco e soma-lo ao
fator de rudo dos outros blocos ate o enesimo bloco, entao tem-se:

n
X n
Y
PN r = F1 kT0 BW + (Fi 1) kT0 gj (2.29)
i=2 j=1

O ganho do primeiro bloco e desconsiderado na equacao pois o sinal nao


chega amplificado nele, entao nao ha um ganho de bloco anterior, logo, para os blocos
seguintes considera-se o produtorio dos blocos anteriores. Igualando as equacoes
(2.28) e (2.29) chega-se a equacao do fator de rudo em cascata.
Quando e desejado encontrar o rudo em um sistema de blocos em cascata
figura 2.26, utiliza-se a equacao (2.30) de Friis (QIZHENG, 2006).

n
X Fi 1
FR = F1 + Qi1 (2.30)
i=2 j=1 gj

Figura 2.26: Representacao do rudo em cascata


(QIZHENG, 2006)

A analise para equacao e que o rudo predominante no sistema se da pelo


primeiro bloco F1 , e tambem pode-se analisar que o ganho do primeiro bloco g1
contribui para um melhor desempenho do sistema. Pois o ganho divide todos os
demais estagios de rudo, tendo em vista Fi como o rudo dos estagios subsequentes
e gj o ganho dos demais estagios (ZAPATA, 2007).
37

2.6.2 Linearidade

Como nao so o rudo termico degrada a qualidade do sinal para um sistema RF.
Define-se entao uma relacao mais abrangente, SNDR (Signal to Noise plus Distortion
Ratio relacao sinal-rudo mais distorcao), que e a relacao da potencia do sinal
sobre rudo mais interferencia. Uma das fontes de problema e a intermodulacao de
terceira ordem IIP3 (Third-order intercept point ponto de intersecao de terceira
ordem).
O IIP3 e um fenomeno de nao linearidade mas nao e o unico, em alguns
casos pode-se verificar o IP2 tambem, este e o ponto de intersecao de segunda ordem
(QIZHENG, 2006).

Figura 2.27: IIP3, para um sistema ou dispositivo nao linear


(QIZHENG, 2006)

O alto ganho no bloco inicial do sistema degrada a linearidade do receptor RF


pois esse aumento degrada o IIP3 e este e um dos parametros mais usuais para medir
a linearidade, visto na figura 2.27. O ponto mede componentes de intermodulacao de
terceira ordem, que podem alterar o sinal original (QIZHENG, 2006).
38

Uma aproximacao para o estudo da nao linearidade pode ser dada pelo
polinomio da equacao (2.31) (RAZAVI, 2011).

y(t) 1 x(t) + 2 x2 (t) + 3 x3 (t) (2.31)

Onde x(t) e um sinal de entrada que tem relacao com os coeficientes de


ganhos 1 , 2 e 3 nao lineares e y(t) e o sinal de sada com o ganho total.
Existe uma relacao entre o sinal fundamental e seu produto de enesima ordem
ambos em dB que pode ser apresentada pela equacao (2.32) (QIZHENG, 2006).

S1 Sn = (m 1) (IIIPn Si ) (2.32)

Onde Si e o sinal de entrada desejado do sistema e IIIPn e a enesima ordem


do ponto de intersecao de entrada. Assim o IIP3 de um sistema pode ser resumido
por (2.33) (QIZHENG, 2006).

1
IIIP3 = (3Iin IM3 ) = Iin + (2.33)
2 2

Onde Iin e a potencia da interferencia na entrada do receptor e IM 3 e o nvel


de distorcao de intermodulacao de terceira ordem. De acordo com (2.33), a nao
linearidade do circuito gera produtos de intermodulacao de terceira ordem IM 3. O
nvel dessa intermodulacao depende de um limite maximo estipulado pelo sistema.
Quando da presenca de interferencias, a maxima distorcao mais rudo permita
e ampliada. Em presenca de interferencia, a potencia do sinal requisto e Sd,i , e a
maxima distorcao permissvel e apresentada na equacao (2.34)

Dmax,in = Sd,i SN Rmin (2.34)

A distorcao que se pode adicionar e a diferenca entre Dmax,in e a potencia de


39

rudo proveniente da N Fr :

Dmax Nnf

Da = 10log 10 10 10 10 (2.35)

Pode-se entao considerar que se somente o IIP 3 gera a distorcao ainda


permitida que IM3 = Da . Para calcular a linearidade dos blocos individualmente e
confrontar com o equivalente necessario, o calculo do IIP 3 em cascata e:

1
PIIIP3 = mW (2.36)
1 g1 g1 g2 g1 g2 ...gn1
+ + + ... +
PIIP 3,1 PIIP 3,2 PIIP 3,3 PIIP 3,n

Apesar desse metodo permitir comparar o resultado do IIP3 e do NF em


cascata de diversos blocos e comparar com o NF e o IIP3 equivalente necessario
para o sistema, esse metodo nao da direcoes de como escolher os valores dos
componentes individualmente. Esse resultado normalmente vem do estado da arte e
do que se espera de cada bloco (LOLIS, 2011).
No entanto, esse metodo comumente leva a sistemas sobredimensionados
com grandes margens na especificacao. Foi proposto um metodo, que visa definir uma
distribuicao da degradacao da qualidade do canal ate o valor limite, e entao calcula
os parametros dos blocos individualmente, garantindo a qualidade final sem margens
(LOLIS, 2011).

2.6.3 Ganho e Metodo da distribuicao das degradacoes

Nesse metodo proposto, o primeiro parametro que se leva em consideracao e o ganho


maximo do receptor. A partir do ganho maximo e posteriormente do rudo maximo
e distorcao maxima define-se atraves de uma distribuicao entre os blocos qual e o
permitido para cada um.
A potencia de entrada (transformada em tensao ao quadrado) levando em
consideracao a impedancia da antena e vista na equacao (2.37) (LOLIS, 2011).
40

Vin2 = Pin |Zant | (2.37)

O segundo ponto para definir o ganho total e a tensao pico a pico no final da
cadeia:

2 2
Vmax = Vmax pp (2.38)

Assim, para calcular o ganho maximo do receptor:

Vmax
G2max = (2.39)
Vin

Considerando o maximo ganho permitido no receptor, o proximo passo deve


ser definir a maxima degradacao da SNR permitida para que a partir dela seja possvel
fazer a distribuicao das degradacoes.
E importante salientar desde o princpio a diferenca entre o ganho de tensao
e ganho de potencia. Ha casos em que nao se preocupa com o casamento de
impedancia de sada, e esses entao retratam ganho de tensao (quando se apresenta
o casamento de impedancia o ganho de potencia e aplicado). Ganhos de tensao e
potencia sao expressos em decibels (dB) como mostram as equacoes (2.40) e (2.41)
(RAZAVI, 2011).

Vout
AV |dB = 20log (2.40)
Vin

Pout
Ap |dB = 10log (2.41)
Pin

Quando as impedancias de entrada e sada sao iguais, o ganho de potencia


e de tensao sao iguais. Por exemplo, se um LNA possui uma resistencia de entrada
Ro e a resistencia de carga com o mesmo valor Ro na equacao (2.42), ela pode ser
simplificada em funcao de Vout e Vin com valores em formato Vrms (2.43).
41

2
Vout
R
Ap |dB = 10log 2o (2.42)
Vin
Ro

Vout
Av |dB = 20log (2.43)
Vin

SN Rin i
SN Rdeg i = (2.44)
SN Rout i

Para calcular a degradacao total leva-se em consideracao a relacao entre a


sensibilidade sobre o chao de rudo (SNR de entrada) e a SNR permitida na sada:

PS
SN Rdeg tot = (2.45)
SN Rreq Mar k T BWCH

Onde PS e o nvel de sensibilidade em Watts, SN Rreq e a SNR necessaria


na sada do ADC, M ar e a margem de implementacao para a SNR exigida, k e a
constante de Boltzmann, T e a temperatura em Kelvin e BWCH e a banda equivalente
que o sinal de interesse ocupa.
E assim como foi definido para o ganho maximo, define-se que a maxima
degradacao da SNR e dividida em M blocos individuais do receptor e essa distribuicao
individual depende das dificuldades de implementacao do circuito:

i=1
Y
SN Rdeg tot = SN Rdeg i (2.46)
M

Pode-se dizer que a potencia de rudo na entrada de um bloco seguinte Pni e o


aliasing multiplicado ao rudo do bloco anterior Pni1 somado ao rudo produzido pelo
proprio bloco anterior Pnbi e tudo isso multiplicado pelo ganho do bloco ao quadrado:

(Pn(i1) i + Pnb i ) G2i = Pni (2.47)


42

Agora considerando Pni como uma sada de um bloco qualquer, ele pode ser
calculado atraves do ganho e das distribuicoes da SN Rdeg e da SNR necessaria na
sada:

PS G2max
Pni = Qn=i+1 Qn=i+1 (2.48)
M SN Rdegn M (Gn )2 SN R M ar

Para calcular entao a potencia de rudo gerada no bloco Pnb i basta fazer:

Pnbi = Pn(i1) (SN Rdegi i ) (2.49)

E atraves de Pni pode-se encontrar um fator de rudo referente a uma


impedancia Z do bloco resultado e mostrado na equacao (2.50).

Pnbi
F = +1 (2.50)
k T0 |Z| BW

O terceiro passo e fazer uma analise de nao linearidade: IIP3 e SNDR. No


teste de IIP3 a potencia do sinal de interesse pode ser definida por LdB sobre o nvel
de sensibilidade, isso significa que a SNR inicial e LdB sobre o definido no teste de
sensibilidade e essa margem adicional de SNR e degradada por produtos de
intermodulacao que definem o SNDR:

i=1
Y
SN DRdeg tot = SN Rdeg tot L= SN DRdeg i (2.51)
M

Da mesma maneira como foi feito para o fator de rudo anteriormente, a


potencia do sinal distorcida Pn di na sada de um bloco e dada por:

(Pndi1 i + Pnb i ) G2i + Pdbi = Pni (2.52)

Com a diferenca que o sinal de distorcao Pdbi e somado na sada do bloco. O


43

calculo da distorcao gerada pelo bloco individual fica:

Pdbi = (G21 ) (Pndi1 (SN RDdegi i ) Pnbi ) (2.53)

Uma vez que Pdbi e definido, pode-se estimar as especificacoes de IIP3.


Dado um polinomio de terceira ordem que representa o ganho de terceira ordem
como pode-se ver na equacao (2.54).

Vout = Gi vi + 3 vi3 (2.54)

Considerando dois tons na entrada de um bloco com distorcao que


implementa a equacao (2.54) e um produto de intermodulacao, pode-se dizer que
esse produto e igual ao valor de distorcao criado pelo bloco Pdbi e desde que a
intermodulacao caia na banda de interesse, o produto de intermodulacao pode ser
escrito conforme mostra a equacao (2.55).

  2
3 2
4 3i Int1i
Pdbi = (2.55)
2

O ponto de intersecao de terceira ordem individual pode ser definido como


uma relacao entre o ganho linear e o ganho de terceira ordem pode-se verificar na
equacao (2.56) (LOLIS, 2011).

 
2 4 Gi
IIP 3i (V ) = | | (2.56)
6 3i

Aplicando a equacao (2.53) na equacao (2.54) e definindo IIP3 em dBmW


referido a uma impedancia Z, obtem-se a equacao (2.57).

!
2 Int21i Int2i
IIP 3i (dBmW ) = 10 log Gi + 30 10log(Z) (2.57)
2 Pdbi
44

2.6.4 Seletividade

Um dos requisitos de um receptor RF e a seletividade, sendo esta uma caracterstica


do receptor para prevencao de sinais adjacentes, isso deve-se ao congestionamento
de baixas frequencias que existem atualmente. Portanto, um receptor com maior
seletividade entrega um sinal melhor ao sistema. O receptor deve reconhecer o sinal
desejado e rejeitar as demais frequencias proximas a a faixa desejada (RAZAVI,
2011).

Figura 2.28: Filtro para rejeicao de interferencias


(RAZAVI, 2011)

A especificacao da seletividade pode apenas fornecer uma atenuacao


necessaria para o sinal desejado, isolando assim o sinal desejado de sinal proximo
que pode gerar interferencia. A figura 2.28 apresenta um filtro hipotetico que tem por
seletividade a banda de 900M Hz (RAZAVI, 2011).

2.6.5 Largura de Banda

Pode-se dizer que a largura de banda de um sinal nos da a medida da extensao do


conteudo espectral significativo de um sinal para frequencias positivas. Como ainda
nao ha uma definicao universalmente aceita para para largura de banda neste trabalho
leva-se em conta entao, uma das tres definicoes mais comumente usadas na literatura,
45

a largura de banda de 3 dB (HAYKIN, 2007).


E importante analisar os sinais de um filtro passa-faixa. Por definicao
centrados em alguma frequencia f c. A largura de banda de 3 dB pode ser definida
como a separacao ou distancia entre duas frequencias onde o espectro de magnitude

do sinal cai para 1/ 2 do seu valor de pico na frequencia central f c apresentado na
figura 2.29. A vantagem da largura de banda 3 dB e que ela pode ser analisada
diretamente em uma figura de espectro da magnitude de um sinal
qualquer (HAYKIN, 2007). Comumente a largura de banda tambem pode ser dada
como a diferenca entre duas frequencias que a partir da frequencia central tem uma
queda de 3 dB mostrada na figura 2.29 (ALEXANDER; SADIKU, 2013).

Figura 2.29: Ilustracao da largura de banda e Frequencia Central.

2.7 Modelagem por descricao de hardware

Uma linguagem de descricao de hardware analog tem a funcao de simular, atraves


de linhas de codigo, o comportamento eletrico de um circuito ou um sistema. Quando
sao testados circuitos digitais, o comportamento logico dos mesmos e o suficiente
(ACCELLERA, 2009).
A linguagem escolhida para ser utilizada na modelagem comportamental e o
Verilog-AMS. A vantagem de se utilizar uma linguagem de descricao de hardware
para testar sistemas e sua velocidade de simulacao se comparada com circuitos
46

eletricos em nvel de transistor. Essa linguagem permite que se criem modelos


(blocos) matematicos que simbolizem um circuito eletrico e consiga a resposta mais
proxima possvel do real com maior facilidade (ACCELLERA, 2009).
O Verilog-AMS e a juncao de duas outras linguagens da mesma famlia:
Verilog-A e Verilog-HDL. Verilog-A e a linguagem que descreve componentes que
usam sinais analogicos e Verilog-HDL descreve circuitos logicos e sinais digitais,
juntando as duas chega-se a linguagem Verilog-AMS, que trata de sinais mistos,
onde um componente pode simular caractersticas tanto analogicas quanto digitais
(KUNDERT; ZINKE, 2004).
A linguagem Verilog-HDL e utilizada para o desenvolvimento de circuitos
digitais, podendo ser usada para programar FPGA (Field Programable Gate Array ) ou
para construir o circuito digital ASIC (Application-Specific Integrated Circuit)
literalmente atraves das portas logicas (KUNDERT; ZINKE, 2004).
Essa linguagem pode ser comparada a famosa linguagem VHDL, cujo
princpio e bastante semelhante. O uso desse tipo de linguagem permite simular
sistemas grandes e complexos mais rapidamente. A premissa desse tipo de analise e
observar o sistema como um bloco abstrato (ACCELLERA, 2009).

2.7.1 Funcionamento Basico

A linguagem se baseia em disciplinas e naturezas. As disciplinas associam naturezas


de fluxo e de potencial. Um exemplo de uma disciplina e a electrical (eletricidade),
que associa tensao e corrente (naturezas) (KUNDERT; ZINKE, 2004).
Naturezas sao atributos que descrevem unidades fsicas. Voltage (Tensao) e
uma natureza que descreve um potencial em V (volts) e Current (Corrente) descreve
fluxo em A (amperes) (ACCELLERA, 2009).
Para indicar portas de entrada e sada de um circuito projetado em
Verilog-AMS e necessario a declaracao de variaveis especficas para entrada, sada,
ou ambos. E possvel declarar parametros editaveis para modelar os componentes,
um exemplo disso e uma resistencia R sendo este um parametro que associa tensao
47

e corrente (KUNDERT; ZINKE, 2004).


O simulador Verilog-AMS usa as leis de Kirchhoff para relacionar nos e lacos
usando fluxos e tensoes no que concerne os blocos analogicos. O simulador tem
componentes mistos para implementar a passagem de analogico para digital e tratar
de grandezas digitais posteriormente. Para ilustrar as sintaxes, o Quadro 2.1 mostra
um exemplo de um resistor descrito em Verilog-AMS (ACCELLERA, 2009).

Quadro 2.1: Modulo de resistencia em Verilog-AMS

include disciplines.vams
module resistor (in, out);
parameter real r=1000;
inout p, n;
electrical p, n;
analog
V(p,n) <+ r * l(p,n);
endmodule

A linguagem funciona usando uma hierarquia de modulos, e possvel que um


modulo como esse seja colocado dentro de outro modulo, assim um modulo maior
pode ter um circuito relacionado dentro dele. Essa maneira hierarquica de trabalhar
favoravel a plataforma Virtuoso possibilita a validacao de sub-blocos antes de validar
o sistema completo (KUNDERT; ZINKE, 2004).
Seguindo uma forma de analise Top-Down, pode-se modelar blocos de
radiofrequencia usando Verilog na plataforma Cadence Virtuoso desde o nvel mais
alto ate o nvel de codigo. Um exemplo pode ser visto na figura 2.30, onde existe uma
impedancia de antena representada pela resistencia, um bloco representando o
primeiro filtro e por fim o LNA. Em cada bloco modelado existem alguns parametros
que foram colocados para ilustrar uma possvel simulacao.
48

Figura 2.30: Exemplo de analise Top-Down no Cadence Virtuoso.

A figura 2.30 mostra a vista mais alta do sistema de recepcao (embora seja
parcial contendo apenas os primeiros blocos), para ir acima disso deve-se ilustrar todo
o receptor como um unico bloco tendo os blocos apresentados aqui no seu interior.

Figura 2.31: Exemplo de utilizacao do Verilog na plataforma Cadence Virtuoso.

A figura 2.31 mostra como o Verilog pode ser interpretado no software


Cadence Virtuoso atraves de modelos comportamentais que simulam circuitos
eletricos. Na figura 2.31 e possvel identificar resistencias que implementam o codigo
mostrado anteriormente e outros blocos como por exemplo o rudo adicionado entre a
entrada e o bloco de amplificacao e o proprio bloco de amplificacao. Todos esses
blocos e modelos estao dentro do bloco de LNA na figura 2.30, o passo adiante
mostraria os codigos implementados, entretanto para outros blocos do receptor de
RF podem existir ainda mais nveis de detalhe.
49

2.8 Modelagem sistemica de alto nvel

2.8.1 Simulink

Quando se deseja realizar a simulacao de sistemas dinamicos, um dos softwares mais


indicados e o Simulink, sendo este um ambiente amigavel para construir diagramas
de bloco suportando simulacoes e geracoes automaticas de codigo (MATHWORKS,
1995 - 2017).

Figura 2.32: Modelo de sistema criado no Simulink


(MATHWORKS, 1995 - 2017)

Com editor grafico, bibliotecas com blocos customizaveis e integrado ao


MATLAB, permite que algoritmos e simulacoes sejam exportados para o mesmo para
realizacao de analises futuras, na figura 2.32, mostra um exemplo de um diagrama de
blocos que pode ser criado no simulador.
Quando nao se pode depender de modelos de sistemas lineares, e existe a
necessidade de modelos reais nao lineares, que tem determinados fatores que
geram impacto no conjunto, como no projeto apresentado tem-se as dependencias
de linearidade, caractersticas de ganho para cada bloco separadamente, as
propriedades de rudo, entre outros parametros, e essencial a utilizacao de uma
50

ferramenta que possa analisar essas variantes, que seriam dificilmente


implementadas de outra maneira (MATHWORKS, 1995 - 2017).
Apos a criacao do modelo, e possvel utilizar o script do MATLAB para
simulacao de metodos matematicos, utilizando-se blocos de medicao e possvel
visualizar resultados ate mesmo durante a simulacao do sistema, assim e possvel
alterar parametros de forma agil.

2.8.2 RF Budget Analyzer

Existe uma toolbox para uso no Simulink que sera utilizado no trabalho RF Budget
Analyzer, com esta ferramenta e possvel analisar o ganho, figura de rudo e nao
linearidades de um sistema RF (MATHWORKS, 2017).
Pode-se realizar a criacao de modelos de RF em cascata, realizar calculos de
SNR, IIP3, potencia e demais parametros, e ainda exportar os dados gerados para o
MATLAB utilizando-se esta ferramenta (MATHWORKS, 2017).

Figura 2.33: Exemplo de analise pelo RF Budget Analyzer


(MATHWORKS, 2017)

A figura 2.33 apresenta um modelo de simulacao utilizando a ferramenta RF


Budget Analyzer. Essa ferramenta fara o uso dos calculos de rudo e nao-linearidade
51

em cascata apresentados neste relatorio portanto trata-se de uma ferramenta


extremamente util para este trabalho. Os dados sao descritos em blocos
pre-definidos e os resultados dos calculos em cascata sao mostrados em baixo de
cada bloco indicando que ate a sada de tal bloco o resultado e aquele.
Pode-se portanto recriar diversos receptores de radiofrequencia e verificar
atraves e combinacoes entre os blocos qual e o melhor receptor para determinados
objetivos. No caso deste trabalho pretende-se cumprir com as recomendacoes da
norma LTE para ganho, nao-linearidade e rudo.
52

CAPITULO 3

METODOLOGIA

3.1 Escolha dos componentes

O modelo de pesquisa baseou-se no trabalho de Murmann (2016)1 que tras a pesquisa


sobre o desempenho dos ADCs desde 1997. A pesquisa previa sobre topicos do
receptor RF provindas de artigos do IEEE, com prioridade para artigos de revistas e
jornais e trabalhando com faixas de frequencia definidas a priori e de grande valia para
proxima etapa do projeto que e a simulacao do sinal LTE.
Para que seja realizada a modelagem dos blocos, e necessario se basear
em dados de componentes reais, ou componentes que ja foram simulados buscando
o estado da artes para esses componentes. A metodologia empregada para esta
etapa do projeto foi a leitura de artigos publicados no IEEE (Instituto de Engenheiros
Eletricistas e Eletronicos).
O primeiro filtro realizado para leitura de artigos teve de atender aos seguintes
itens:
Faixa de Frequencia maxima 10 GHz;
artigos IEEE;
artigos entre 2003 e 2015;
tecnologias CMOS 90nm, 130nm e 180nm.

Apos o primeiro filtro, dentre os artigos pre selecionados, foi necessario


atender tambem a norma do sinal LTE, busca-se atender a norma que trata do sinal
LTE utilizado no Brasil, operando na faixa de 2,5 GHz. A frequencia de 2,5 GHz foi
licitada em 2012 pela ANATEL, sendo esta frequencia adquirida pelas empresas
Vivo, Tim, Claro, Oi, Sky e Sunrise (TELECO, 2015).
1
Disponvel em: http://web.stanford.edu/ murmann/adcsurvey.html.
53

Apos atender os requisitos acima, essa gama de dados ainda era muito
grande e dispersa, entao selecionou-se finalmente baseando-se nos parametros de
ganho, largura de banda e potencia consumida. Os componentes com maior
quantidade de material para estudo encontrados na literatura foram o LNA e o
Misturador. Selecionou-se entao 14 LNAs que sao mostrados na Tabela 3.1.
Pode-se observar que os componentes escolhidos, estao dentro da
frequencia desejada, sendo observados pela FC (frequencia central) e pela BW
(largura de banda), a tecnologia de uso foi de 130 e 190 nm, e o Cadence tem
suporte a essas tecnologias. Os demais parametros sao dados em dB, pois e a
unidade de medida utilizada para futuros calculos. As referencias sao tratadas na
Tabela 3.1 e na Tabela A.1, podendo ser encontrada no Apendice A.

Tabela 3.1: Selecao de Componentes LNA que serao utilizados

(continua)

Tec. Fc BW Potencia Ganho IIP3 NF


Ref. Ano
(m) (GHz) (GHz) (dBm) (dB) (dBm) (dB)

[1] 0,13 2,4 0,2 8,8 18,56 -7,75 1,85 2013

[2] 0,13 2,43 0,15 5,91 15,3 -10 3,34 2008

[3] 0,13 2,35 0,9 -0,46 15,2 -12,6 4,9 2011

[4] 0,13 2 3,6 7,56 13 -4,2 3,4 2010

[5] 0,13 3,75 2,5 5,8 10,4 -11,5 2,1 2008

[6] 0,13 3,3 2,6 12,17 9,5 -0,8 3,5 2006

[7] 0,13 2 3,6 5,05 9 -3,8 4,1 2010

[8] 0,18 2,6 1 6,39 20,1 8,9 1,44 2014

[9] 0,18 1,65 1,7 7,78 15 0 1,7 2014

[10] 0,18 6,8 8,8 5,31 14,8 -11,5 4,4 2012

[11] 0,18 2,5 5 10,17 13,9 -14 2,8 2013


54

Tabela 3.1: Selecao de Componentes LNA que serao utilizados

(conclusao)

Tec. Fc BW Potencia Ganho IIP3 NF


Ref. Ano
(m) (GHz) (GHz) (dBm) (dB) (dBm) (dB)

[12] 0,18 1,85 1,5 11,3 11,79 -3 3,89 2008

[13] 0,18 3,4 5,6 9,27 11,61 -2,55 5,33 2013

[14] 0,18 2,4 0,4 -8,24 11,05 -13,43 3 2013

Ref. - Referencias; Tec. - Tecnologia; Fc - Frequencia Central;


BW - Largura de Banda.

A Tabela 3.2 trata da escolha dos componentes misturadores que serao


utilizados para simulacao, de forma analoga ao LNA, os dados selecionados sao
suportados pelo Cadence, e atendem aos requisitos necessarios.

Tabela 3.2: Selecao misturadores

(continua)

Tec. F. C. BW Potencia C. G IIP3 NF


Ref. Ano
(m) (GHz) (GHz) (dBm) (dB) (dBm) (dB)

[1] 0,13 2,55 0,9 2,04 5,4 -2,8 14,8 2004

[2] 0,13 2,4 0,2 4,31 13,8 -4 8,2 2012

[3] 0,13 2,45 0,3 7,78 15,18 -6,72 16,33 2014

[4] 0,13 2,55 0,9 8,9 15 -9,5 8,8 2004

[5] 0,13 2,4 0,2 9,03 11,4 4,4 13,2 2008

[6] 0,13 2,29 2,83 12,25 14 -13 6,5 2012

[7] 0,18 2,4 0,2 3,01 14,5 -11 17,1 2009

[8] 0,18 2,5 0,2 4,47 9 -1 12 2004

[9] 0,18 2,35 2,1 5,05 11,9 -3 13,9 2004

[10] 0,18 2,4 1,2 5,05 11,9 -3 13,9 2005


55

Tabela 3.2: Selecao misturadores

(conclusao)

Tec. F. C. BW Potencia C. G IIP3 NF


Ref. Ano
(m) (GHz) (GHz) (dBm) (dB) (dBm) (dB)

[11] 0,18 2,4 0,2 5,91 16,5 9 14,2 2004

[12] 0,18 2,4 0,4 6,35 8 0,5 13,8 2010

[13] 0,18 2,5 2,2 6,63 12,3 4,8 16,9 2013

[14] 0,18 2,4 0,2 7,16 18,6 -8,77 7,15 2008

[15] 0,18 2,5 0,2 7,2 16 0 11 2004

[16] 0,18 2,4 0,2 7,48 3,3 5,46 14,87 2004

[17] 0,18 2,45 0,1 8,59 13 -2 16 2003

[18] 0,18 2,4 1,2 9,08 15,7 1 12,9 2005

[19] 0,18 2,5 0,2 9,54 17 1 10,6 2004

[20] 0,18 2,4 0,8 10,33 2,62 8 9,2 2010

[21] 0,18 2,4 0,4 12,55 17,5 -8 10,5 2011

Ref. - Referencias; Tec. - Tecnologia; Fc - Frequencia Central;


BW - Largura de Banda; C. G - Conversao de Ganho.

3.2 Desenvolvimento em Verilog-AMS

Propoe-se desenvolver individualmente cada componente constituinte da arquitetura


de conversao direta segundo a fundamentacao teorica e em seguida demonstrar o
funcionamento de todos.
Uma vez que todos os modelos estejam simulados, propoe-se utilizar um
sinal gerado de acordo com a norma LTE para averiguar o funcionamento do receptor
completo, comparando os resultados medidos com os calculos em cascata de ganho,
figura de rudo e IIP3.
56

3.3 Simulacoes de parametros em cascata

Atraves da ferramenta RF Budget Analyser procura-se testar diversas configuracoes


de blocos do sistema definidos pela seccao de blocos escolhidos. A configuracao do
receptor a ser utilizada sera a proposta pela arquitetura de conversao direta: Filtro,
LNA, Mixer, Filtro e BBA.

3.3.1 Parametros para a validacao do ambiente de simulacao

Afim de validar o uso de um ambiente de simulacao composto por um receptor RF de


arquitetura de conversao direta que use como entrada um sinal LTE e de como sinal
de sada a soma das vias I e Q vindas da demodulacao em quadratura, procura-se
comparar a medicao de ganho e figura de rudo para serem comparados com os
calculos em cascata.

3.4 Geracao do sinal LTE

Figura 3.1: Blocos para a geracao do sinal LTE

O sinal LTE sera gerado com apoio da ferramenta LTE System Toolbox disponvel no
software MATLAB para testes e validacoes da norma. O tipo do sinal gerado e o
reference measurement channel (RMC) disponvel em 3GPP TS 36.101 User
57

Equipment (UE) radio transmission and reception. As configuracoes do sinal criado


sao as seguintes: banda equivalente de 5 MHz com 25 blocos de recurso com
alocacao total usando uma modulacao 64-QAM.
Em seguida o sinal passa por uma perda em potencia para chegar ao receptor
a 98 dBm, que e o nvel de sensibilidade mnimo que um receptor deve ter, ou seja, e
o menor sinal que ele tem a obrigacao de amplificar ate o conversor analogico digital.
Por fim adiciona-se um rudo de canal tal que a relacao sinal-rudo na entrada do
receptor e de 57 dB.
O bloco txWaveform contem o sinal RMC, o filtro h(z) e um filtro passa-baixa
digital feito para uma frequencia de corte inferior de 2,25 MHz de superior de 2,75 MHz
e o seu objetivo e deixar o sinal LTE em frequencia o mais ideal possvel. Em seguida
o sinal passa por um atenuador e um somador de rudo branco gaussiano (AWGN -
additive white gaussian noise). O sinal pode ser visto na figura 3.2.

Figura 3.2: Sinal LTE ideal apos o filtro

3.5 Simulacao de envoltoria para o sinal LTE

O circuito proposto para a simulacao encontra-se na figura 3.3. Feito em ambiente


Simulink, o circuito e composto por blocos que fazem parte da geracao do sinal LTE e
58

de um receptor de radiofrequencia de conversao direta.

Figura 3.3: Receptor recriado em ambiente Simulink

Gracas a simulacao de envelope (tambem chamada envoltoria) permitida pelo


Simulink pode-se fazer a simulacao completa do sinal LTE para qualquer ocupacao
de banda e qualquer frequencia central. A simulacao de envelope tambem permite
59

uma simulacao mais longa, sendo que o sinal LTE tem como tempo de frame, ou seja,
tempo do sinal com todos os smbolos de 10 ms nessa simulacao, onde cada subframe
que ocupara uma subportadora tem a duracao de 1 ms. A figura 3.4 mostra como e o
sinal LTE no domnio do tempo para uma simulacao de 10 ms.

Figura 3.4: Resposta no tempo para um sinal LTE de ocupacao espectral igual a 5
MHz com alocacao total.

3.5.1 Medicao de EVM

A medicao de SNR na sada da-se pelo calculo do EVM (error vector magnitude)
na constelacao do sinal recebido. O EVM considera o quao longe esta um ponto
na constelacao com relacao ao ponto em que ele deveria estar, essa distancia esta
relacionada com a quantidade de rudo no sistema e portanto e uma boa maneira de
se medir a relacao sinal sobre rudo no final do receptor.
60

CAPITULO 4

PROJETO

4.1 Teste do sinal LTE gerado pelo Cadence

Uma simulacao previa foi feita para demonstrar que o sinal gerado pelo software
Cadence Virtuoso para a norma LTE esta de acordo com as especificacoes de
frequencia dadas pela norma. Para gerar o sinal em questao, utilizou-se a banda
numero 7, usando uma frequencia de aproximadamente 2,5 GHz e ocupacao total
dos blocos de recurso com uma banda ocupada de 10 MHz (ETSI, 2011).
O sinal gerado pelo bloco mostrado na figura 4.1 tem 6,99 dBm de potencia (5
mW), sendo colocada em 50 e com isso obtendo 0,5 Vrms na resistencia de carga.

Figura 4.1: Circuito utilizado para obter o sinal modulado LTE em simulacao.

Usando o software MATLAB foi calculado que o sinal mostrado na figura 4.2
possui 0,41 Vrms .
61

Figura 4.2: Simulacao LTE no domnio do tempo.

Calculando entao o espectro de frequencia do sinal em questao obtem-se uma


frequencia de operacao de 2,502 GHz como mostra a figura 4.3.

Figura 4.3: Espectro de frequencia do sinal LTE da figura 4.2.

4.2 Testes de rudo e nao linearidade

Antes de analisar e validar o sistema como um todo, e de extrema importancia validar


a simulacao das nao linearidades do sistema pois sao um ponto chave para a escolha
dos componentes citados neste captulo.
62

4.2.1 Rudo

Seguindo as equacoes descritas na seccao 2.3.1, foi possvel desenvolver um bloco


do sistema que gera rudo a partir da variancia de um sinal randomico gaussiano.
Onde a variancia, em V 2 , e calculada pela equacao 4.1.

2 = k T R BWeq (F 1) [V 2 ] (4.1)

Onde BWeq e a banda de simulacao do sistema sobre 2, ou seja, o inverso do


passo de simulacao definido como 1/T . R vale 50 , k e a constante de Boltzmann e
T e a temperatura ambiente em Kelvin.

Figura 4.4: Teste de Rudo

Aplicando entao uma figura de rudo de 50 dB em um circuito fechado


contendo apenas a fonte de rudo e uma resistencia de 50 e fazendo o calculo da
equacao (4.2) para que atraves da medida no grafico possa-se encontrar de novo a
figura de rudo, obtem-se a equacao (4.3).

N F = DSPdBW/Hz 10 log(k T ) (4.2)

Onde DSP e a densidade espectral de potencia do rudo medida na figura 4.4.


Portanto:
63

N F = 50, 3dB (4.3)

O rudo gerado se aproxima bastante dos 50 dB definidos na simulacao.

4.2.2 IIP3

O ponto de interseccao de terceira ordem e o ponto onde a potencia do sinal em sua


harmonica fundamental e igual a potencia do sinal em sua terceira harmonica. Para
demonstrar tal efeito, os blocos de ganho do sistema foram desenvolvidos levando-se
em consideracao as equacoes desenvolvidas em 2.3.2.
Nesta simulacao foram utilizados dois tons de frequencias distintas, 2,4 GHz
e 2,6 GHz, cujas harmonicas de terceira ordem aparecem em 2,2 GHz e 2,8 GHz. O
ponto de interseccao depende do valor em dBm do IIP3 e do ganho G que nesse caso
foram escolhidos como -10 dBm e 20 dB respectivamente.
Atraves de uma resolucao simples de equacao e facil identificar para qual valor
de entrada as harmonicas possuirao a mesma amplitude da frequencia fundamental e
esse valor obtido para Ve e de 0, 012247 V. Entretanto, como sao dois sinais sinusoidais
se somando, e necessario dividir esse valor por 2 antes de amplificar.

Figura 4.5: Teste de IIP3


64

Usando uma frequencia de amostragem de 10 GHz, ou seja, passo de


simulacao de 1014 e uma transformada discreta de fourier de 8192 pontos foi
possvel obter um grafico onde a precisao esta proxima de 1, 22M Hz
A figura 4.5 mostra claramente que as harmonicas que surgiram apos a
amplificacao do sinal tem a mesma amplitude das harmonicas fundamentais dos
sinais de entrada, validando assim essa nao linearidade do sistema.

4.3 Teste do amplificador

Uma vez que os blocos de nao linearidade estao funcionando, o proximo passo e
mostrar que amplificadores, misturadores e filtros estao funcionando e mostrando os
problemas que ocorrem quando se coloca blocos nao lineares na simulacao. A figura
4.6 mostra como ficou o esquematico padrao para os amplificadores do receptor RF,
esse esquematico sera usado tanto para o LNA quanto para os demais amplificadores
que compoe o receptor completo.

Figura 4.6: Esquematico do amplificador

Aplicando-se como exemplo os valores do LNA [1] apresentado na Tabela 3.1


o resultado e mostrado na figura 4.7. O ganho esperado era de 18.56 dB porem o
resultado obtido e de 13 dB em simulacao. Tambem e interessante observar o efeito
do rudo com NF de 1,85 dB na figura 4.7.
E importante ressaltar que todos os amplificadores possuem uma banda
passante limitada, e esse e o motivo pelo qual optou-se por utilizar um filtro no
65

amplificador. Todos os parametros da tabela 3.1 serao levados em consideracao


durante as simulacoes do receptor completo.

Figura 4.7: Resultado para LNA [1]

4.4 Teste do misturador

O misturador segue uma topologia que tenta se aproximar o maximo da realidade,


onde existem sinais indesejaveis se somando com o sinal desejado antes e depois da
multiplicacao pelo sinal gerado no oscilador, cuja entrada no circuito da figura 4.9 e
in2.
Utilizando como entrada dois tons de frequencias 2,2 GHz e 2,6 GHz e um
oscilador local de 2,5 GHz como mostram as entradas 1 e 2 da figura 4.8 obtem-se
como sada uma frequencia parasita de 2,5 GHz que foi somada ao sinal antes e
depois da multiplicacao como mostra o esquematico da figura 4.9, obtem-se tambem
as frequencias correspondentes ao resultado das multiplicacoes, sao elas: 100 MHz,
66

300 MHz, 4,7 GHz e 4,9 GHz. O que prova a relacao trigonometrica da equacao (4.4).

1
sin(A) sin(B) = [cos(A + B) cos(A B)] (4.4)
2

Figura 4.8: Resultado para Mixer [1] apresentado na Tabela 3.2


67

Figura 4.9: Esquematico do amplificador


68

4.5 Teste dos filtros

Os filtros por sua vez seguem o mesmo padrao de esquematico dos amplificadores,
porem com ganho muito pequeno. O bloco de filtro escrito na linguagem Verilog-AMS
leva em consideracao a funcao de transferencia em laplace de um filtro do tipo
Butterworth criado a partir de funcoes usando a plataforma MATLAB. A figura 4.11
mostra o diagrama de Bode da funcao de transferencia descrita na equacao (4.5).

6, 317 1018
H= (4.5)
s4 + 3, 554 109 + 3, 19 1020 + 5, 557 1029 + 2, 444 1040

Figura 4.10: Esquematico do amplificador

Figura 4.11: Resposta em frequencia usando MATLAB


69

A frequencia central desse filtro passa banda e de 2 GHz, como mostra a figura
4.11 com uma banda de 400 MHz. A figura 4.12 mostra o resultado de simulacao na
linguagem Verilog-AMS usando o esquematico da figura 4.10.
Pode-se observar que o filtro esta funcionando da maneira com que se espera.
Para tal teste utilizou-se dois sinais sinusoidais na entrada de frequencias 2 GHz e 3
GHz. Na sada atenuou-se o sinal de 3 GHz, enquanto o de 2 GHz permaneceu
inalterado, contudo ainda houve uma adicao de rudo proveniente da figura de rudo
do bloco em questao.

Figura 4.12: Resposta em frequencia usando Cadence Virtuoso

De modo geral, as simulacoes feitas em ambiente Cadence Virtuoso


serviram para mostrar que a fundamentacao teorica esta correta com relacao a
modelagem de componentes RF porem nao foi possvel continuar as simulacoes em
ambiente Cadence Virtuoso pois tal ferramenta nao possibilitava a simulacao de um
ou mais frames completos do LTE por conta de problemas com simulacoes de
envoltoria complexa.
70

4.6 Simulacoes RF Budget

Para um primeiro estudo de simulacao com a ferramente RF Budget Analyser,


utilizou-se a composicao de Filtro, LNA, Mixer, Filtro e BBA. Porem de acordo com os
dados obtidos no trabalho serao alterados no presente momento os componentes de
LNA e Mixer.
Os dados escolhidos foram apresentados nas tabelas 3.1 e 3.2, dentre esses
dados sao apresentadas tecnologias de componentes de 130nm e 180nm,
escolhe-se entao relacionar os componentes para criacao do bloco separa sues
tecnologias. Buscou-se entao o bloco que oferece o maior e o menor ganho, o bloco
que tem o melhor e o pior IIP3, e o maior e menor dos demais parametros.
Para acelerar a comparacao dos parametros do LNA e Mixer, para criacao dos
blocos, foi utilizado o codigo apresentado no anexo A.4. Com posse dos dados que
apresentam as combinacoes das caractersticas dos componentes definidas acima,
utiliza-se os mesmos para simulacao no RF Budget Analyser.
A figura 4.13 apresenta apenas para ilustracao a configuracao do receptor
utilizando os dados do LNA [1] e o Mixer [1].

Figura 4.13: Simulacao RF Budget LNA [1] Mixer [1]


71

Neste momento serao apresentados as simulacoes que fornecem os melhores


parametros finais para os agrupamentos pre-selecionados.
A figura 4.14 apresenta a relacao que proporcionou o melhor ganho, que
pertencem ao LNA [1] e o Mixer [3], o ganho obtido pelo bloco foi 33,74 dB.

Figura 4.14: Simulacao RF Budget para o maior ganho, LNA [1] Mixer [3]

A figura 4.15 apresenta a relacao que proporcionou a menor figura de rudo,


que pertencem ao LNA [1] e o Mixer [6], a maior relacao de sinal rudo SNR, tambem
pertence a esse agrupamento, o valor de NF obtido foi 1,985 dB e 7,0001 dB para a
SNR.

Figura 4.15: Simulacao RF Budget para o melhor NF, LNA [1] Mixer [6]

A figura 4.16 apresenta a relacao que proporcionou o maior linearidade, que


pertencem ao LNA [6] e o Mixer [5], o valor de IIP3 obtido foi 3,466 dBm.
72

Figura 4.16: Simulacao RF Budget para o maior IIP3, LNA [6] Mixer [5]

A relacao que proporcionou o menor consumo de potencia do bloco, que


pertencem ao LNA [3] e o Mixer [1], o consumo obtido foi 1,58 dBm.
Em contrapartida, tem-se agora os agrupamentos que apresentaram as piores
combinacoes de caractersticas.
A figura 4.17 apresentou a pior configuracao para ganho, figura de rudo e da
relacao sinal rudo, com valores de 14,4 dB, 7,956 dB e 1,029 dB respectivamente.

Figura 4.17: Simulacao RF Budget para o menor ganho, LNA [7] Mixer [1]

Quem apresentou a menor linearidade para o receptor foi o bloco constitudo


pelo LNA [3] e Mixer [6], com um valor de IIP3 igual a -13,15 dBm, a simulacao e
apresentada na figura 4.18.

Figura 4.18: Simulacao RF Budget para o menor IIP3, LNA [3] Mixer [6]

A relacao que proporcionou o maior consumo de potencia do bloco, que


pertencem ao LNA [6] e o Mixer [6], o consumo obtido foi 24,42 dBm.
73

Apresentou-se os resultados para a tecnologia de 130 nm, agora tratar-se-a


da tecnologia de 180 nm,
A figura 4.19 apresenta a relacao que proporcionou o melhor ganho, que
pertencem ao LNA [8] e o Mixer [14], o ganho obtido pelo bloco foi 38,70 dB.

Figura 4.19: Simulacao RF Budget para o maior ganho 180 nm, LNA [8] Mixer [14]

A figura 4.20 apresenta a relacao que proporcionou a menor figura de rudo,


que pertencem ao LNA [8] e o Mixer [14], a maior relacao de sinal rudo SNR, tambem
pertence a esse agrupamento, o valor de NF obtido foi 1,566 dB e 7,42 dB para a
SNR.

Figura 4.20: Simulacao RF Budget para o melhor NF 180 nm, LNA [8] Mixer [14]

A figura 4.21 apresenta a relacao que proporcionou o maior linearidade, que


pertencem ao LNA [8] e o Mixer [11], o valor de IIP3 obtido foi 8,902 dBm.

Figura 4.21: Simulacao RF Budget para o maior IIP3 180 nm, LNA [8] Mixer [11]

A relacao que proporcionou o menor consumo de potencia do bloco, que


74

pertencem ao LNA [14] e o Mixer [7], o consumo obtido foi -5,23 dBm.
Em contrapartida, tem-se agora os agrupamentos que apresentaram as piores
combinacoes de caractersticas da tecnologia 180 nm.
A figura 4.22 apresentou a pior configuracao para ganho com valor de 13,67
dB, o LNA [14] e o Mixer [20] sao os componentes que apresentaram tal caracterstica.

Figura 4.22: Simulacao RF Budget para o menor ganho 180 nm, LNA [14] Mixer [20]

Quem apresentou a menor linearidade para o receptor foi o bloco constitudo


pelo LNA [11] e Mixer [7], com um valor de IIP3 igual a -11,3 dBm, a mesma
combinacao tambem forneceu a pior figura de rudo e a pior SNR, com valores de
5,97 dB e 3,015 dB respectivamente, a simulacao e apresentada na figura 4.23.

Figura 4.23: Simulacao RF Budget para o menor IIP3 180 nm, LNA [11] Mixer [7]

A relacao que proporcionou o maior consumo de potencia do bloco, que


pertencem ao LNA [12] e o Mixer [21], o consumo obtido foi 23,85 dBm.
75

4.6.1 Simulacao completa em ambiente simulink

Figura 4.24: Sinal Gerado

Figura 4.25: Sinal gerado pos canal

As figuras 4.24 e 4.25 mostram que a adicao de rudo branco gaussiano no canal
teve o efeito esperado na simulacao antes do sinal entrar no bloco receptor. As
medicoes seguintes mostradas nas figuras 4.26 e 4.27 demonstram que para o sinal
de entrada com amplitude e rudo tais como o sinal gerado pos canal, o sinal de
sada e demodulado corretamente e o ambiente de simulacao funciona.
Entretanto, foram feitas varias tentativas de simulacoes usando os blocos
selecionados para este trabalho e nao foram obtidos bons resultados quando o sinal
de entrada e tao pequeno quanto o nvel de sensibilidade esperado em receptores
76

LTE. A amplitude do sinal de entrada no receptor (sinal gerado pos canal) da


simulacao mostrada neste trabalho tem valor de -70 dBm e nao -98 dBm como pede
a norma. Para sinais de amplitude de entrada no nvel de sensibilidade o receptor
nao consegue demodular o sinal e a medicao de EVM falha portanto as simulacoes
em ambiente simulink nao foram conclusivas.

Figura 4.26: Sinal I na sada do Receptor

Figura 4.27: Sinal Q na sada do Receptor


77

CAPITULO 5

CONCLUSAO

As simulacoes realizadas para norma LTE utilizando o software Cadance Virtuoso


foram satisfatorias para o sinal escolhido 2,5GHz, pois conseguiu-se uma frequencia
de operacao de 2,502 GHz. Utilizando-se das equacoes propostas no captulo 2, foi
possvel desenvolver blocos que simulam, ganho, rudo e linearidade que chegam
proximos dos valores requeridos na elucidacao teorica.
Apos as simulacoes dos blocos de parametros, mostrou-se a funcionalidade
dos blocos do amplificador, misturador e filtro. Para as primeiras simulacoes utilizou-se
blocos que constam na literatura.
Teve-se dificuldade no incio das simulacoes devido ao manuseio do
software. Assim, algumas conclusoes que havia-se tomado a priori nao se
confirmaram no momento das simulacoes. Apos rompidos esses desafios e iniciado
as simulacoes, resta para proxima etapa testar o bloco receptor como um todo para
norma LTE escolhida.
Foi necessario alterar o software de simulacao por conta do tempo
necessario para simulacoes do tipo transiente, que eram as simulacoes feitas no
software Cadence Virtuoso. O Virtuoso permite simulacoes mais complexas do tipo
envelope, porem o uso de tal simulacao e bastante complexo e contem
incompatibilidades com o uso de blocos descritos em Verilog-AMS. Contudo,
observou-se que era possvel reconstruir os blocos de Verilog no Simulink seguindo
as suas modelagens matematicas e portanto optou-se por prosseguir as simulacoes
no software Simulink pois o mesmo faz simulacoes de envelope de maneira
automatica dados os sinais de altssima frequencia.
Tambem optou-se por utilizar a ferramenta de RF Budget do MATLAB para os
calculos de ganho, distorcao e rudo em cascata para os blocos do receptor. A
78

ferramenta facilita e acelera o tempo necessario para fazer os calculos com todos os
blocos encontrados. A implementacao de todos os valores encontrados nas
tabelas 3.2 e 3.1 seria muito custoso para a equipe, pois apresentou-se varios blocos
de LNA e Mixer a criar uma relacao entre os mesmo ate encontrar o melhor bloco um
a um demandaria um tempo elevado. Para facilitar entao tal implementacao dos
valores no Budget criou-se um codigo apresentado em anexo A.4 para encontrar a
priori os blocos que apresentariam as melhores caractersticas de ganho, IIP3 e
figura de rudo, dentre todos os blocos apresentados.
Com a pre analise permitiu-se entao com o uso da ferramenta, encontrar os
valores de SNR dos blocos LNA e Mixer em cascata. Esperava-se com tais valores
criar uma validacao do sistema com com a medicao de valores de EVM que seriam
obtidos com a simulacao do sistema criado no Simulink.
Apos realizadas as simulacoes na ferramenta de RF Budget, encontrou-se os
valores de SNR para os melhores e piores blocos, com tais valores realizou-se tambem
as simulacoes para obter os valores de EVM. Devido a problemas na simulacao em
Simulink para sinais de amplitude de acordo com a norma LTE nao foi possvel realizar
uma medicao coerente de EVM para poder comparar os calculos RF Budget com as
medicoes. Portanto, o sistema nao foi validado.
Sugere-se em uma proxima etapa do projeto verificar quais pontos, tanto na
simulacao do RF Budget quanto no Simulink, fazem com que os valores encontrados
nao sejam o valores esperados pela equipe, podendo assim realizar a validacao do
receptor para a norma LTE. Apos a validacao do sistema espera-se tambem realizar a
simulacao do bloco receptor, buscando atender as especificacoes iniciais.
79

CAPITULO 6

CRONOGRAMA

6.1 Etapas

1 Revisao Bibliografica (Projeto Integrado B)

2 Analise dos blocos de Filtro Passa Baixa e ADC (Projeto I. B)

3 Simular modelos criados no software Simulink (Projeto Integrado B)

4 Calculo de G, NF e IIP3 em cascata nos blocos do Receptor (Projeto I. B)

5 Comparar os componentes (Projeto Integrado B)

6 Validar o sistema (Projeto Integrado B)


80

6.2 Cronograma Semestral das Etapas de Desenvolvimento de

Trabalho

ANO 2017

P - Previsto, R - Realizado

Etapas Marco Abril Maio Junho Julho

P X X
1
R X X

P X X
2
R X X

P X X
3
R X X

P X
4
R X

P X
5
R X

P X
6
R X
81

APENDICE A

REFERENCIAS ARTIGOS PARA COLETA DE DADOS

A.1 Referencias LNA

Tabela A.1: Referencias Artigos LNA

Referencias LNA

Muhamad, M. ; Fac. of Electr. Eng., Univ. Teknol. MARA, Shah Alam, Malaysia ;
Soin, N. ; Ramiah, H. ; Noh, N.M. more authors.; Design of CMOS differential
[1] LNA at 2.4GHz. Electron Devices and Solid-State Circuits (EDSSC), 2013 IEEE
International Conference of.

Cimino, M. ; Univ. of Bordeaux 1, Talence ; Lapuyade, H. ; Deval, Y. ; Taris, T.


[2] more authors; Design of a 0.9V 2.45GHz Self-Testable and Reliability-Enhanced
CMOS LNA; Solid-State Circuits, IEEE Journal of (Volume:43 , Issue: 5 ).

Taris, T. ; IMS Lab., Univ. of Bordeaux, Talence, France ; Begueret, J. ; Deval, Y.,
[3] A 60 W LNA for 2.4 GHz wireless sensors network applications, Radio
Frequency Integrated Circuits Symposium (RFIC), 2011 IEEE.

Hongrui Wang ; Inst. of Microelectron., Tsinghua Univ., Beijing, China ; Li Zhang ;


Zhiping Yu; A wideband inductorless LNA with local feedback and noise cancelling
[4]
for low-power low-voltage applications, Circuits and Systems I: Regular Papers,
IEEE Transactions on (Volume:57 , Issue: 8 )

Borremans, J. ; IMEC, Leuven ; Wambacq, P. ; Soens, C. ; Rolain, Y.more authors;


Low-Area Active-Feedback Low-Noise Amplifier Design in Scaled Digital CMOS;
[5]
Solid-State Circuits, IEEE Journal of (Volume:43 , Issue: 11 ) RFIC Virtual Journal,
IEEE

Bevilacqua, A. ; Dipt. di Ingegneria dellInformazione, Univ. di Padova ; Sandner,


C. ; Gerosa, A. ; Neviani, A.; A fully integrated differential CMOS LNA for 3-5-GHz
[6]
ultrawideband wireless receivers; Microwave and Wireless Components Letters,
IEEE (Volume:16 , Issue: 3 )

Hongrui Wang ; Inst. of Microelectron., Tsinghua Univ., Beijing, China ; Li Zhang ;


Zhiping Yu; A wideband inductorless LNA with local feedback and noise
[7]
cancelling for low-power low-voltage applications, Circuits and Systems I:
Regular Papers, IEEE Transactions on (Volume:57 , Issue: 8 )

Ruofan Dai ; Yunlong Zheng ; Jun He ; Weiran Kong more authors;


A 2.5-GHz 8.9-dBm IIP3 current-reused LNA in 0.18-m CMOS technology;
[8]
Radio-Frequency Integration Technology (RFIT), 2014
IEEE International Symposium on
82

Referencias LNA

Thacker, M.B. ; Dept. of Electron. Eng., Shri Ramdeobaba Coll. of Eng. &
Manage., Nagpur, India ; Awakhare, M. ; Khobragade, R.H. ; Dwaramwar,
[9] P.A.; Multi-standard Highly Linear CMOS LNA; Electronic Systems,
Signal Processing and Computing Technologies (ICESC),
2014 International Conference on.

Lee, J.-Y. ; Dept. of Electr. & Comput. Eng., Hanyang Univ., Seoul, South
Korea ; Park, H.-K. ; Chang, H.-J. ; Yun, T.-Y. Low-power UWB LNA with
[ 10 ]
common-gate and current-reuse techniquesMicrowaves, Antennas &
Propagation, IET (Volume:6 , Issue: 7 )

Hung-Ting Chou ; Dept. of Electr. Eng., Nat. Central Univ., Jhongli, Taiwan ;
Shin-Wei Chen ; Hwann-Kaeo Chiou; A low-power wideband dual-feedback
[ 11 ]
LNA exploiting the gate-inductive bandwidth/gain-enhancement technique;
Microwave Symposium Digest (IMS), 2013 IEEE MTT-S International

Chih-Yuan Kao ; Dept. of Commun. Eng., Yuan Ze Univ., Jhongli ; Yueh-Ting


Chiang ; Jeng-Rern Yang; A concurrent multi-band low-noise amplifier for
[ 12 ]
WLAN/WiMAX applications; Electro/Information Technology, 2008. EIT
2008. IEEE International Conference on

Kuan-Hsiu Chien ; Dept. of Electr. Eng., Nat. Central Univ., Jhongli, Taiwan ;
Hwann-Kaeo Chiou; A 0.66.2 GHz wideband LNA using resistive feedback
[ 13 ]
and gate inductive peaking techniques for multiple standards application;
Microwave Conference Proceedings (APMC), 2013 Asia-Pacific.

Baishnab, K.L. ; Electronics and Communication Engineering, National Institute


of Technology, Silchar, Assam, India 788010 ; Jain, Amit ; Basak, Debajit;
[ 14 ] An ultra low power ultra low voltage LNA design using forward body
biasing technique; Circuits, Power and Computing Technologies (ICCPCT),
2013 International Conference on
83

A.2 Referencias Mixer

Tabela A.2: Referencias Artigos Misturador

Referencias Misturador

DaeHoon Na ; Sch. of Electr. & Electron. Eng., Yonsei Univ., Seoul, South Korea
Tae Wook Kim, A 1.2 V, 0.873.7 GHz Wideband Low-Noise Mixer Using a
[1]
Current Mirror for Multiband Application, Microwave and Wireless
Components Letters, IEEE (Volume:22 , Issue: 2 ).

Bao-lin Wei ; Inst. of Microelectron., NanKai Univ., Tianjin ; Yu-jie Dai ; Ying-jie Lu;
Xiao-xing Zhang, more authors; A Sub 1V High-Gain Low-Noise CMOS
[2] Downconversion Folded Mixer for 2.4GHz ISM Band Applications,
Intelligent Information Technology Application Workshops, 2008. IITAW 08.
International Symposium on.

Chong,
W.K. ; Dept. of Electr. Eng., Univ. of Malaya, Kuala Lumpur, Malaysia ;
[3] Ramiah, H. ; Vitee, N. ; Tan, G.H., Design of inductorless, low power,
high conversion gain CMOS subharmonic mixer for 2.4 GHz application ,
Microwave and RF Conference (IMaRC), 2014 IEEE International .

Hermann,
C. ; Inst. of Microelectron., Tech. Univ. of Berlin, Germany ; Tiebout, M. ;
[4] Klar, H., A 0.6V 1.6mW transformer based 2.5GHz downconversion mixer
with +5.4dB gain and -2.8dBm IIP3 in 0.13m CMOS, Radio Frequency
Integrated Circuits (RFIC) Symposium, 2004. Digest of Papers. 2004 IEEE.

Jehyung
Yoon ; Pohang Univ. of Sci. & Technol., Gyeongbuk ; Huijung Kim ;
[5] Changjoon Park ; Jinho Yang, more authors, A New RF CMOS Gilbert Mixer
With Improved Noise Figure and LinearityMicrowave Theory and
Techniques, IEEE Transactions on (Volume:56 , Issue: 3 ).
DaeHoon
Na ; Sch. of Electr. & Electron. Eng., Yonsei Univ., Seoul, South Korea ;
[6] Tae Wook Kim, A 1.2 V, 0.873.7 GHz Wideband Low-Noise Mixer Using a
Current Mirror for Multiband Application, Microwave and Wireless
Components Letters, IEEE (Volume:22 , Issue: 2 ).

Chang-Hsi
Wu ; Lunghwa Univ. of Sci. & Technol., Taoyuan, Taiwan ; Hung-Ting Chou,
[7] A 2.4GHz variable conversion gain mixer with body bias control
techniques for low voltage low power applications, Microwave
Conference, 2009. APMC 2009. Asia Pacific.

Vidojkovic,
V. ; Eindhoven Univ. of Technol., Netherlands ; van der Tang, J. ;
Leeuwenburgh, A. ; van Roermund, A., Low voltage, low power
[8]
folded-switching mixer with current-reuse in 0.18 m CMOS, Circuits and
Systems, 2004. ISCAS 04. Proceedings of the 2004 International Symposium
on (Volume:1 ).
84

Referencias Misturador

Vidojkovic,
V. ; Eindhoven Univ. of Technol., Netherlands ; van der Tang, J. ;
[9] Leeuwenburgh, A. ; van Roermund, A., Low voltage, low power
folded-switching mixer with current-reuse in 0.18 m CMOS, Circuits and
Systems, 2004. ISCAS 04. Proceedings of t

Vidojkovic,
V. ; Mixed-Signal Microelectron. Group, Eindhoven Univ. of Technol.,
[10] Netherlands ; van der Tang, J. ; Leeuwenburgh, A. ; van Roermund, A.H.M.,
A low-voltage folded-switching mixer in 0.18-m CMOS, Solid-State
Circuits, IEEE Journal of (Volume:40 , Issue: 6 ).

Tae
Wook Kim ; Dept. of Electr. Eng. & Comput. Sci., Korea Adv. Inst. of Sci.
& Technol., Daejon, South Korea ; Bonkee Kim ; Kwyro Lee, Highly
[11]
linear receiver front-end adopting MOSFET transconductance linearization by
multiple gated transistors, Solid-State Circuits, IEEE Journal of
(Volume:39 , Issue: 1 ) , RFIC Virtual Journal, IEEE.

Gilasgar,
M. ; Dept. of Electr. Eng., Guilan Univ., Rasht, Iran, A Low Power
[12]
2.4GHz Double-Balanced CMOS Sub-harmonic Mixer, Intelligent Systems,
Modelling and Simulation (ISMS), 2010 International Conference on.

Hung-Che
Wei ; Dept. of Electron. Commun. Eng., Nat. Kaohsiung Marine Univ.,
[13] Kaohsiung, Taiwan ; Chih-Lung Hsiao, A 1 V bulk-controlled gm-boosted
CMOS mixer for LTE-A applications, Consumer Electronics (GCCE), 2013
IEEE 2nd Global Conference on.

Bao-lin
Wei ; Inst. of Microelectron., NanKai Univ., Tianjin ; Yu-jie Dai ; Ying-jie
Lu ; Xiao-xing Zhang, more authors; A Sub 1V High-Gain Low-Noise CMOS
[14]
Downconversion Folded Mixer for 2.4GHz ISM Band Applications,
Intelligent Information Technology Application Workshops, 2008. IITAW 08.
International Symposium on.

Vidojkovic,
V. ; Eindhoven Univ. of Technol., Netherlands ; van der Tang, J. ;
Leeuwenburgh, A. ; van Roermund, A., Low voltage, low power
[15]
folded-switching mixer with current-reuse in 0.18 m CMOS, Circuits and
Systems, 2004. ISCAS 04. Proceedings of the 2004 International Symposium
on (Volume:1 ).

Hung-Che
Wei ; Dept. of Electr. Eng., Nat. Dong Hwa Univ., Hualien ; Ro-Min Weng ;
[16] Chih-Lung Hsiao ; Kun-Yi Lin, A 1.5 V 2.4 GHz CMOS mixer with high
linearity, Circuits and Systems, 2004. Proceedings. The 2004 IEEE
Asia-Pacific Conference on (Volume:1 ).
85

Referencias Misturador

Chun
Geik Tan ; Inst. of Microelectron., Singapore, Singapore, A
[17] high-performance low-power CMOS double-balanced IQ down-conversion
mixer for 2.45-GHz ISM band applications, Radio Frequency Integrated
Circuits (RFIC) Symposium, 2003 IEEE.

Vidojkovic,
V. ; Mixed-Signal Microelectron. Group, Eindhoven Univ. of Technol.,
[18] Netherlands ; van der Tang, J. ; Leeuwenburgh, A. ; van Roermund, A.H.M.,
A low-voltage folded-switching mixer in 0.18-m CMOS, Solid-State
Circuits, IEEE Journal of (Volume:40 , Issue: 6 ).
Vidojkovic,
V. ; Eindhoven Univ. of Technol., Netherlands ; van der Tang, J. ;
Leeuwenburgh, A. ; van Roermund, A., Low voltage, low power
[19]
folded-switching mixer with current-reuse in 0.18 m CMOS, Circuits and
Systems, 2004. ISCAS 04. Proceedings of the 2004 International Symposium
on (Volume:1 ).

Ziabakhsh,
S. ; Roudsar & Amlash Branch, Dept. of Electr. Eng., Islamic Azad Univ.,
Roudsar, Iran ; Cheraghi, G. ; Alavi-Rad, H., A CMOS down-conversion
[20]
mixer with high linearity and low noise figure in 0.18-m technology ,
Circuits and Systems for Communications (ECCSC), 2010 5th European
Conference on .

Wah
Ching Lee ; Dept. of Electron. & Inf. Eng., Polytech. Univ. of Hong Kong,
Kowloon, China ; Yi Shen ; Chiu Hoi Kuo ; Kim Fung Tsang, more authors,
[21]
A high conversion gain CMOS current bleeding mixer for 2.4 G wireless
communication, Wireless Mobile and Computing (CCWMC 2011), IET
International Communication Conference on.
86

A.3 Codigo utilizado para Modelagem e simulacao de um receptor


RF com sinais LTE

1 % % Modelagem e simulac~ a o de um receptor RF com sinais LTE


2 % Codigo baseado no exemplo disponvel na pagina :
3 % https :// www . mathworks . com / help / lte / examples /
4 % modeling - and - testing - an - lte - rf - receiver . html
5 % Adaptado e atualizado por Ronny Milleo [ ultima atualizac~ a o em 07 -05 -2017]
6 clear all
7 clc
8 % % Gerac~
a o do sinal LTE
9 % Configurac~ a o segundo a norme TS 136.101 para 25 REs (5 MHz ) , 64 - QAM ,
10 % alocac~a o total
11 rmc = lteRMCDL ( R .6 ) ;
12 rmc . OCNGPDSCHEnable = On ;
13
14 % Gerac~ a o de dados rand^o micos
15 rng ( default ) ; % Semente
16 data = randi ([0 1] , sum ( rmc . PDSCH . TrBlkSizes ) ,1) ;
17
18 % Gerac~ a o de 1 frame
19 [ tx , ~ , info ] = lteRMCDLTool ( rmc , data ) ;
20
21 % Calculo do perodo de amostragem e tamanho do frame
22 SamplePeriod = 1/ info . SamplingRate ;
23 FrameLength = length ( tx ) ;
24 % % Inicializac~ a o dos componentes de simulac~ ao
25 % Filtro de transmiss~ a o , limitador de banda
26 FiltOrd = 32;
27 h = firpm ( FiltOrd ,[0 2.25 e6 *2* SamplePeriod 2.7 e6 *2* SamplePeriod 1] ,
28 [1 1 0 0]) ;
29 Fi lt er De la yS am pl es = FiltOrd /2; % Atraso do filtro
30
31 % Modelo de propagac~ ao
32 SNRdB = 57; % Es / Noc [ dB ]
33 NocdBm = -98; % Noc [ dBm /15 kHz ]
34 NocdBW = NocdBm - 30; % Noc [ dBW /15 kHz57 ]
35 SNR = 10^( SNRdB /10) ; % linear [ Es / Noc ]
36 Es = SNR *(10^( NocdBW /10) ) ; % linear [ Es por RE ]
37 FFTLength = info . Nfft ;
38 SymbolPower = Es / double ( FFTLength ) ;
39
40 % Numero de frames a serem simulados N >=1
41 N = 5;
42
43 % Pre - alocac~a o de memoria para os resultados
44 % EVM n~ a o e medido no primeiro frame para evitar efeitos transientes
45 evmpeak = zeros (N -1 ,1) ;
46 evmrms = zeros (N -1 ,1) ;
47 % % Especificac~ a o do ambiente de simulac~ao
48 model = RFLTEReceiver ;
49 disp ( Starting Simulink ) ;
50 open_system ( model ) ;
51 % % Modo acelerado de simulac~ a o no Simulink
52 % Criac~ a o de espaco temporario para salvamento de dados
53 originalDir = pwd ;
54 tempDir = tempname ;
55 mkdir ( tempDir ) ;
56 cd ( tempDir ) ;
57 % % Configurac~ a o dos par^a metros do receptor
58 LNA_G = 30;
59 LNA_NF = 0;
60 LNA_IP3 = inf ;
61
62 MIXER_G = 30;
63 MIXER_NF = 0;
64 MIXER_IP3 = inf ;
87

65
66 BBA_G = 40;
67 BBA_NF = 0;
68 BBA_IP3 = inf ;
69 % % Simulac~a o do primeiro frame
70 % Gerac~a o do vetor de tempo de simulac~ ao
71 time = (0: FrameLength + Fil te rD el ay Sa mp le s ) * SamplePeriod ;
72 % Adic~
a o do atraso do filtro no sinal de transmiss~ ao
73 txWaveform = timeseries ([ tx ; tx (1: F il ter De la yS am pl es +1) ] , time ) ;
74
75 % Chamada ao modelo Simulink para a simulac~ ao
76 % Desativamento do carregamento de estado inicial
77 set_param ( model , LoadInitialState , off ) ;
78 % Mensagem de acompanhamento
79 disp ( Simulando ) ;
80 % Simulac~ a o no tempo definido
81 sim ( model , time ( end ) ) ;
82 % Salvamento de estado do Simulink para o proximo frame
83 xInitial = xFinal ;
84
85 % Sincronizac~
a o do sinal recebido
86
87 % Correc~
a o em frequ^e ncia
88 foffset_est = l te Fr eq ue ncy Of fs et ( rmc , rx ) ;
89 rxFreqCorrected = l t e Fr e q ue n c yC o r re c t ( rmc , rx , foffset_est ) ;
90
91 % Sincronizac~a o no tempo
92 offset = lteDLFrameOffset ( rmc , rxFreqCorrected , TestEVM ) ;
93 rx = rx (1+ offset : end ,:) ;
94
95 disp ( Primeiro frame simulado . ) ;
96 % % Simulac~ a o sucessiva de frames
97 % Carregamento do estado anterior do Simulink apos a simulac~ a do primeiro
98 % frame
99 % Ativac~ a o do carregamento de estado inicial no Simulink
100 set_param ( model , LoadInitialState , on , InitialState , xInitial ) ;
101 % Atualizac~ a o do vetor de transmiss~ a o com o atraso do filtro
102 RepeatFrame = [ tx ( F il te rD el ayS am pl es +1: end ) ; tx (1: F il te rD el ay Sa mpl es +1) ];
103 EVMalg . EnablePlotting = Off ;
104 cec . PilotAverage = TestEVM ;
105
106 for n = 2: N % Loop para executar os frames restantes
107 % Gerando sinal de transmiss~ ao
108 time = ( (n -1) * FrameLength +(0: FrameLength ) + Fil te rD el ay Sa mp le s )
109 * SamplePeriod ;
110 txWaveform = timeseries ( RepeatFrame , time ) ;
111
112 % Execuc~ a o do ambiente de simulac~
ao
113 sim ( model , time ( end ) ) ;
114 disp ( Frame simulado . ) ;
115 xInitial = xFinal ; % Salvar estado
116
117 % Calculo e resultados da medic~ a o de EVM
118 evmmeas = hPDSCHEVM ( rmc , cec , rx , EVMalg ) ;
119 evmpeak (n -1) = evmmeas . Peak ;
120 evmrms (n -1) = evmmeas . RMS ;
121 end
122 % Mensagem final
123 disp ( Ultimo frame simulado . ) ;
88

A.4 Codigo utilizado para encontrar o menor e menor valor dos


parametros do bloco RF

1 clear all
2 clc
3 % load ( dadosBloco130 ) ;
4 load ( dadosBloco180 ) ;
5
6 j =0; i =0;
7 [ lnai , lnaj ] = size ( lna ) ;
8 [ mixeri , mixerj ] = size ( mixer ) ;
9 clear mixerj ; clear lnaj ;
10
11 maiorGanho = lnaGanho (1) + mixerGanho (1) ;
12 menorGanho = lnaGanho (1) + mixerGanho (1) ;
13 posMaiorGanho = [1 ,1];
14 posMenorGanho = [1 ,1];
15 for i = 1: lnai
16 for j = 1: mixeri
17 blocoGanho (i , j ) = lnaGanho ( i ) + mixerGanho ( j ) ;
18 if blocoGanho (i , j ) > maiorGanho
19 maiorGanho = blocoGanho (i , j ) ;
20 posMaiorGanho = [i , j ];
21 end
22 if blocoGanho (i , j ) < menorGanho
23 menorGanho = blocoGanho (i , j ) ;
24 posMenorGanho = [i , j ];
25 end
26 end
27 end
28
29 maiorIip3 = lnaIip3 (1) + mixerIip3 (1) ;
30 menorIip3 = lnaIip3 (1) + mixerIip3 (1) ;
31 posMaiorIip3 = [1 ,1];
32 posMenorIip3 = [1 ,1];
33 for i = 1: lnai
34 for j = 1: mixeri
35 blocoIip3 (i , j ) = lnaIip3 ( i ) + mixerIip3 ( j ) ;
36 if blocoIip3 (i , j ) > maiorIip3
37 maiorIip3 = blocoIip3 (i , j ) ;
38 posMaiorIip3 = [i , j ];
39 end
40 if blocoIip3 (i , j ) < menorIip3
41 menorIip3 = blocoIip3 (i , j ) ;
42 posMenorIip3 = [i , j ];
43 end
44 end
45 end
46
47 maiorNf = lnaNf (1) + mixerNf (1) ;
48 menorNf = lnaNf (1) + mixerNf (1) ;
49 posMaiorNf = [1 ,1];
50 posMenorNf = [1 ,1];
51 for i = 1: lnai
52 for j = 1: mixeri
53 blocoNf (i , j ) = lnaNf ( i ) + mixerNf ( j ) ;
54 if blocoNf (i , j ) > maiorNf
55 maiorNf = blocoNf (i , j ) ;
56 posMaiorNf = [i , j ];
57 end
58 if blocoNf (i , j ) < menorNf
59 menorNf = blocoNf (i , j ) ;
60 posMenorNf = [i , j ];
61 end
62 end
63 end
64
89

65 maiorPotencia = lnaPotencia (1) + mixerPotencia (1) ;


66 menorPotencia = lnaPotencia (1) + mixerPotencia (1) ;
67 posMaiorPotencia = [1 ,1];
68 posMenorPotencia = [1 ,1];
69 for i = 1: lnai
70 for j = 1: mixeri
71 blocoPotencia (i , j ) = lnaPotencia ( i ) + mixerPotencia ( j ) ;
72 if blocoPotencia (i , j ) > maiorPotencia
73 maiorPotencia = blocoPotencia (i , j ) ;
74 posMaiorPotencia = [i , j ];
75 end
76 if blocoPotencia (i , j ) < menorPotencia
77 menorPotencia = blocoPotencia (i , j ) ;
78 posMenorPotencia = [i , j ];
79 end
80 end
81 end
82
83 clear i;
84 clear j;
85 clear lnai ;
86 clear mixeri ;
87
88 % save ( dadosBlocoAll130 ) ;
89 save ( dadosBlocoAll180 ) ;
90

BIBLIOGRAFIA

802.15.4TM -2003, I. S. Part 15.4: Wireless medium access control (mac)

and physical layer (phy) specifications for low-rate wireless personal

area networks (lr-wpans). The Institute of Electrical and Electronics

Engineers, Inc., 2003.

ACCELLERA. Verilog-AMS Language Reference Manual Analog &

Mixed-Signal Extensions to Verilog-HDL Version 2.3.1. [S.l.]: Accellera,

2009.

ALEXANDER, K. C.; SADIKU, N. O. M. Fundamentos de Circuitos

Eletricos 5a ed. [S.l.]: AMGH Editora Ltda., 2013.

BAPTISTA, M. C. P. Identificacao e Caracterizacao da Modulacao dos

Sinais Digitais em RF. [S.l.]: Dissertacao (Mestrado) - Universidade de

Aveiro, Portugal., 2008.

CONSENSO, V. Filtros Ativos. 2010.

<http://www.joinville.udesc.br/portal/professores/farias/materiais

/Filtros Ativos.pdf>. Acesso em 8 marco 2017.

DAVID, R. P. Tecnica de Estimacao de Canal Utilizando. Smbolos

Pilotos em Sistemas OFDM. [S.l.]: Publicacao Academica - Pontifcia

Universidade Catolica do Rio de Janeiro - PUC-RIO, Rio de Janeiro.,

2007.
91

ETSI. LTE; Evolved Universal Terrestrial Radio Access (E-UTRA); User

Equipment (UE) radio transmission and reception (3GPP TS 36.101

version 10.3.0 Release 10). [S.l.]: European Telecommunications

Standards Institute, 2011. <http://www.etsi.org/deliver/etsi ts

/136100 136199/136101/10.03.00 60/ts 136101v100300p.pdf>.

Acesso em 08 set. 2016.

FUJISHIRO, Y. Taking Advantage of S-Parameter. 1996 2017.

<https://product.tdk.com/en/products/emc/guidebook/eemc basic 03.pdf>.

Acesso em 8 marco 2017.

HAYKIN, S. Sistemas de comunicacao - 2a ed. [S.l.]: Bookman, 2004.

HAYKIN, S. Sistemas de Comunicacao - 4a ed. [S.l.]: Bookman, 2007.

KUNDERT, S. K.; ZINKE, O. The designers guide to verilog-ams 1a

ed. Kluwer Academic Publishers, June 2004. ISSN 1-4020-8044-1.

LEE, T. H. The Design Of Cmos Radio-Frequency Integrated Circuits

1a ed. [S.l.]: Cambridge University Press 1998, 2001.

LOLIS, L. H. A. Agile Bandpass Sampling RF Receivers for low power

Applications. [S.l.]: These (Docteur en Microelectronique) - Ecole

Doctorale des Sciences Physiques et de Lingenieur, Bordeaux, France,

2011.

MATHWORKS. Simulink
R Getting Started Guide. [S.l.]: MathWorks,

1995 2017.
92

MATHWORKS. RF Budget Analyzer. 2017.

<https://www.mathworks.com/help/rf/ug/rfbudgetanalyzer-app.html>.

Acesso em 3 marco 2017.

ORFANIDIS, S. J. Electromagnetic Waves and Antennas. 2016.

<http:http://www.ece.rutgers.edu/orfanidi/ewa/>. Acesso em 6 abril

2017.

PELGROM, M. J. M. Analog-to-Digital Conversion - 2a ed. [S.l.]:

Springer, 2013.

PEREIRA, F. Televisao Digital. 20072008.

<http://www.img.lx.it.pt/ fp/cav/ano2007 2008/Slides/CAV 8 DVB 2008

Port Web.pdf>. Acesso em 10 nov. 2016.

PINHEIRO, G. R. V. Circuitos de Comunicacao. 2006.

<http://http://www.lee.eng.uerj.br/gil/circom/Receptores.pdf>. Acesso

em 6 marco 2017.

PINTO, E. L.; ALBUQUERQUE, C. P. A tecnica de transmissao ofdm.

Revista Cientifica Periodica - Telecomunicacoes, Revista Cientifica

Periodica, v. 5, 2002.

QIZHENG, G. RF System Design of Transceivers for Wireless

Communications 1a ed. [S.l.]: Springer, 2006.

RAZAVI, B. RF Microelectronics 2a ed. [S.l.]: Prentice Hall, 2011.

ROA, F. E. F. Metodologia de Projeto para Amplificadores de Baixo


93

Rudo em CMOS. [S.l.]: Dissertacao (Mestrado) - Escola Politecnica da

Universidade de Sao Paulo (USP), Sao Paulo., 2003.

SEDRA, A. S.; SMITH, K. C. Microeletronic circuits - 6a ed. [S.l.]: Oxford

University Press, 2010.

SESIA, S.; TOUFIK, I.; BAKER, M. LTE - The UMTS Long Term

Evolution: From Theory to Practice. [S.l.]: Wiley, 2009. ISBN

9780470978641.

TELECO. 4G: Frequencias e licitacoes. 2015.

<http://www.teleco.com.br/4g freq.asp >. Acesso em 15 set. 2016.

VISWANATHAN, N.; GUPTA, S. S-Parameters for

ADC32RF45: Modeling and Application. 2016.

<http://www.ti.com/lit/an/sbaa219/sbaa219.pdf>. Acesso em 08

abril 2017.

ZAPATA, C. Ferramenta para modelagem de sistemas de comunicacao.

[S.l.]: Tese (Mestrado em Microeletronica). Escola Politecnica

Universidade de Sao Paulo (USP), Sao Paulo., 2007.

Vous aimerez peut-être aussi