Vous êtes sur la page 1sur 17

Programacio n De Gal

INTEGRANTES: 5RV1
Castillo Daz Cristian
Lpez Lpez Quetzni
Briseo vila Alan Vladimir
ndice
Objetivo.......................................................................2
Marco Terico...............................................................2
ESTRUCTURA...............................................................4
Desarrollo....................................................................6
Codigo De Programacion...........................................12
Ventajas.....................................................................16
Aplicaciones..............................................................17
Conclusiones.............................................................17
Objetivo

Durante el desarrollo de esta prctica, el estudiante aplicar un mtodo para


obtener las diferentes representaciones de los sistemas digitales binarios, tales
como: Funcin Booleana, Tabla de Verdad, Diagrama Esquemtico y la Simulacin
en un programa de aplicacin.

Un circuito combi nacional, como su nombre lo sugiere es un circuito cuya salida


depende solamente de la "combinacin" de sus entradas en el momento que se
est realizando la medida en la salida.

Los circuitos de lgica combinacional son hechos apartir de las compuertas


bsicas compuerta AND, compuerta OR, compuerta NOT. Tambin pueden ser
construidos con compuertas NAND, compuertas NOR, compuerta XOR, que son
una combinacin de las tres compuertas bsicas.

Marco Terico

GAL (Generic Array Logic), en espaol Arreglo Lgico Genrico, son un tipo de
circuito integrado, de marca registrada por Lattice Semiconductor, que ha sido
diseados con elpropsito de sustituir a la mayora de las PAL, manteniendo la
compatibilidad de sus terminales.

Un GAL en su forma bsica es un PLD con una matriz AND reprogramable, una
matriz OR fija y una lgica de salida programable mediante una macrocelda. Esta
estructura permite implementar cualquier funcin lgica comosuma de productos
con un nmero de trminos definido.

GAL (GENERIC ARRAY LOGIC)

Una GAL permite implementar cualquier expresin en suma de productos con un


nmero de variables definidas, por ejemplo este diagrama muestra la estructura
bsica de una GAL para dos variables de entrada y una salida.

El proceso de programacin consiste en activar o desactivar cada celda E2CMOS


con el objetivo de aplicarla combinacin adecuada de variables a cada compuerta
AND y obtener la suma de productos

La Electrnica, a travs de un sin nmero de aplicaciones tecnolgicas y con una


rapidez arrolladora, ha logrado intervenir prcticamente en todos los mbitos de la
vida cotidiana de la sociedad contempornea.

La principal caracterstica del lgebra booleana es que las variables slo pueden
tomar dos valores: Uno o Cero (Verdadero o Falso).

A su vez los SPLDs se pueden clasificar segn su estructura interna en:

PAL Programmable Array Logic, VANTIS.

GAL Generic Array Logic, Lattice Semiconductor.

PLA Programmable Logic Array.

PLD Programmable Logic Device.

De estos tipos de SPLDs, el GAL destaca por su bajo precio y versatilidad por lo
que lo describiremos en el siguiente punto.

Utiliza una matriz de memoria EEPROM en lugar por lo que se puede programar
varias veces.

Un GAL en su forma bsica es un PLD con una matriz AND reprogramable, una
matriz OR fija y una lgica de salida programable mediante una macrocelda. Esta
estructura permite implementar cualquier funcin lgica como suma de productos
con un nmero de trminos definido.

En las que en cada punto de interseccin hay un fusible, el fusible se reemplaza


por una celda CMOS elctricamente borrable (EECMOS). Mediante la
programacin se activa o desactiva cada celda EECMOS y se puede aplicar
cualquier combinacin de variables de entrada, o sus complementos, a una
compuerta AND para generar cualquier operacin producto que se desee. Una
celda activada conecta su correspondiente interseccin de fila y columna, y una
celda desactivada desconecta la interseccin. Las celdas se pueden borrar y
reprogramar elctricamente.

ESTRUCTURA

El GAL bsicamente est formado por una matriz AND reprogramable y una matriz
OR fija con configuracin programable de salidas y/o entradas.

Las estructuras GAL son estructuras PAL construidas con tecnologa CMOS, y
fueron comercializadas por primera vez en 1984 por Lattice Semiconductor. Como
se ha mencionado, son programables y borrables elctricamente. Son
reprogramables y ms flexibles, a la salida de la matriz AND/OR hay un circuito
ms complejo con selectores y flip-flops que permiten implementar ecuaciones
ms complejas. Hay distintas arquitecturas segn la versin del fabricante. La
Figura 7 presenta un ejemplo de una GAL.

El circuito a la salida de la matriz se denomina macrocelda. Tienen integracin


baja/media. El Terminal puede funcionar como entrada o salida segn la
programacin. Los trminos productos se dibujan todos sobre una sola lnea para
simplificar el diagrama.

Un terminal en modo salida puede reflejar la salida Q y Q negada del flip-flop para
circuitos secuenciales o la entrada D y negada para circuitos combinacionales.

De modo similar puede realimentar el terminal de salida o la salida Q negada del


flip flop hacia otros trminos. Las GAL se usan para circuitos lgicos sencillos y de
complejidad media.

Desarrollo

Hay diferentes instrumentos de software para desarrollar los PLD. Todos tienen
semejanzas compartidas y sus diferencias distintivas. Para los ejemplos que
presentaremos ms adelante, utilizaremos uno de los compiladores disponibles de
alto nivel de uso ms extenso en la actualidad, se llama WinCUPL. El WinCUPL
puede producir archivos de programacin para una gran variedad de dispositivos
programables.

Este software de desarrollo est constituido de cuatro mdulos diferentes :

WinCUPL .- Es la comunicacin con el usuario y cuenta con todas las


herramientas de la compilacin.
WinSim.- Simula grficamente el diseo del usuario para su verificacin,
antes de programar el dispositivo programable.

Schematic.- Esta herramienta es usada para crear un diagrama


esquemtico, muy til para el anlisis inicial del diseo. Si el diagrama pasa
la validacin del proceso, crea un cdigo fuente, el cual deber ser
compilado y simulado.

SMCupl.- Esta es una herramienta para crear diagramas de estado,


(mquinas de estado).

Es la creacin de datos para crear un diseo usando WinCUPL.


Extensin de Archivos
Componados De Operacin Wincup

Contador #1
Contador #2

Contadro#3
Contador #4

Decodificador

Cdigo De Programacin
!!!!!CONTADOR
Name contador 4 bits ;
PartNo 00 ;
Date 09/06/2017 ;
Revision 01 ;
Designer Esime ;
Company IPN ;
Assembly None ;
Location ;
Device g16v8 ;

/* *************** INPUT PINS *********************/


PIN 1 = clk ; /* */
PIN 2 = dir ; /* */

/* *************** OUTPUT PINS *********************/


PIN 12 = Q3 ; /* */
PIN 13 = Q2 ; /* */
PIN 14 = Q1 ; /* */
PIN 15 = Q0 ; /* */
PIN 16 = carry ; /* */
PIN 17 = cero ; /* */

field mode = [dir];


up = mode:0;
down = mode:1;

field counter = [Q3,Q2,Q1,Q0];


$define s0 'b'0000
$define s1 'b'0001
$define s2 'b'0010
$define s3 'b'0011
$define s4 'b'0100
$define s5 'b'0101
$define s6 'b'0110
$define s7 'b'0111
$define s8 'b'1000
$define s9 'b'1001
$define s10 'b'1010
$define s11 'b'1011
$define s12 'b'1100
$define s13 'b'1101
$define s14 'b'1110
$define s15 'b'1111

sequenced counter
{
present s0 if up next s1;
if down next s15;
if up out cero;
if down out cero;
if up out !carry;
if down out !carry;

present s1 if up next s2;


if down next s0;
if up out cero;
if down out cero;
if up out !carry;
if down out !carry;

present s2 if up next s3;


if down next s1;
if up out cero;
if down out cero;
if up out !carry;
if down out !carry;

present s3 if up next s4;


if down next s2;
if up out cero;
if down out cero;
if up out !carry;
if down out !carry;

present s4 if up next s5;


if down next s3;
if up out cero;
if down out cero;
if up out !carry;
if down out !carry;

present s5 if up next s6;


if down next s4;
if up out cero;
if down out cero;
if up out !carry;
if down out !carry;
present s6 if up next s7;
if down next s5;
if up out cero;
if down out cero;
if up out !carry;
if down out !carry;

present s7 if up next s8;


if down next s6;
if up out cero;
if down out cero;
if up out !carry;
if down out !carry;

present s8 if up next s9;


if down next s7;
if up out cero;
if down out cero;
if up out !carry;
if down out !carry;

present s9 if up next s10;


if down next s8;
if up out cero;
if down out cero;
if up out !carry;
if down out !carry;

present s10 if up next s11;


if down next s9;
if up out carry;
if down out carry;

present s11 if up next s12;


if down next s10;
if up out carry;
if down out carry;

present s12 if up next s13;


if down next s11;
if up out carry;
if down out carry;

present s13 if up next s14;


if down next s12;
if up out carry;
if down out carry;

present s14 if up next s15;


if down next s13;
if up out carry;
if down out carry;

present s15 if up next s0;


if down next s14;
if up out carry;
if down out carry;
}
!!!!!!DECODIFICADOR
Name decodificador ;
PartNo 00 ;
Date 09/06/2017 ;
Revision 01 ;
Designer ESIME ;
Company IPN ;
Assembly None ;
Location ;
Device g16v8 ;

/* *************** INPUT PINS *********************/


PIN 2 = a ; /* */
PIN 3 = b ; /* */
PIN 4 = c ; /* */
PIN 5 = d ; /* */

/* *************** OUTPUT PINS *********************/


PIN 12 = S1 ; /* */
PIN 13 = S2 ; /* */
PIN 14 = S3 ; /* */
PIN 15 = S4 ; /* */
PIN 16 = S5 ; /* */
PIN 17 = S6 ; /* */
PIN 18 = S7 ; /* */
S1 = (!b&!d)#(!a&c)#(b&d)#(a&!c);
S2 = (!a&c&d)#(!c&!d)#(a&!c)#(a&!d)#(!b);
S3 = (!b&!c)#(!a&b)#(a&c)#(d);
S4 = (!a&!b&c)#(!a&c&!d)#(b&!c&d)#(a&b&d)#(!b&!d)#(a&!c);
S5 = (!a&c&!d)#(a&!c&!d)#(!b&!d);
S6 = (!b&!c&!d)#(!a&b&!c)#(b&c&!d)#(a&b&c)#(a&!b&!c)#(a&!b&!d);
S7 = (!a&!b&c)#(b&!c)#(b&!d)#(a&b)#(a&!c);

SIMULACION PROTEUS

Ventajas

Representan menor costo para el fabricante


Reemplazan algunas funciones de TTLS y CMOS
Reducen espacios en los PCBS
Contribuyen al ahorro de energa

Aplicaciones

Cualquier circuito de aplicacin especfica puede ser implementado , siempre y


cuando esta disponga de los recursos necesarios. Las aplicaciones donde ms
comnmente se utilizan los DSP (procesamiento digital de seales), radio definido
por software, sistemas aeroespaciales y de defensa, prototipos de ASICs.

sistemas de imgenes para medicina


Sistemas de visin para computadoras
Reconocimiento de voz
Bioinformtica
Emulacin de hardware de computadora
Cabe notar que su uso en otras reas es cada vez mayor, sobre todo en aquellas
aplicaciones que requieren un alto grado de paralelismo.

Existen la aplicacin de control como

Control de un motor a pasos utilizando GAL16V8


Decodifiacador de tecaldo con GAL6001
Contador/decodificador de 0 a 9 para display de catodo comn de 7
segmentos con GAL6001

Conclusiones
Las GAL tiene una arquitectura similar a la PAL

Los GAL se pueden programar, borrar y reprogramar

Son muy tiles en la fase de prototipos

Los errores en el diseo se pueden corregir a partir de programacin

La gran ventaja de estas herramientas es el de hacer los diseos en la


computadora, donde los errores son fcilmente detectables y corregible s.

En los PLDs no reprogramables la sntesis de las ecuaciones lgicas se realiza


mediante quema de fusibles en cada punto de interseccin de los pines de entrada
con las compuertas. En el caso de un GAL es bsicamente la misma idea pero en
vez de estar formada por una red de conductores ordenados en filas y columnas

Vous aimerez peut-être aussi