Vous êtes sur la page 1sur 82

UNIT 2

MICROELECTRONIC TECHNOLOGY FOR MEMS

Microelectronic technology for MEMS, Micromachining technology for MEMS,


Micromachining process, Etch stop techniques and microstructure, Surface and Quartz
micromachining, Fabrication of Micromachined microstructure, Microstereolithography.

*******************************************************************************

MEMS AND ICs FABRICATION CYCLE:

1|Page
D. Lohitha; Asst.Professor ;Department of ECE
First we have to design a particular MEMS device and the steps are as follows. First we make
the solid models of the device and the solid model means, we were going to use a certain material
may be that is crystalline material or that may be amorphous or some other ceramic materials. So
that material we first model it and free from the geometry. That means that particular material may
not be a regular geometry or regular shape.
So in irregular shape body or material, simulation is little bit difficult and only the numerical
only you have to use the numeric tool is the only technique by which we can simulate any kind of
the irregular shape or free shape body. So that modeling is to be done first. Then I have to couple
many other properties, because MEMS involves the electrical, mechanical, fluidic properties also.
So then we have to use certain simulators and using that simulator you couple the electrical,
mechanical, fluidic, kinematic properties also. Then, with that, this 3D solid model basically the
finite element model we have to mesh it and we have to define the size of the mesh. Then you
couple these properties with that particular solid body then we simulate it. The next is the making of
the layout. After making the layout of the devices, MEMS devices, then we have to go for
generation of physical mask or direct right pattern. So direct right pattern sometimes we use it for
making the master mask and then we get step and repeat camera and using that we get the regular
mask which is used for fabrication.
Now once the mask is fabricated, then design part is over. Then we come to the fabrication
fab lab and there it starts from the crystal. So it is basically first step we have the material in case of
silicon, the single crystal silicon is used. This single crystal silicon is grown and polished and then in
case of MEMS technology, the mainly we require the deposition of material, patterning of the
material and removal of the material.
Then we have to transfer certain pattern. That is known as a pattern transfer. Using the
lithography technique the pattern is and after transferring the pattern on the wafer, then selectively
you have to remove some of the materials. Removal of selective of the material and that is basically
the etching or machining. So maybe once, twice, thrice, so repeatedly depending on how complex is
your process. So then next job is the probe testing. After using the probe art machine, you have to
test.
After probe testing, then you have to do the sectioning. Sectioning means small pieces you
are getting from the whole wafer and then is the individual dye. Individual dye is put on the package
base, and the wiring from the bond pads to the external leads. So that connection is known as
assembling and after the bonding is over, then we have to seal the package. Package sealing is being
done. After sealing then you have to go for a final test.

MICROELECTRONIC TECHNOLOGIES FOR MEMS

Semiconductor sensor technologies:

Crystal growth
Thin film deposition
Pattern transfer/ Lithography
Etching of Materials
2|Page
D. Lohitha; Asst.Professor ;Department of ECE
Doping semiconductors
Metallization
Bonding and packaging

Now, there exists conventional methods, those conventional process or steps are taken from
the VLSI technology steps. Those are crystal growth, thin film deposition process, pattern transfer
lithography, etching of materials, doping semiconductors, metallization, bonding and packaging.
So all these VLSI steps are also used in MEMS.

Figure: Czochralskis technique

Silicon is melted nearly 1400 degree centigrade. There is a seed crystal, then this is a seed
crystal when you rotate it and pull upward so automatically from the seed the crystal will fall and
the orientation of the crystal where it is 100 or 111 and 110, all things depends on the seed crystal.
If you take 100 seed crystal, the crystal will form according to that orientation. So after slow
pulling and rotating and after certain rotations is being given so that you can get uniform diameter,
that is called ingot.
Side cooling is also very important. So when you are cooling the melt, so automatically lot
of impurities, may be there in the melt which cannot be dissolved. Those impurities atoms by
segregation they will come into the hottest zone from the cold zone. As a result of which if you
slowly cool down, from the cool zone it comes to the hotter zone and at the end of the process,
those particular end, few slices we can reject it, so that the other portion will be pure. We will get
the pure crystal.
So after getting the ingot, next is to make slices. The ingot is placed by using the diamond
cutter slicing is done the ingot is made into thin slices. After making thin slices, it is basically
transported for polishing. By using certain fine green powders and may be some fine green carbon
particles also is used for polishing along with some fluid and just using 3 4 wafers and certain
rotation was given using certain carbonate, small particle carbon, the diamond particles is another
3|Page
D. Lohitha; Asst.Professor ;Department of ECE
which helps in the cleaning or polishing material. We can use those to get the polished single
crystal silicon.

Thin Film deposition:Spin casting

Figure: Spin casting technique


After getting wafer, we can process the wafer. Next step is thin film deposition. So thin film
deposition, one technique is known as the spin casting technique. So spin casting technique which is
used earlier, will not give you very good quality of the crystal, even then so this is used in some
cases where normal deposition facility is not available. Through the nozzle we will eject some of the
liquids and chuck is rotated at a high speed. So that using the centrifugal force, the solvent will
spread and at the same time, the solvent will evaporate. A total mixture will spread and solvent will
evaporate and as a result of which at the end we will get the film casted film only. There is some
sort of spinner arrangement which is used for thick film. A photo resist coating similar kind of
things is used. So here, one thing is the material must be in a liquid form otherwise you cannot
spread over the entire slice.
This particular thing has certain problem, this type of film have a high stress value. It will
have less dense and more susceptible to chemical attack. The reason is that, when are you spreading
the film over the chuck, by rotating chuck we are putting the liquid, then at the same time
evaporation takes place. During the evaporation of the solvents so it leaves some pores. Because of
those pores the film will not be highly dense and at the same time when you subsequently used
those films through the pores some other gases may enter and it is susceptible to chemical attack.
Because of its less dense. So that is why this particular technique is not that much popular
technique although is used in some typical cases.

Thin film deposition: Evaporation


We will load certain wafers into high vacuum chambers which are commonly pumped with
either diffusion pump or a cryo-pump. We need vacuum chamber because vacuum chamber is
required to reduce the contamination from the environment. At the same time if you evaporate any

4|Page
D. Lohitha; Asst.Professor ;Department of ECE
material in vacuum its melting point and evaporation temperature will be less. So now if we use
vacuum chamber, we have to use certain vacuum pumps and those pumps are two kinds; one is oil
pump other is oil free pump. In earlier days we used to depend only an on oil pump that is rotary
pump or diffusion pump or turbo molecular pump. But now days a separate class of pumps is
available which you can use and there will not be any contamination from the oil. We know oil is a
source of hydrocarbon contamination. So if we use pumps which uses oil, there is a chance of some
contamination of hydrocarbon into the vacuum chamber or into the film.
So now-a- days most of the vacuum chambers in VLSI laboratory, they use oil free pumps,
they are namely the cryo-pump or the molecular iron pump or the sublimation pump. The cryo-
pump, they use liquid cryogenic material basically liquid nitrogen, which basically condense most
of the gas molecules which can condense near temperature of the liquid nitrogen. Those will be
condensed and that will be observed by certain materials so automatically vacuum will be created.
In an atmosphere the major portion is nitrogen. So we can liquefy nitrogen and oxygen we will
liquefy before nitrogen. So if these 2 constituents are liquefied, then automatically in atmosphere
most of the gases are gone. So pressure will go down, this is the basic principle by cryo-pump. After
then we can use the iron pump and then sublimation pump for very high vacuum level.
So now in this evaporator one is the vacuum chamber to be used which is evacuated by
certain pumps. Then the next thing we need a crucible and on which you put the material and by
applying certain electrical power we can evaporate those materials. The materials will melt in the
crucible and this crucible is heated by means of embedded heater and an external power supply and
when you melt that crucible, then the material will be evaporated once it reaches its melting point
and it will be deposited on the wafer. So that is the basic principle. This is the basic working
principle of a simple evaporator.

On the vacuum chamber we will have a diffusion pump and the required vacuum is of the
order of 10 to power minus 6 to 10 to power minus 7 Torr, means 10 to power minus 6 to 10 to
power minus 7 millimeter of mercury and to have certain sample holding frame. There will be a
crucible and a shutter. When you raise the power of the crucible i.e heated crucible, it will start

5|Page
D. Lohitha; Asst.Professor ;Department of ECE
evaporation. So if we put the shutter, those evaporated material will not deposit. So temperature
raised at a high value, the advantage is no nucleation formulation.
Because if high temperature, complete evaporation melting uniformly will occur, so there
will be less chance of nucleation on the film. That is why sometimes this shutter is used and on the
same time when we got the desired thickness of the film, then we want to switch off the power
supply to the crucible. So if you gradually switch off even then since it is hot, some material will be
evaporated. So we put the shutter, the evaporant will not reach on the crucible. So automatically the
deposition will be stopped on the slice. So that is why this shutter is required.

Now, if the pressure inside the chamber is less than 1milli Torr. The vapour atoms travel in
the chamber, in a straight line until they strike a surface where they accumulate as a film. The
wafers are placed in the bell jar surface Which are charged means material there will be a a crucible
and pumps one is roughing pump is a backing pump. There is a diffusion pump, and a cold trap.
Cold trap means liquid nitrogen trap, in the diffusion pump the diffusion oil is evaporated and it
condensed back using some cold trap and when it condensed back so automatically it drags some of
the air molecules from the chamber and it is vented outside. So as a result of which the chamber will
be evacuated. That is the basic principle of the diffusion pump. The vent is required when you want
to make the chamber to atmospheric pressure. So you have to increase some amount of gas here. So
that inside outside pressure is same you can open the bell jar and you can take out the substance.
The pressure inside the chamber should be very low 1 milli torr or less. The reason is for
getting uniform deposition of the material on the surface, we need high vacuum. If vacuum is low
then means free path will be low. Because there, if vacuum is low there is a chances is high collision
between the evaporated molecules. Because of the collision the evaporated molecules will not
travel in a straight line path. If it does not travel in a straight line path so then the problem is the
deposition on the wafer will not be uniform. Due to the scattering among the molecules so the
deposition will be highly non uniform.
So that is why we need the vacuum inside the chamber to a high value so may be 10 to the
power minus 6 torr is very good vacuum for evaporation. So other point is evaporation system may
contain up to 4 crucibles to allow deposition on multiple layer without breaking vacuum. Similarly
3, 4 crucibles you can attach. So that without breaking the vacuum, so one material you can deposit,

6|Page
D. Lohitha; Asst.Professor ;Department of ECE
then you feed power to second crucible. So second material will be evaporated then you feed power
to the third crucible. Third material will be evaporated. When you want to evaporate certain
material, so the other crucibles are covered by the shutter. No contamination will takes place.
Complete vaccum evaporator system:
The wafers up to 24 can be suspended in a frame above crucible and the bottom circular
wafers are fixed on the wafer holder and the wafer holders are placed on the top of the chamber and
it can rotate. This individual wafers will rotate in its own axis of the holder and the 2, 3 holders
together it can rotate around the central axis of the evaporation chamber. That means we can get 2
axis rotation which is known as planetary rotation and the planetary rotation helps us to get uniform
film thickness on the surface of the wafer and this kind of arrangement is attached now days in most
of the vacuum evaporator system. The individual wafer will rotate in its own axis and the holders
also will rotate around the central axis of the evaporation chamber. Mechanical shutters in front of
crucible may help abrupt start and stop, at the same time alloy deposition is possible with this
particular machine.
There are 3 kinds of evaporation. One is known as the electron beam evaporation, another is
known as the RF induction heating evaporation and the third is the resistive heating evaporation.
a)Resistive heating evaporation:

In resistive heating evaporation the crucible we see the heated spiral or a dimple boat spiral,
both can be used. So this is a heating element. Normally they are made of either tungsten or
molybdenum. Because molybdenum or tungsten will have very high melting point. We can use
materials which melted below the melting part of tungsten or molybdenum and that is nearly 2000
degree centigrade. Now the source material is inserted into the crystal i.e., into the spiral here and
then if we apply the current, the current flowing through the coil, automatically it will be red hot. Its
resistive heating principal basically I square r is the heat generation. So it will be red hot and this
material will be melted and evaporated, this kind of arrangement is useful if the source is in the
form of rod or stick. But if the source is in the form of the powder, then this kind of arrangement
will not help you. In a dimpled boat arrangement central is a small boat where we can put the
charge, powder form charge and then if you apply the power or current to this boat, it will be heated
and evaporation will take place.
These are the basic resistance heated evaporation filaments. There is no ionizing radiation
takes place from this resistivity evaporation. In case of Charge requirement it is very small, so short
7|Page
D. Lohitha; Asst.Professor ;Department of ECE
filament life is advantage and in case of contamination from the heating element, the short filament
life is disadvantage. Because if we use frequently this kind of filament the current flow is not
uniform through out. So sometimes the some location of the filament will be excessively heated and
because of that, point will be the weak point and then it filament may break. The breaking will
happens mainly of two reasons.
One is that filament that particular portion will soft and the second reason is again thermal
expansion coefficient mismatch, if the temperature or heat throughout the filament wire is different
at different location. Because of that there will be the breaking of the filament, that is why life of the
filament is short and another disadvantage of this technique is contamination from the filament.
Because they melt the material which is evaporated that molted material will be in touch with the
filament either both or the spiral wire.
So some of the constituents from the filament will evaporate also along with the material. As
a result of which the film will be contaminated with the filament material that is disadvantage.

b)Inductively heated evaporation:

Now the second technique is heated an inductively heated evaporation. Crucible is made of
boron nitride material. Because boron nitride melting temperature is very high and not only that, it
is basically, if we use the inductive coil it should not be metal. It is a boron nitride which is
insulated . We have the molten charge in a crucible and the RF induction heating is used to melt this
molten charge. So the conduction or contamination from the crucible will be there. But one
advantage compared to the earlier process is that here we can accommodate more charge.
The volume of the material in the crucible is large compared to the filament which is used in
resistivity operation technique. So we can have evaporation for longtime in case of inductively
heated evaporation technique. So we can have a larger thickness of the deposited film on the wafer
by using the inductive heated evaporation and disadvantage is mandatory use of crucible and
another advantage is known no ionizing radiation.

8|Page
D. Lohitha; Asst.Professor ;Department of ECE
c) Electron beam evaporation:

A crucible is used here and a filament from which the electrons are ejected, and we have
accelerating grid and through which electrons are ejected and through deflection plates the electrons
are deflected using electrostatic or electromagnetic field. If you apply certain electric field, then
deflection will be there because electrons are charged particle. There will be a magnetic field which
is around the bending magnet, high magnetic field, so that the electron beam will be deflected and it
may be focused to a certain point and after focusing it that point is incident on the crucible. So that
means electron beam generation, then acceleration, then guiding. Guiding the beam, so through the
electrostatic deflecting plates or magnet, it will be focused to a point and this high energy electron
beam is incident on the charge and as a result of which locally heat will be transferred to the charge
and locally it will be melted so and it will be evaporated.
Now this particular focused beam if we scan over the surface only surface will melt and from
there evaporation will take place. The complete material is not going to melt, there is no chance of
contamination from the crucible. Because from the surface, only the kinetic energy of the electron
beam is transferred and because of that transfer of energy locally it is melting. Because of local
melting less contamination from the crucible. Almost no contamination from the crucible. The
advantage is we can use large source because depending on the capacity of the crucible, we can use
more materials for evaporation and we can have uniform thick metal film and the purity of the film
will be good compared to earlier two techniques.
So same electron beam can be used for heating the material from one hearth; another name of
the crucible is hearth from one hearth to second hearth to third hearth. So in that way one by one we
can just deposit the material and if we want to make alloy material, that is also possible then you
have two electron source here. There are two hearth, two electron beam source so different beam
will be incident on the different material. So automatically the evaporation will take place. So this is
the basic principle of the electron beam evaporation. Here the disadvantage, for accelerating the
electron beam we need very high voltage nearly 10 Kilo Volt voltage is required. So this 10 Kilo
volt acceleration voltage if it is incident on the aluminium.
For example on any metal they can produce x-rays. Because x-ray principle is a high energy
electron beam is incident on a target and from the target x-ray is emitted. So that means there is a

9|Page
D. Lohitha; Asst.Professor ;Department of ECE
chance of ionizing radiation in this particular technique. So the metal may be contaminated with
those ions which are basically x-ray or other rays may be emitted after heating this accelerated
electron on to the material. So the ionization radiation and another important point is that beam is to
be focused. So if that beam is not properly focused, there may be secondary ion emission from other
materials. So that secondary ion emission from other periphery material, it may contaminate the
film also. But it with proper care if we take, then we can get very high purity film using the electron
beam evaporation technique.

Sputter Deposition:
Sputtering was has got certain advantage. Sputtering technique will have better step coverage
than evaporation. Addition of magnetic field improves step coverage. This is important because we
see in case of interconnected materialization, the surface of the MEMS if we go machining at the
beginning, then it is not uniform or it is not plainer. So there will be lot of the ups and downs. So
this ups and downs means there will be a certain steps over the surface. There is a one demand or
need that all the steps should be covered by the aluminium or whatever material we are using the
material film. So that on the surface we need the plainer metal.
So the planarity is another important aspect when we go for deposition of any of the film. So
for ensuring the planarity we have to use certain techniques which cover all the steps. So this is one
technique is sputter deposition by which the step coverage will be better than the evaporation. It
induces less radiation damage than E-beam technique; in sputtering technique higher electron beam
is not used. So radiation damage is less that is advantage high deposition rate offered by modern
design. If we design the sputtering chamber properly, the evaporation rate will be higher.
Advantages:
It is capable of depositing and maintaining complex alloy composition, capable of depositing
refractory metals at high temperature, capable of maintaining well controlled uniform deposition on
large wafers. Now this the complex alloy composition or refractory metal because refractory metal
capability is one unique thing. Because we see the basic principle of the sputtering is the basically
we have to create certain ions, the ions will be accelerated there is positive ions not electron beam.
So positive ions why because it will have higher mass if you energize its impact will be more when
it hits on the surface. So as a result of which it will dislodge some of the materials from the target.
So that is the basic material, basic mechanism of the sputtering. So one point is the alloy
composition i.e., maintaining complex alloy composition.
So now if you make the target before hand with proper stoichiometric ratio, then if in sputter
deposition in the same ratio, the material will come out and it will deposit. But if we go for the
electron beam or the resistive heating technique, by using simultaneous evaporation of the material,
then controlling of the composition with certain stoichiometric ratio will be difficult. But in case of
sputtering, the target composition for fixed composition, fixed stoichiometric ratio composition we
can have and then if we use that particular target then in the film more or less we can ensure that
composition. May not be the exact composition of a target, it depends on the yield of the individual
components in the alloy. But we will have better the alloy composition compared to the earlier
technique.

10 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
Other than that, there are other advantages in case of sputter deposition. They are high energy
plasma overcomes temperature limitation. That is why we can have the refractory metal evaporation
because refractory metal, if we want to evaporate using the earlier techniques of resistive heat
heated or inductive heat evaporation, you have to increase the temperature to a high value. Because
refractory metals evaporation temperature is very high, melting point is very high. It is very
difficult, but if we use a sputter technique without raising the temperature to a high value, we can
deposit those refractory metals very easily. Now co-sputtering allows us to control the atomic ratio
of the species.
Two points are disadvantage of sputtering technique. One is a trapping of gas molecules
because in sputtering you are using some ions. Those ions are normally argon ions are used. So in
that, in the film may be some argon ions will be trapped. So because of the trapping of the argon
ions, the property of the film may little bit change, mechanical property also may change. And other
important point is a stress. Stress is another very important point of thin film deposition and this
stress depends on the specific sputtering condition that too is very critical to manage in case of
sputtering or sputtered technique.
Methodology:

-In the apparatus setup we will have a sputtering chamber. Basically the target will be on the
top and bottom is the substrate holder. The wafers are kept on the substrate holder and they are kept
in a vacuum chamber. All these sputtering or evaporation is done in some vacuum . Now if we
apply power, first the one will be the cathode, another will be the anode. Now the positive ions were
creating we have to keep the wafers on the cathode. So if you apply certain electric field in between
the two plates, the argon gas will be ionized. Ionization of the argon gas if the gas inlet is basically
that we normally use argon gas.
So if it is ionized, so the ionized means the depositive ion. So obviously if the target is
negative it will basically proceed towards the target. If the target is kept negative, so then it will
bombard on the target. So after bombardment the target material will come out and we are keeping
the wafers at the bottom, those materials will fall down and it will deposit on the wafer. So that is a
basic mechanizing of the sputtered system and one of the limitation here, the particular material to
be sputtered is made into a disk or target that is thermally bonded to the cathode.
The wafers, will act as a cathode and we have to thermally bond the disk. That means source
material, if we want to deposit it has to be in the form of the target. No powder, no rod, or no plates

11 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
is used in case of sputter. We to have certain target, so we have to prepare the target material first
then you can go for the sputtered deposition. So another important aspect is the gap between the
cathode and anode. So this is less than 10cm, we have seen argon plasma is sustained between the
electrodes. The closer the target to the wafer the higher the deposition rate. So these are parameters
of the deposition. One is the gap between the cathode and anode; another is the pressure inside the
chamber, vacuum inside the chamber, another is the ions density. That means we have to be in some
sputter chamber, the plasma i.e., the ions collection in a system is basically plasma.
So confinement of the plasma, argon plasma is another important aspect. If you confine
those, so plasma density will be higher. The deposition rate also will change and quality of the film
also will change. The gas pressure in the chamber is about 0.1 Torr. Plasma chamber is designed
such that a high density of ions strikes a target containing the material to be deposited. Simple dc
sputtering is used for elemental metal deposition.
For deposition of insulating material such as silicon dioxide, silicon nitride and R and RF
plasma is used because, for metal can be used as a cathode. We can attach with the cathode but if it
is a insulator, then very difficult for dc sputtering. Because we cannot get the negative field at the
insulator. Then we have to go for RF energy. So for deposition of the insulated material the dc
sputtering is not used rather we have to go for the RF sputtering technique.

Oxidation of silicon:

Oxidation of silicon is an important material which is used in case of microelectronic devices


as well as MEMS devices. Silicon dioxide is basically a dielectric material which is from silicon
reaction with oxygen or reaction with H2O molecules and that particular material formation is also
very easy by thermal technique and is a very good microelectronic material and this particular
material is used as a mask against implantation or diffusion of dopant into the silicon. That means,
mask means it will prohibit diffusion or implantation in that particular region.
When we open the windows which the implantation or diffusion will take place. So that
means silicon dioxide is used as a mask. Second is the isolation among components in IC. That is in
integrated circuit the silicon dioxide is used for isolation because this is a dielectric. So in between 2
devices if we want to isolate, so when we are making the transistors or FETs or whatever it is, so in
between the two device if we fill with the silicon dioxide, so automatically they are isolated each
other. So it is used for isolation also.
Third application is components in MOS structure. That is a gate electron silicon dioxide is
used as a gate material that is a component in MOS structure. Then it is isolation in multilevel
materialization scheme. In case of VLSI you know there are 3, 4, 5, 6 and 7 to 8 layers
materialization is used now days. So obviously from small layer to other layer you have to isolate.
So for that isolation we can use silicon dioxide as a dielectric material in between 2 metal layers for
isolation.
So that is one application of the silicon dioxide for multilevel materialization scheme
isolation. Then another application is anti-reflective coating for photodiode devices. It has got very
good antireflective coating, but it can absorb the radiation. So in case of photodiode or in case of
other optical devices it can be used for as an antireflective coating.

12 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
So these are the various applications of silicon dioxide and there are certain growth
techniques of silicon dioxide. One technique is known as the native silicon. Native silicon dioxide
growth that means if the silicon itself is converted into silicon dioxide, which is known as native
growth of silicon dioxide. Locally silicon is converted into silicon dioxide and that particular
technique is very much used. Because in that technique we can get very high quality dense silicon
dioxide and there are different techniques of native silicon dioxide growth.
One technique is known as the thermal oxidation and there is a one is a dry oxidation and
where we can use only dry oxygen and the dry oxygen is reacted with silicon, it will form silicon
dioxide is known as the dry oxidation. Second is wet oxidation, there oxygen species are the oxygen
molecule or H2 molecule. Basically H2 will decompose into hydrogen and oxygen and the same
oxygen will be used for forming silicon dioxide layer. So dry oxidation, wet oxidation, third is
steam oxidation, if we use only H2 molecule as an oxidation oxidation species then it is known as
steam oxidation. Here no separate oxygen gas is used. But if you use combination of oxidation and
H2O molecule, then it is called wet oxidation.
Next is pyrogenic oxidation. Here basically pyrogenic steam is used. That is hydrogen and
oxygen gas separately used and then it will form the H2O molecule and that H2O molecule will act
as an oxidation species. The difference between steam and pyrogenic is the steam, the water vapor
is used. But here the gases are used. The reason is that in water vapor there may be some
contamination. But here the high purity gas hydrogen, oxygen, if we use, there is no chance of
contamination. If we use H2O molecule, there is a formation of the pits.
Because H2O when it will decompose it will get oxygen on hydrogen, but if it is not as a
molecule H2O, it can create some nucleation on the surface. So as a result of which there may be
some defects and pits if we use high pressure steam. On the other hand if you use 3 high purity gas
of hydrogen and oxygen and if we form the steam high, pure steam inside on the surface of the
silicon wafer, then they will form both oxygen and H2O molecule and they will form native silicon
dioxide and then growth rate will be fast and purity will be high compared to the H2O or H2O steam
oxidation and wet oxidation.
Now days in most of the cases in VLSI they use pyrogenic oxidation. But only problem is
that if we use hydrogen as a separate gas entity, then handing of hydrogen gas is not easy. Because
hydrogen burns itself and if you use oxygen and hydrogen together to form H 2O molecule. So there

13 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
is a chance of explosion. So that is why in the total system there should not any leak and you have
to take a great precaution if we use the pyrogenic oxidation. That is why until and unless the safety
arrangement is assured the pyrogenic oxidation. One should not do it pyrogenic oxidation.
The another technique is high pressure oxidation that can be wet and dry because in other the
oxidation techniques may be done at atmospheric pressure but sometimes we need at a high pressure
oxidation because we need faster growth of oxide. So for faster growth of oxide if we increase the
pressure inside the chamber the growth rate will be faster. Because over a small time we will get
thicker oxide layer sometime it is also required but the quality of that oxide will not be as good as
the dry oxidation which is very slow.
So in some cases we may not require very good quality oxide, moderate quality oxide if we
need then you go for either steam oxidation or high pressure oxidation. There is another technique
which is known as halogenic oxidation. The halogenic materials are used that is chlorine and that
hologenic material will help to purify the oxide. Because in your system if there is any alkaline
element like sodium and potassium are there, the chlorine atom will react with that they will form
silicon or potassium chloride which is easily dissolved in water, so that the sodium and potassium
ions contamination can be protected by using some chlorine incorporation into the chamber. So that
is why in some cases, the halogenic oxidation is also popular in case of mass grade high purity
oxide growth and these are basically thermal oxidation techniques you are growing.
Another technique is deposition which is known as the anodization. Anodization is basically
the process of extension of the electrolysis process because there, 1 cathode and anode inside the
electrolytic cell and if we take water and decompose the water it will be H plus and OH minus. So
OH minus will go towards the positive electrode anode and there the OH minus, there with silicon it
will from SiOH whole twice and SiOH whole twice electron decompose with silicon dioxide and
hydrogen. So that is the electrolysis process basically we need an electrolytic cell and there you can
deposit the silicon dioxide not grown from the native that is difference. Here in other techniques you
are grown from the native silicon, but here anodization your deposition depositing the silicon
dioxide as a molecule on the surface of the silicon.
Si+ O2 SiO2(dry)
H2O H+ + OH-
Si+2(OH)- Si(OH)2
Si(OH)2 SiO2 + H2 (g)
Si + 2H2O SiO2 + 2H2(g) (Wet)
So these are growth techniques. Several reactions silicon oxygen, silicon dioxide, there is a
dry oxidation H2O H plus OH minus. This OH minus is reacted with silicon, it forms SiOH whole
twice this SiOH whole twice again decompose it will form silicon dioxide and hydrogen gas will
evolve. So total reaction is silicon plus 2H2O will give you silicon dioxide plus 2H2, this will evolve
and this will leave certain pores. So because we see if some H2O molecules is there, obviously the
hydrogen gas is to be evolved from the surface. So during reaction if the hydrogen gas evolves so
then the problem is during the ejection process of the hydrogen gas, it will leave certain pores into
the crystal.
So density of the silicon dioxide material will not be high if we go for steam oxidation, go for
wet oxidation. But if we use only dry oxidation using oxygen there is no residual gas, there is no
formation of hydrogen. In that case we can get very good quality silicon dioxide and density will be
14 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
very high less pores and those dry oxide oxidation technique is used in formation of the gate oxide
in case of most because, there you need very good quality oxide.

Chemical vapor deposition(CVD):


In chemical vapor deposition CVD the constituents will be some form of the chemical, so
chemical vapor. Chemical vapor will decompose to form certain layer and that layer may be
dielectric layer, that may be may be metallic layer. If we use metal organic compound then you can
get metal film deposition by using the CVD technique. CVD technique is very useful and very much
used now-a-days in integrated circuits and MEMS and basically it is defined as a formation of a
non-volatile solid film as a substrate by the reaction of vapor phase chemical that contains the
required constituents. We to have a chemical in vapor phase which will have that constituent and
that will deposit as a solid after decomposition. CVD is an extremely popular and is preferred
deposition method for a wide range of materials.
Applications:
Now what are the different kinds of materials we use in case of CVD technique is discussed
.In using CVD technique the one is a polysilicon film deposition in poly crystal silicon we can get
using CVD technique. Dielectric film like silicon dioxide, silicon nitride we can have. Single crystal
epitaxial growth that is also a CVD process. Single crystal silicon is known as epitaxial formation
that means, epitaxial means ordered growth we can get using CVD technique metal film deposition.
If we use organo-metallic compound like tungsten, molybdenum, etcetera, we can deposit using the
CVD technique, and these are the various applications.

CVD Reaction mechanism:


Now CVD reaction mechanisms involves first transport of the reacting gaseous species to the
substrate surface. Then Absorption or chemisorption of the species on the substrate surface. Because
those species after transportation we have, that has to absorb. Third step is heterogeneous reaction
catalyzed by the substrate surface. Next step is desorption of the gaseous reaction and products. The
byproduct desorption should be there rest of the gases transport of the reaction products away from
the substrate surface. So these are the 5 steps followed one by one in a CVD reaction chamber.
So lets consider a simple thermal CVD reactor system. We have a gas inlet, a susceptor on
which the wafers are kept and susceptors are heated. Susceptor means container of the silicon wafer.
So if we heat it then gas is flown on to the surface of the wafer. So in this reaction chamber at high
temperature the gas will decompose and the solid material will deposit on the substrate. The gases
used here are One is silane SiH4 gas form, so it will decompose first at high temperature SiH2 gas
plus 2H2 is also gas.

15 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
Then SiH2 it again changes to SiH2 a means amorphous and then SiH2 amorphous will give
silicon solid and H2 gas. So this is a reaction step. First SiH4 at high temperature decomposes into
SiH2, then SiH2 gas to amorphous then from amorphous SiH2 to silicon solid and hydrogen gas. So
after absorption, then the solid material is coming out and it is deposited. Deposition reaction occurs
at the surface of the wafer.
SiH4(g) SiH2(g) + 2h2(g) ==>at high temperature
SiH2(g) SiH2(a) Then SiH2(a) Si (Solid) + H2(g)
(a) refers to absorbed species

Low Pressure chemical vapour deposition:

ONA chamber

To achieve reasonable deposition uniformity the process is designed to keep the reaction
strictly controlled by deposition kinetics. So in this ONA chamber we can stack the wafer. This is
the furnace tube, the gas inlet we are ejecting gas means some reactant gases are coming up. This is
one reaction chamber and one of the advantage of this LPCVD is to prohibit the formation of
nucleation. So if we do the complete reaction inside a chamber which is at a low pressure, the
nucleation of the particle will not be there. If the chamber pressure is high the nucleation will be
there. The nucleation silicon means, Silicon 2, 3 molecule together form a nuclear and that
particular particle will deposit on to the wafer.
That means that is a defect. If we go for single crystal silicon we need a ordered growth
molecule by molecule. But instead of that if the silicon particles are conglomerated and 2, 3
particles together form a particulate and that particulate means, nucleation stops. So if one defect is
formed that defect will continue throughout the crystal and that crystal we cannot use. If we use it at
a low pressure CVD, so formation of the nucleation of the particles can be prohibited can be
prevented. So this is the low pressure CVD the technique and it is much better than the atmospheric
pressure CVD.

Lithography:
Lithography, called also photolithography, is a process by which we can transfer some
pattern from photographic mask to a resultant pattern on a wafer. That means transfer of any kind of
structure from mask level on to the wafer level is known as photolithography. In photolithography
16 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
process a photosensitive polymer film is applied on silicon wafer. This photosensitive polymer film
is known as photo resist.
This film is dried and then it is exposed with the proper geometrical patterns through a photo
mask to UV light or other radiation and finally developed. Instead of UV light in some cases we use
x-ray, electronic beam or ion beam. Accordingly, those techniques are known as electron beam
lithography or ion beam lithography or x-ray lithography. When we use UV radiation for exposing
the film, then it is known as UV lithography. When you use deep ultraviolet rays then it is also
sometimes known as deep ultraviolet lithography.
Now depending on the polymer used, either exposed or non-exposed area of the film is
removed in the developing process. That means in some cases we will find that exposed portion of
the film will sharpened it will be dissolved in developer solution. In some cases after exposure we
will see that this polymer film which is photosensitive has been hardened and is very difficult to
dissolve in developer solution. So there are two kind of photo resist; one is known as positive, other
is known as negative photo resist. Now the photolithotrophy process requires various chemicals,
gadgets and equipments. Those are namely photo resists, spinner, mask aligner, developer solution,
baking ovens, etcetera.
So photo resists are made sensitive either to UV light or electron beam or x-rays or ion beam.
But out of all these lithography, ultraviolet exposure source is very much popular and UV
lithography is very much used in most of theMEMS or VLSI process. Very few cases we require
ion beam or x-ray lithography where we need high resolution and is very small picture size, then
sometimes we go for x-ray lithography or ion beam lithography.

Photoresist:
UV Radiation UV radiation

tion
Photoresist

Substrate

Figure : Positive photoresist and Negative photoresist

Negative photo resist becomes less soluble in developer solution when they are exposed to
radiation. On the other hand positive photo resist becomes more soluble after exposure. In the
diagram the positive photo resist and negative photo resist behavior is shown. Now we see the
mask, it is a black portion here. We have substrate upon which photoresist material is placed. Now
if we expose the side by side negative and positive photo resist, the photo resist coated substrate
using the ultraviolet light with the same mask, then the pattern will be different. Now here the left
side of the picture you can see, when it is exposed, so this portion, red portion will not be exposed
with ultraviolet light and this will be hardened and unexposed portion will remain as soft.
As a result of which after developing, this portion photo resist will remove and we will get
windows with the black region on the top of this particular window. Now here in the right side same
mask is used where the exposed portion, for example here and here the photo resist becomes more
17 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
soluble and then it will be deserved in developer solution. As a result of which you will get only this
portion after development and exposure. So the last we find that if we use positive photo resist is
state of negative, we will be getting this structure which is complimentary to the structure obtained
in case of negative photo resist.

Pattern Transfer:
Step-1: The first step is preparation of the photo mask.
So photo mask making that is first step, for that we have certain information which contains
the geometrical feature corresponding to a particular mask and that geometrical feature is
electronically entered with the help of layout editor software. There are various kinds of layout
editor software are available. One such name is LASI layout editor. We can design the pattern and
electronically transfer onto a mask making machine. Then according to the data the mask making
machine will expose onto an emulsion or chromium coated substance or a mask plate. So
depending on the data enter into the pattern generator, the mask plate will be exposed and after that
if we develop those masks plate, then we will be getting this structure on that particular chromium
plated or emulsion coated glass plate and your mask will be made.
In some cases we initially prepare the reticle of the mask and then by step and repeat camera
we can duplicate those patterns in multiple numbers, so that we can get at the same type, may be
thousands and millions of chips will be exposed on the same wafer. So in VLSI process first we
generate the reticle. Reticle means single chip mask. Then that is repeated in different camera which
is known as step and repeat camera and then we can have the full mask which contains millions of
chips. So first step of any kind of pattern transfer is to make the photo mask. After making the photo
mask then you go for pattern transfer onto the wafer.

Step-2:Transfer the pattern from the mask plate onto the substrate.
It uses spinner photo resist exposure tool developer solution and sintering oven

Step-3:Alignment and exposure.


In case of micro sensor or MEMS devices, we sometimes require lithography on both side.
With the top side and bottom side because sometimes we want to etch certain windows with respect
to some structure at the back or on the other hand. We want to open some windows at the back side
of the wafer with respect to certain structure in the front side. So this kind of alignment lithography
is not required in case of VLSI fabrication. But in case of micro sensor fabrication we need this kind
of double sided alignment technique.
So we have to use a different kind of mask aligner machine which is known as double sided
mask aligner machine. So that we can align either front side or back side with respect to the other to
create certain microstructure for your specific application. So that, here some difference is there, so
far as VLSI lithography is concern.

Step-4: Developing.
After exposure of the film we have to develop just similar to the photographic plate
developing, either the soft portion or the hard portion depending on which kind of photo resistor
18 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
going to use. So that we will be deserved and then we will get the pattern and after getting the
pattern then we sinter whole thing then we go for etching to create certain windows or the structure
finally. So that is the total the transfer process from mask level onto the wafer level.
Step-5: Etching

Now this is a pictorial diagram using both positive and negative photo resist to get the pattern
from mask level to the wafer level. So here we can see the first is silicon wafer on top of that silicon
dioxide and on top silicon dioxide we have coated with photo resist. Now this is a photoresist then
silicon dioxide bottom is the silicon and now mask plate is aligned onto the photoresist film and on
the mask plate we can see this portion is a black and rest of the portion is transparent and this is the
opaque. Now through the black region which is opaque the ultraviolet light cannot pass and through
the transparent region it will pass. So now if you use positive resist or negative resist then the
structure will be different. Now we can see the positive photo resist case where the transparent
region, particularly this portion when it is exposed. So this portion the photoresist will be softened
and in the developer solution, this portion photoresist will be removed and only the black region this
particular region photoresist will remain.

19 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
On the other hand if we use negative photoresist, so under exposure of ultraviolet light this
portion will be hardened. Only the black region the photoresist will not be exposed by ultraviolet
light. As a result it will remain as soft and in developer solution photoresist will dissolve. Now in
positive resist in the same mask we will get this structure in negative resist when the same mask will
other kind of structure shown in figure.
Next step is to etch the film. So during etching the silicon dioxide the particular region it is
covered with silicon dioxide and silicon can be etched where is covered with photoresist then silicon
dioxide can be etched here. Now through the photoresist window we can etch silicon dioxide. As a
result of which we can get windows, on silicon. Similarly the complete silicon dioxide film will be
etched and the covered region the silicon dioxide will remain. So in the same structure if we go for
positive or negative such kind of pattern we will get after development and etching.

Lithography using Lift-off technique:


Another kind of photolithotrophy technique is known as lift-off technique. So lift-off
technique is a special technique where you do not require the etching solution of the film. So in
some cases we may not know the etching solution. For example if you are going to design or
pattern, a tungsten film or molybdenum film or some other, say ceramic film whose etching solution
is not known. But you want to pattern it, so in that case the ideal technique is to use lift off
technique and lift off technique is totally different. We pattern the photoresist instead of normal
photolithotrophy. First we deposit the film or silicon dioxide you grow it or in case some metal we
first deposit metal and then we coat photoresist, then you go for patterning. But in case of lift off
technique we do not deposit film or grow silicon dioxide. First we coat with photoresist and we get
the pattern. Then we will deposit film, then the photoresist is first deposited for photo masking and
pattern and then metal film is deposited and lifts off technique follow with the initial photo masking.

Figure: Subtractive and additive methods of pattern transfer

In normal photolithotrophy is known as the subtractive method and lift off technique is
known as additive method of pattern transfer. So subtractive method film substrate, then mask. For

20 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
example the mask is the photoresist and the film say silicon dioxide for example. So now the mask,
then we can etch the silicon dioxide. You can remove the photoresist, you can get the pattern. This
is the silicon dioxide pattern. Now this is a normal, but in case of additive on the substrate instead of
depositing film we first deposit mask. The mask means photoresist. First film may be either
aluminum in case of metal or it may be silicon dioxide. So we have coated first. First it is coated
with photoresist and then on photoresist you pattern it and after that we deposit the dissolved metal
silicon dioxide.
Not initial silicon dioxide, in photoresist, first photoresist is deposited, then pattern, then we
deposit either metal or silicon dioxide here, that is the film. Now what we do, after this we just dip
the structure into a photoresist removal solution. So if you dip the whole thing in photoresist
removal solution, You can see here the developer solution or the photoresist remover solution. The
photoresist remover solution will remove photoresist from this portion and from this region it will
react the first photoresist remover solution will react with the photoresist here and here.
As a result of which photoresist in this region will deserve and these two portions will be
floating and that will go away and you will get this structure here. So if both cases we got the same
structure here. But in this particular case you need the etching solution of the film. But in this
particular case we do not need the etching solution of the film. So this lift off technique is used in
some cases where the etching solution is not known or you know the etching solution. But that
etching solution will react with the photoresist film.
If that etching solution react with the photoresist film, in that case also photoresist will not
protect the surface. When you are going to etch the film here so then you will say photoresist will be
reacted and film also will be reacted. But in additive if you need something, some solution which
can react, which can dissolve the photoresist, but not the film. So that is in normally we use the
positive photoresist and in that case positive photoresist remover solution acetone. So here after this
step you just dip the whole thing into the hot acetone. So acetone will remove the photoresist and
you will get the structure here.

Metallization:

Metallization requires after completion fabrication of the individual devices. That means if
you fabricate, say some resistance or you can fabricate some transistors or diodes, then at the end
you need interconnection of these components or devices. For that you need a metal film and metal
film is etched and as a result of which you can get the interconnect lines. So now when you go for
metallization there are certain thumb rules to select the metal film which will be suited for your
application or your device.
1. Low resistivity or high conductance
Obviously the metal lines are used for interconnection or contact. So its resistivity should be
low. If it is not low, total interconnect line will give you certain resistance and that resistance will be
parasitic and that will reduce the speed of your circuit. Because adhesion resistance is coming into
the picture which is not desired.So in high speed circuit or any of the VLSI circuit which the metal
lines are required only for interconnects. So their resistance should not be incorporated. So low

21 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
resistivity should be there. That means high conductor and easy to form. For example gold,
aluminum are most choiceable material for metallization or any integrated circuits or VLSI.
2. Low contact resistance and non-rectifying contacts.
So metal films are used for contacts also. So the contact, that means the silicon and metal
contact, so that must be omit, non-rectify. If it is a rectifying contact, then at the contact position
unintentionally fabricating some diodes, which is metal semiconductor junction diode. So metal
semiconductor junction should be omit for ideal contact. So that all the metals with silicon will not
give you omit contact.
Some of the metal combinations with silicon give rectifying contact. Others is the low contact
resistance, because in VLSI or even in MEMS devices the contact windows are very small and in
some cases you go for say even 5 micron by 5 micron contact in some cases 10 micrometer by 10
micrometer contact. If it is a small it will introduce some contact resistance and that contact
resistance should be as small as possible. Otherwise additional parasitic resistances are coming into
the circuit.
3.Shaped and controlled as required.
Your metal film which is being used for metallization must be safe means it will be
lithographically compatible. So later that metal film can go for lithography process and it will be
patterned using conventional lithography. That is shaped and controlled as required.
4. Mutual solubility with silicon.
That means at the interface the metal and silicon will form certain alloythat is mutual
solubility. Otherwise contact will not be proper. So if that metal film does not mutual the does not
dissolve into the thin layer of metal, you will not get proper contact. It is very important aspect
when you are selecting a material.
5.Penetrates thin native oxide.
When you deposit metal film on silicon then always bear silicon you will not get it and a
native oxide will be there even you clean the wafer and then go for buffer hydrofluoric acid dip. So
when you are transferring the wafer into a vacuum chamber for deposition of metal film, during that
period a thin layer of native oxide may be in the range of 2 to 3 angstrom unit so that will be formed
and that native oxide is very difficult to remove from the silicon. So as on top of that, you are
depositing aluminum. So that means aluminum must penetrate to that native thin film of oxide.
Otherwise if it cannot puncture, you will not get good proper omitted contact. So the metal film
which you are selecting for metallization must penetrate to that thin layer of oxide.
6.Mechanical stability, good adhesion and low stress.
Good adhesion is obviously you require, low stress that means you are depositing metal film
on silicon. So silicon the metal and this metal film will run on silicon dioxide. So that means silicon
dioxide, silicon and the metal that must produce low stress. Because subsequently if you go for high
temperature step for annealing. So then after annealing when you are cooling down, so if there is no
compatibility on the thermal expansion coefficient, so a stress will be developed and if a stress
develops, so in metal film in some bump may create and you will not get proper contact there. So
because of the stress, so the metal film can bend and it can peel off from the surface, so that you
have to take care.

22 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
So that mean low stress is desirable, mechanical stability should be high. Because when you
are patterning so if100 or 1000 microns or millimeter length and with these of the order ofsay 5
micrometer to 10 micrometer, then these narrow lines in subsequent process must be mechanically
stable.So during subsequent process said those lines should not come out from the silicon surface.
So that is mechanical stable means it will not only adhesion, it should not, there should not be any
crack on the lines. So there should not be any discontinuity in the line so that mean mechanical
stability is highly desirable.
7. Metallurgically compatible with silicon other metals and passivation.
Metallurgically compatible means the equal form with that proper align with that silicon or
other metal. For example in multilevel metallization or multi-layer metallization sometime you
deposit gold on chromium sometime in between chromium, gold, aluminum you can use the
titanium as a differential layer.
So that means with titanium and gold it must be metallurgically compatible that mean it may form
the layer by layer it will show its own identity in the multi-layer environment. So those are known
as metallurgically compatible.
8. Should not contaminate wafers or working apparatus.
The metal which you are selecting should not contaminate the wafers or working apparatus.
Next point is minimal junction penetration and low electromigration. So the metal film should have
low electromigration. If you apply field electric field, then the metal molecules or item should not
migrate. Because electric field will be high because in VLSI metallization. If we even if we use
say1.2 volt supply or 5 volt supply, so if the lines are very narrow, so field developed in one end to
other end of the metal line will be very high. If it is exceeds 10 to the bar 6 volt per centimeter, then
there is a possibility of migration of the molecules. In case of aluminum metallization, aluminum
molecules will separate from each other.
So as a result of which there a chance of open circuit into the line and those aluminum
particles after migration if it falls on nearby metal line, so there is a possibility of short circuiting of
the first metal line in the neighbour metal line. So in due to the migration of the of the aluminum
molecule both open circuit and short circuit is possible. So that you have to take care of.
9. Minimal junction penetration.
That is very important if you go for shallow junction devices. If the aluminum penetrates
through the silicon because aluminum dissolves in silicon and it goes into deeper layer into the
silicon it may shocked the shallow junction. If the junction depth is not very high, there is a
possibility of shocking the junction. So that also you have to take care. That mean there are lots of
points which you have to take care of when you are selecting a certain metal for metallization.

Ion Implantation:
Ion implantation is another kind of technique by which you incorporate dopant in a
controlled manner. So ion implantation is a process of introduction of ionized projectile atoms
which we call impurity into targets and the targets here is silicon substrate with enough energy to
penetrate beyond surface region of a single crystal substrate in order to change its electronic
property. That is the definition ion implantation .Process is first the dopant atoms are vaporized,
23 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
then they are accelerated and then directed at the silicon substrate. That mean there are three steps
the dopant atoms are fast vaporized it has to be in wafer form then it has to be accelerated. So the
energy of the impurity atom will increase, so after acceleration then they are focused and directed
towards the silicon substrate where it will collide into the substrate and it will go deeper into the
silicon. That is the physical bombardment process ion implantation. Dopants enter the crystal
lattice, collide with silicon atoms,and gradually lose energy finally coming to rest at some depth
within the lattice that is the process. First collusion it will lose energy and during after losing
energy, it will settle down at a particular depth on the silicon that is the steps.

Features:
You can get precised control over the number of implanted dopants. The control of impurity
in ion implantation is of the order of plus minus 1 percent over the range of doses 10 to the bar 10 to
10 to the bar 17 ions per centimeter square. But in diffusion at best 5 to 10 percent at high
concentration and worse at low concentration. That means what is that? You can get the control of
impurity atom plus minus 1 percent in case of ion implantation. In parallel you can see in case of
diffusion the control is 5 to 10 percent. So much more precised doping profile, much more control
on the dopant atom is possible in case of ion implantation.
Another aspect, is a low temperature process. Ion implantation is a low temperature process.
It is done below 400 degree centigrade where diffusion is a very high temperature process and
normal temperature range is 900 to 1100 or 1150 degree centigrade and now days all VLSI process
people prefer low temperature because of the out diffusion of impurity.Because the problem of
rearrangement of dopant atoms and further diffusion which will clean the doping profile, that people
try to get read up and as a result of which low temperature process is highly desirable and then ion
implantation is one of the choices method of incorporation of impurity atom into the silicon.
Different kinds of profile you can get by controlling energy
Ion implantation is a non-equilibrium process. That means it can introduce impurity atom in
excess of solid solubility, which is not possible in case of diffusion. Diffusion maximum dopant
incorporation is up to it solid solubility limit beyond that you cannot do it. But in ion implantation
you can do it. The impurity atom makes it solid solubility limit. That is why it is known as non-
equilibrium process. Diffusion is not a non-equilibrium process.
Implantation is conducted in vacuum, so you can expect clear and dry process diffusion is
not in vacuum in normal atmosphere. So there is chance of incorporation of dopant impurity atoms,
other than the dopant atom. So it is a clean environment clean process is ion implantation.
Implantation energy rises from 1keV to 1MeVresulting in ion distribution with average
depths ranging from 100 angstrom to 10 micron. You can get 100 angstrom depth, diffusion depth
which is not possible in case of diffusion by controlling energy. So low energy ion implantation of
the order of1keV,2keV,5keV you can have very shallow depth junction. So now-a-days shallow
diffusion are all made by ion implantation, not possible in diffusion. On the other hand if you use
high energy ion implantation in the range of one MeV you can get higher depth. So in SY device,
they are silicon and insulated devices. So normally high energy implantation is required they those
kind of things you cannot get in case of the diffusion.

24 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
Annealing at elevated temperature is necessary to heal some or all of damages. So that is why
ion implantation is followed by annealing. Because during implantation some minor damages will
be created and those damages will be removed by annealing technique which is solid phase epitaxy.
So during the implantation process because of the damage, the material may be amorphous in nature
.If you go for the measurement of the sheet resistor, you cannot measure because it is amorphous in
nature. But after annealing it will be recrystallized, so easily you can get the single crystal layer and
then you can measure the sheet resistor by 4 point resistivity method. So that is one of the reason
just implantation. If you go for measurement of sheet resistor by 4 point resistivity method you
cannot measure it.

Annealing:
So the annealing is must, in case of ion implantation for removal damage and for re-
crystallization. Restoration of electrical activity because you mu, sigma, eta all will be restore after
annealing. Then furnace annealing causes appreciable redistribution of impurity, so people prefer
for RTA which is a rapid thermal annealing and it is suitable for shallow junction. Two kinds of
annealings are there; one is furnace annealing another is rapid thermal annealing. So furnace
annealing cause again redistribution of impurities. But it you use RTA, it means high temperature
very small time, may be 1 minute, may be 45 seconds. You can use for annealing at high
temperature say 800 or 900 or 1000 degree. So that will the heal of all damage and again it will re
crystallize and that is the prefer ion implantation followed by rapid thermal annealing.

MICROMACHINING TECHNOLOGY FOR MEMS

Micromachining is a process of setting silicon or other material to realize 3D mechanical


structures and these 3D mechanical structures may be moving or static. In a MEMS machining the
microstructures some times move, but in case of VLSI the structures are static. That is why it is
known as micro electro mechanical systems. Micro machining has become a dominant and
fundamental technology in the fabrication of microsensors, microactuators and microstructures. It is
basically a process by which you can get a 3D structure. Normally in IC technology we use 2
dimensional structures by either wet etching or dry etching. But in MEMS the structure will be 3
dimensional, so that you can make certain actuators or sensors in the complete micro system.

Echant Characteristics:
1)Direction dependency:
That means if you etch silicon, etch rate of the silicon is not uniform in all direction.
That means if you dip the silicon wafer into the etching solution, the vertical etch rate, a
lateral etch rate will in the silicon bulk we will not be the same. If it is same, then this is
known as the isotropic etching. If it is not same, then this known as anisotropic etching. That
means etch rate depends on the direction. On the other hand some times this etching is also
depended on crystallographic orientation. That means the etch rate of 1 0 0 plane of silicon is
not same as etch rate of 1 1 1 direction of silicon; crystal plane of silicon. So when the etch

25 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
rate of different crystal planes are different, then that is also known as anisotropy or
crystallographic anisotropy of etching.

2)Etch rate: Etch rate also varies with the concentration of the etching solution. It varies with
the temperature and many other things and this etch rate varies from 0.25 to 40 micrometer
per minute. In many cases we have to control the etch rate. So we need some times the etch
condition or etch bath temperature or mechanical stirring all has to be perfect means has to be
standardized to get certain etch behavior.

3) Anisotrophic etch rate ratio:


The etch rate of 1 0 0 plane of silicon is not same as etch rate of 1 1 1 direction
of silicon when the etch rate of different crystal planes are different, then that is also known as
anisotropy or crystallographic anisotropy of etching. For anisotropic etchant this may vary
from 1 is to 1 which is the isotropic to 400 is to 1 also depending on which type of the etching
solution we are going to use and what are the conditions of etchant bath we are maintaining .

4) Etch selectivity :
Etch selectivity is also very important aspect because if you want to etch
a certain a region, so you have to passivate the other region which you do not want to etch.
That means here, you are putting some passivation layer and those passivation layer will not
be affected by the etchants or etching solution. That is known as the selectivity. If you want to
etch silicon and if the passivation layer is silicone dioxide. Then when we put the complete
wafer covered with silicon dioxide, some portion and some portion no silicon dioxide. That
means the region we want to etch. So when we deep it into the solution, then the etch rate of
silicon will be very high compared to the etch rate of silicon dioxide in the same solution it is
known as selectivity.
So this selectivity is very important aspect when you want to make some
microstructures and that means, it depends selectivity is basically coming from the material
properties as well as the etching chemical properties. At on the other hand in some times we
can see that this etching is also dependent on the dopant. That means if a silicon wafer is
doped with for example say boron and if the concentration of boron are varying over the entire
silicon surface or say along the bulk of the silicon material, then we have seen that if the
doping is very high then the etch rate reduces, the etch rate is very small. On the other hand in
doping is very low doping so there are easily it etches. That means the etching behavior is also
dependent on the concentration of the dopants inside the silicon bulk material.

5) Temperature of Etching:
The etching bath temperature has an important role on the etch characteristic.
In most of the silicon or silicon dioxide etchants, they depend on the temperature of the bath.
In many cases we found if the temperature of the bath increases, its rate also increases. So that
mean etch rate depends on temperature also. So keeping in mind all these aspect we have to

26 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
design or we have to make certain process so that we can get our desired microstructure from
silicon. The process is known as micromachining of silicon.

Etching of Electronic materials:


Etching is a process by which patterns is transferred by selective removal of un-masked
portions of a layer which are masked, those portions will not be etched; which are un-masked; those
portions will be removed selectively in the solution.
There are two types of etching: 1)Dry etching and
2)Wet etching.
So dry etching and wet etchings are extensively used in IC technology also. In wet etching
we remove the un-masked layer by selective liquid etchants. That means wet etching is done in
some liquid solvent. On the other hand dry etching we do not use a liquid solvent, here we use
plasma. Plasma in the form of low pressure gaseous discharges is used to remove unmasked layer.
So these are the two kinds of etching.
Wet etching is a practical alternative for a high-throughput flexible production process.
Throughput means in a certain step, certain etching process, how many wafers you can etch at a
time. So in case of wet etching the number of wafers may accommodate in the etch bath depends on
the size of the etching chamber and it can be very large ranging from 50 to 100 wafers can be etch at
a time in case of wet etching. That is why in wet etching process the throughput is very high and it
is a very flexible production process.
Etch reactions with primary films are made thermodynamically favored over reactions with
other films by proper selections of etch chemicals. Here is basically coming the selectivity aspect.
That means primary film we want to etch secondary film which is the passivated layer is known as
the secondary film. So, primary film will be thermodynamically favored for etching, whereas a
secondary film is not favored for etching in the same etching solution. Most wet etch reactions
involve oxidation-reduction reaction.
Two basic wet etching techniques are :1)Immersion etching and
2) Spray etching.
Immersion etching is very simple technique and here masked or unmasked wafer is
submerged in etch solution. Mechanical agitation is given during the etching process. Because it
ensures etch uniformity and constant etch rate. That means in immersion etching you required a
huge amount of chemicals in which you can put the 50s or 100s numbers of wafer and it is
submerged, the all wafers are submerged into the solution and you have to apply in mechanical
agitation which give you etch uninformative. Because during etching sometimes some gas evolves
and the gas bubbles will stick on the surface of the wafer which will hinder for further etching
process.
So that is why a continuous mechanical agitation is established in the etching solution so that
the gas bubbles bubble cannot stay on the surface of the silicon wafer.Rather it will lead the etching
solution and it can be exhausted from the etch bath. So this is very important aspect and if you make
proper agitation then etch rate will be uniform and you can get constant etch rate.
On the other hand spray etching technique requires less volume chemicals and is faster than the
immersion etching. That means in spray etching we are not going to immerse the whole lot of wafer,
27 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
rather on the etch bath we spray the etch solution. So that the etch solution will fall on the surface of
the wafer and at the same time the etching will take place and because it is done or because of the
spraying. So what will happens, automatically some mechanical agitation is ensured. So in that case
we find that etch is etching process is very fast and here obviously you need less amount of
chemical.

Wet Etching process Spray technique:


Fresh etchants are constantly supplied to the wafer surface while the etch products are
continuously removed. That is one advantage of the spray technique compared to the immersion
technique. Since you are placing fresh solution, your wafers are freshening. Always the fresh
amount of the etch solution and the etched by products are continuously removed, which is not
possible in the immersion kind of etching. Good process control is ensured, etch uniformity are
easily obtained from the spray etching.
Recently attention has been given to wet etching because plasma etching fails to provide the
required etch selectivity damage free interface and particle contamination free wafer. So at some
point of time people preferred the dry etching process which is based on the plasma, blow discharge
of plasma. But later on we found that this plasma etching techniques are not highly suitable for
microlithography or micro etching process. Because that plasma creates some damage at the
interface which is not there in case of liquid agent either spray or immerse. So that is why nowadays
again people are giving much more importance in wet etching process compare to dry etching
process.

Anisotrophic and Isotrophic Etching:

Anisotropic etching, basically the etch rate etching is not done in all direction uniformly.
That means no etching in lateral direction and the pattern is transferred with perfect fidelity. On the
other hand the isotropic etching case vertical and lateral etch rates are always equal. That is etch rate
is independent of direction.
Now you can see the diagram, so one is anisotropic, here you can see the layer, one is mask,
the another is the film or structural layer and the last layer is the substrate.

Now in case of anisotropic etching you can see the vertical etch rate is more and there is no
lateral etch rate. So you can get the perfect fidelity here. But in case of isotropic etching we found
vertical etch rate and the lateral etch rate are same. That is why there is an amount of undercut,
below the mask in case of isotropic etching process. Now there is a parameter which is known as the

28 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
Af. You can see here Af is known as degree of anisotropic. Will define now the degree of anisotropic
which is 1 in case of anisotropic etching and which is 0 in case of isotropic etching.

Degree of anisotrophy:

Af = 1- (Rl/Rv) = 1- (B/2hf)
Where, Rl Lateral etch rate
Rv Vertical etch rate
B Bias
hf --> Film thickness
Degree of anisotropy Af is defined as 1 minus Rl divided by Rv where Rl is lateral etch rate
and Rv is the vertical etch rate and which is again equal to 1 minus B divided by twice hf where B is
known as the bias and hf is film thickness. Now for anisotropic etching we have seen that lateral
etch rate is 0. There is no lateral etch rate, only vertical etch rate will be there. So if the Rl part is 0,
then automatically the bias will be 0. So in that case Af becomes 1. On the other hand for isotropic
etching you can see lateral and vertical etch rates are equal. So if Rl is equal to Rv then obviously Af
becomes 1.
To make Af equal to 1 the bias has to be twice hf. So in isotropic etching, the bias is twice hf,
thus Af equal to 0. Now what is the bias? Bias means how much the lateral encroachment or say
under cutting below the mask layer. So the undercut below the mask layer is basically the measure
of bias. Now we found that anisotropic etching Af equal to 1 and isotropic etching Af equal to 0. So
two extreme cases, now in general a the anisotropic etching we found Af is in between 1 to 0 it is
always because an ideal case Af equal to 1 which is not possible. So it is always less than equal to 1
and is greater than 0. So that is the case for degree of anisotropic.

Etch Selectivity:

Etch selectivity means that some layer that is primary layer is favored for etching and the
secondary layer or mask layer will not be etched. So suitable etch recipe is to be searched so that the
film etches, that is primary films etches much faster than mask material or substrate. If you want to
etch the film only, so we need protection of the mask as well as substrate. Because after etching is
finished so then the etching solution will face the substrate so you want that substrate also will not
be etched. So that means we need the selectivity of etching with respect to the mask as well as with
respect to the substrate. That is why the etch selectivity is defined as film to mask selectivity and
other one film to substrate selectivity.
So film to mask selectivity is define by Sfm which is equal to Vf by Vm. Vf stands for etch rate
for the film and Vm stands for etch rate of mask, V is the etch rate, f stands for film and m stand for
mass. So etch rate of film and etch rate for mask ratio is known as Sfm which is film to mask

29 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
selectivity. On the other hand film to substrate selectivity is defined as Sfs which is Vf divided by
Vsubstrate which is equal to etch rate of film divided by etch rate of substrate. So both are important in
order to get perfect microstructure.

Film to mask selectivity:


Sfm = (Vf /Vm ) = (Etch rate of film / Etch rate of mask)

Film to substrate selectivity:


Sfs = (Vf / Vsubstrate) = (Etch rate of film / Etch rate of substrate)

Plasma Etchers:

Figure: Plasma Etching process

Plasma etching process we mention is basically dry process. Here the throughput is less.
Because you can see the Vacuum chamber. Here you can have to keep the wafers on this substrate
holder and the substrate holder will not contain large number of wafers like 50,100, is not possible.
So that is why here the etch throughput is less and here we use the plasma etching or reactive ion
etching process and with this we can etch silicon nitrate, silicon dioxide or polysilicon. Basically
there are two plates separated by a small gap.
Now if you apply potential, large amount of voltage between the two plates and if a gas is
inserted into the chamber, so then because of the electric field, the gas inside the chamber which is
normally use some organ in a gas or in some oxygen plasma. We can use oxygen or some reactive
gas also. The gas will be ionized in presence of the high electric field.
Once the gas is ionized they will start discharging and you can see the glow which is
basically the plasma and because of this glow, this plasma, it will hit the surface of the wafer and in
the plasma discharge process some of the material or primary film which you want to etch will be
removed from the substrate. That is the plasma etch process which is again a dry etching process.
30 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
Crystallographic Orientation dependent Etching:
Isotrophic Etching:
There is another definition of the isotropic, anisotropy with respect to crystallographic
orientation dependent etching. The isotropic etching removes material equally in all crystallographic
direction and it results in undercutting and uncontrolled etch feature. Because if in all
crystallographic direction the etch rate is same. So there is a possibility of undercutting which
means below the masking layer the etch will continue etching will continue, which is not a desired
phenomena in either IC technology or in MEMS.
Anisotrophic etching:
Another is anisotropic, isotropic etchant is basically the etch rate of silicon in different
isotropic planes are different. In some plane etch rate is much faster with respect to the other plane.
That means crystallographic dependent etching, where etch rates vary according to the type of
crystal plane exposed to the etchant.
` So that is hysterographic and isotropic. Certain example isotropic etchant for silicon is
hydrofluoric acid. Anisotropic etchant for silicon is ethylene diamine pyrocatechol which is known
as EDP. Another example of anisotropic etchant for silicon is potassium hydroxide, which is a very
common micromachining etching solution which is used in different laboratory. So potassium
hydroxide and EDP are being used quite a lot of time since over several years for getting the micro
machine structures in anisotropic etching process. There are very recently another anisotropic
etchant is used for getting microstructures that is TMAH. TMAH is highly CMOS compatible.

Acidic Etchants(Isotropic etchants) and Etch masks:


There are various kinds of isotropic etchant. Some are acetic etchants and one example is
hydrofluoric acid plus nitric acid plus acetic acid. HF plus HNO3 CH3COOH mixture, it does not
show any crystal orientation dependency. So if it does not show crystal orientation dependency,
then it is isotropic etchant. Another example is 1 : 3 : 8 ratio of HF, HNO3 and CH3COOH mixture
at room temperature. So it etches heavily doped there is 7.5*1019 per cc, heavily doped means
silicon between 50 to 200 micron per hour with a selectivity over lightly doped being 150. That
means if the doping is of the sort of 7.5 * 1019 per cc then etch rate is 50 to 200 micrometer per hour.
But if the doping is less than 10 to the power 17 per cc, so etching is much more and the ratio of
selectivity is 150 time more compared to highly doped region. Dopant dependent selectivity is
opposite of that of strong alkaline system. So in acetic etchant the behavior of the dopant dependent
is opposite to that in alkaline etching system.
Now acidic system can be used as complementary etchant to enhance the flexibility of
creating chemically etched structure. Acidic system etches SiO2 very slowly of the rate of 2
micrometer per hour. On the other hand we have seen that in silicon the etch rate varies from 50 to
200 micrometer per hour and since in acidic system silicon dioxide etches very slowly, so we can
use silicon dioxide mask as a mask material for brief etching time. But if we need long etching
process that means, if we want to etch silicon of the order of say 200 micron thick silicon n that case

31 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
silicon dioxide may not be a proper mask material then we use silicon nitrite or gold layer as mask
material for long etching times.

Anisotrophic wet etching of silicon:

Now here you can see a picture and this picture is basically anisotropic wet etching. The
yellow color is basically the mask material. Front side and back side are covered by a mask material
and then windows are open to etch silicon. Now the silicon is 1 0 0 silicon. We found after the
masking layer is removed from the desired etch portion then if we deep the whole wafer into a
solution, then we will found the 1 0 0 etches very fast compare to 1 1 1. So that we will get a slant
surface and the slant surface will make 54.74 degree with the top surface the slant etch will make
54.74 degree angle. It proves that etch rate of 1 1 1 plane is not same as 1 0 0 plane. But if the
structure depends on how much area we have opened for etching on the mask layer.
At some point of time in particular portion, there 1 1 1 plane has touched a particular point,
so that it looks like a v group. In the left side it will not a v group, may be after certain amount of
time it will end up with 1 1 1 plane and it will join and so that also it will take form of v group. Now
in the back side if you open the windows of etching to a largest end then you may end up till the
backside so that the etch feature or etch the group will be totally different.
Now if we make a boron-doped silicon membrane highly doped, so after reaching that
interface where the layer is highly doped the etch will automatically stop so that you can easily get a
membrane at the end of the etch process. Now here is another picture which is 1 0 0 and this is
basically the 3 dimensional view you can see and you can have a feeling how the structures looks
like after etching.
So the mask layer has been removed and in the same wafer, for example and then you can
see the cross section as well as top view so that you will have some idea regarding the shape of the
structure. That means, 1 1 0 will not have the same etch rate with 1 0 0 and we can see the shape of
the etch group is completely different from the 1 0 0 wafer. So that means this picture shows that
the etch rate is highly dependent on the crystallographic plane. So that you can get certain specified
picture of which we shape after etching.

32 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
Crystal planes of single crystal silicon:

Now in a crystallographic plane xyz 3 dimensional three 3D axis are shown here coordinates.
So now 1 1 1 plane is if we connect a line. So in x1, y1 and z1 and if you join these two points it will
look like that and 1 0 0. That means x is one, so total plane is parallel to yz plane, so it is 1 0 0.
Similarly 1 1 0 the x it is one here, y it is one here so it is basically parallel to the z axis. So this is 1
1 0 plane and how do we identify a particular silicon wafer whether it is 1 0 0 or 1 1 1. That is
basically identified with certain nomenclature. Manufacturer of the silicon wafers they have decided
to give certain shape of the silicon wafer. So that by observing that particular shape which are
globally accepted.
One can identify the type of the wafer and the cut only which crystallographic plane the
wafers are cut. For example p-type 1 1 wafer there is a notch here similarly p-type 1 0 0 wafer, there
are 2 notch which are perpendicular each other, one notch here, another notch here, which are
perpendicular. But in p-type 1 1 1 only one notch whereas in 1 0 0 p-type there are two notch.
Similarly 1 1 1 n type there are two notch which are at an angle and 1 0 0 n type similarly there are
2 notches are there which are not perpendicular to each other, it is in certain other location. But
from the physical verification of the notches one can easily identify whether the wafer is a p-type or
n-type, whether it is a 1 0 0 or 1 1 1 like this.

SILICON MICROMACHINING

There are two kinds of silicon micromachining. One is known as the bulk micromachining,
other is known as the surface micromachining. So bulk micromachining means the whole silicon
crystal, bulk silicon crystal we want to machine and we want to have certain microstructure. On the
other hand surface micromachining means on the surface of the silicon wafer you want to have
certain microstructures, not the whole bulk material is used.
So obviously the surface micromachining microstructure is much more sophisticated and
much more attention is required to get the microstructure. But in bulk, the structures are heavy and
we can have the larger structures heavier structures, by etching complete bulk solution we can get
the structures in case of bulk micro machine. So using single crystalline silicon wafer the bulk
material of the substrate along thickness direction is dissolved and etched by wet chemical etching
to realize various 3D micromechanical structures.

33 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
Bulk micromachining:
The specific features of the bulk micromachining after micromachining completed when we
get the micro structure the following points is to be ensured. The points are Mechanical properties
of bulk silicon are preserved. Mechanical property should not change means the elasticity its
Youngs Modulus, CR elasticity all those things should not change.
Device thickness is controlled by etching and diffusion. Because if we use a time etching,
so thickness will be different or by diffusion means the highly doped region will etch less. So that
means thickness of the device may be controlled by proper use, by proper diffusion. Proper
diffusion means Certain spaces diffusion spaces and the concentration of the dopants we can tailor
to different amount of concentration of the dopants so that the thickness of the device will be
different.
Alignment required for top and bottom side wafer. So that is one important to make
microstructure using bulk micromachining. That means when we are going to etch certain material,
so may be some portion already etched at the bottom and we want to etch some other location at the
top surface. So obviously top and bottom should be aligned properly, so that you can get the exact
etch behavior or to get exact shape of the microstructure. So alignment mark is to be given on top
and bottom. For that we have to use a special kind of machine for this particular purpose which is a
double sided mask alignment.

One example is shown how the microstructure can be fabricated. That means how a
membrane can be fabricated. The silicon wafer is oxidized to get silicon dioxide top and bottom, so
bottom side silicon that side is preserved. But top side we open a window by using lithographic
technique. Now after that we etch the wafer using a either KOH or DP solution so that silicon will
be etched and silicon dioxide will prevent the underneath silicon from etching.
So the etch will proceed so that we can get at the end of etching a thin membrane depending
on how long you have etched. But other regions are protected by the silicon dioxide. So in this way
a membrane can be made. Other microstructures made also bulk micromachining or cantilevers,
nozzles, silicon stencil mask, tuning forks structures; those can be made using bulk
micromachining.

34 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
550

Another structure using bulk micro machining techniques shown in figure. Different
structures are made by using the existing between the window size and the shape of the structure.
Now that relation is shown 55 degree is the slant surface, one surface with the surface that angle
54.740. Now if the window size is W0 and a after certain etch, the surface, the window ended with W
in the picture it is shown. The relation between W and is W0 is given as W = W0 - 2h coth(550). If I
put the value of coth hyperbolic 550 the relation becomes
W = W0 - 1.4h where h is the depth and
W0 width of the window on wafer surface.
and W is the window size after etch.
So this relation is valid and this is used for making a design or to making the mask for different etch
process an anisotropic etch process of silicon. Now in the right hand side there is a picture you can
see. That is a one surface this is anisotropic etching of silicon 1 0 0 surface window size is small
ended with the v look, window size b. So we will get this shape and 1 1 0 anisotropic etching case
you can have the same window, you can see same time of etching the structure is different.
Because 1 1 0 and 1 1 1 the etch rate ratio is different compared to 1 1 1 is to 1 0 0. In the c
diagram is an isotropic etching 1 0 0 where etch rate in the vertical and etch rate in the lateral
direction are same. So because of that you can get a complete round shape, one structure with the
window is like this and silicon dioxide mask material and then isotropic etching of 1 1 0. So both 1
0 0 and 1 1 0 are used here both isotropic etching and anisotropic anisotropic etching are also
shown.
So in that case in isotropic etching 1 1 0 it the shape looks like that for the same opening. So
that means the etch rate here is the shape of the h structure will be defined one by the
crystallographic plane selection and another is by the opening of the windows at the surface. Now
so this is the structure normally we use in many of the micromachining process, micromachining
technology.

35 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
TRENCH ISOLATION FOR SOI MEMS

SOI(Silicon On Insulator), is becoming very popular in many of the micro machine devices
which we exposed in many harsh environments. For example, in space or in hostile environment
where lot of radiations are there. So MEMS technology involves sensors and actuators we made by
using the micromachining technique as well as some signal conditioning circuits are integrated
together to get the complete microsystem . If microsystem is used in such kind of harsh environment
then we need such precaution so that the complete microsystem is radiation hard. So that means
after exposure of radiation nothing will happen on the performance of the wafer.

One example is shown where a DRIE(deep reactive iron etching) and trench etching is used.
The trenches is made by reactive ion etching and bottom is buried oxide, after etching silicon and
then at the oxide level it is stopped. After the making the holes then we need a dielectric lining. So
this dielectric lining we can make by TEOS lining. So the TEOS oxide we make it by CVD method
through which we can make a thin layer of oxide at the surface of the group. So after making TEOS
lining, then we have to refill the group using the polysilicon and that is done also by CVD process.
By CVD technique we fill the complete trenches it will not finish at the surface of the silicon wafer.
So some protrude will be there some extra portions will be there on the surface.

To planarize after refilling the polysilicon then we go for a process step which is known as
CMP (Chemical Mechanical Polish). So you have to chemical and you have to apply mechanical
energy also, through in that case the chemical minus 1.4 h is very useful for designing mask in
different kind of the microstructure.

Sensor fabrication after foundry of circuits:

Figure : Sensor using deep reactive ion etching


36 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
The sensor in the picture has been fabricated using this deep reactive ion etching that means
dry etching process. Normally the sensor a micro system all the circuits are made after making the
circuits then we go for fabrication of the sensor using the MEMS technology. Some transistors,
some interconnect lines are there in a trench isolation, some trench are made and filled with the
polysilicon( yellow color). Then releasing the mechanical structure and deep reactive ion etch stop
at buried oxide. Buried oxide is made before going to make the device then etch oxide beneath
MEMS structure.
So if you etch the oxide beneath MEMS structure, they will strip protective photoresist. So
you can get some a structures release certain structure which are movable. That means cantilevers or
flexures or some membranes which can be formed with external pressure or external vibration,
movable portions are made using this technique which means first you make the circuit and sensor
devices and then you go for etching. That means micro machining, so that you can a release the
structure as you desire. So this is one example of how the micro machining is going to use to get
certain structure which are not static, but which can be moved depending on our requirement.

EDP Etching :
EDP Ecthing has got certain advantage. One is it is highly selective over materials like
silicon dioxide, silicon nitrite, chromium and gold. So all these three materials can be used for
masking purpose that means it can be protected. This particular layer can be used for protection of
silicon where you do not want to etch that material, means silicon material. So it is very good
masking material and in this particular technique EDP etching etch stop technique is very simple; it
is not that much complicated. So these are the two basic advantages for EDP etching.

Features:
Etch rate of the silicon material depends on temperature composition of etchant and density
of atomic bonds on exposed silicon plane. Density of atomic bonds on exposed silicon plane means
it will depend on crystallographic orientation because in different crystallographic plane the atomic
density the silicons are different. That means the etch rate will be different for 1 0 0 oriented plane
crystal plane in silicon 1 1 1 and 1 1 0 the etch rate of these three planes will be different for getting
different structure and shape of silicon microstructure.
Now orientation size and shape of the oxide opening on the wafer surface determine the type
of hole formed. If you want to membrane or if you want to have the v group or want to have the
micro nozzle. So accordingly you have to shape the masking oxide material and silicon will
referential etch in different crystallographic direction. So as a results of which you will get different
kind of shape in the etch group. So that means your design or mask should be such that at the end of
etch process you will get your desired structure out of the silicon.
Now third one is very thin membrane of uniform thickness can be created by forming heavily
boron P plus layer. That means here the P plus boron layer will act as an etch stop layer.

37 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
Apparatus setup:

So in this diagram you can see the laboratory level EDP etching apparatus. It is not
commercial. What we use here, you can see your conical flask is here. So there we put the etching
solution and there is a wafer carrier which is held in a hook here and which is basically the
insulating rubber or some polypropylene or some other hard ceramic material, then some cork is
here. Now inside that the thermometer is there which can measure the temperature inside the
chamber.
Now the wafers are like this in this figure, it is just vertically you can dip the area of wafers
into to the solution. Now there are two other things here you can see, in the right side there is an
arrangement which is the condenser arrangement and the left is another arrangement which can give
you the liquid nitrogen will be generated here and those nitrogen will be flown into the etching
chamber.
Now that the etching of silicon in EDP is dependent on the temperature. That means ion
concentration of the etching solution. So to ensure that we have to have a heating arrangement, so
the heating arrangement is here in the heater and thermometer can measure the temperature, so that
you can adjust the temperature by controlling the heater and you can measure the temperature with
the thermometer. Now other thing concentration of etching is also important. That means you have
to ensure that concentration of the etching solution will remain constant throughout your etching
process. That means once you make the solution during etching the concentration may degrade of
various reason. Because of the reactions and at the same time since you are doing this etching at a
high temperat0ure in the range of that temperature is nearly 100 degrees centigrade, 100 to 110
degree centigrade.
So there some of the etching solution will evaporate and if the etching solution evaporates,
then automatically concentration will increase. So the evaporation has to be stopped. So for that
reason in condensational, arrangement has been made here. So whatever the etching solution will
evaporate, it will because this side is closed top and the only path is through this and when you will,

38 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
the vapour of EDP solution, when you will passes through that, is cold water circulation around that
tube. So again it will condense and it will come back to its original conical container.
So the evaporated EDP solution it goes through there again it condensed and it will come
back to its original location. So that, in that way we can prevent these going out of the EDP etching
solution and after evaporation at higher temperature and so that the concentration of the etching
solution will remain consta4bnt and another arrangement here, this liquid nitrogen evaporator has
been used here.
The reason is that, this particular etching is highly sensitive to the environment. That means,
environment means, if the etching the solution is done in open atmosphere, so there, it will have lot
of oxygen also along the hydrogen. So if oxygen is there, so this oxygen gas will oxidize the etching
solution, as a result of which the etch rate will vary in order to prevent the oxidation. What we have
to do? The complete etching process should be done; etching should be done in nitrogen
environment. So above the etching solution the space has to be filled by nitrogen for that the easiest
two techniques, either you connect a gas cylinder, nitrogen gas cylinder and you can pass nitrogen
through that. So that may be little bit expensive. So on easier technique we adopted in our
laboratory. That is a liquid nitrogen bath. So liquid nitrogen is very cheap .
So here what we have done, you allow the insulated thermocol chamber. There we put liquid
nitrogen and then A metal cover, this is basically cylindrical funnel so cylindrical funnel is inserted
and this portion is metallic. So what will happen at room temperature? So this, it is a metallic,
means highly conducting. So that means a room temperature a liquid nitrogen temperature is very
low, you know. So in outside, is a room temperature.
So you because of the temperature difference, the heat will be conducted from through the
hollow metallic cylinder into the liquid nitrogen. As a result of which the liquid nitrogen will
evaporate. So that liquid nitrogen through this cylindrical space it will flow into that, to this path
into these etching chamber. We do not need the high pressure nitrogen inside the chamber just the
environment inside the etching chamber should be nitrogen.
So that is why this is the very simple technique and using that if you do go for etching for
long time the consumption of the liquid nitrogen is not that much. So the nitrogen will flow slowly
into the chamber. So as a result of which inside the chamber it will be in nitrogen ambient and
temperature is controlled here, condensation you are preventing here, so this is the complete the
setup it is a laboratory scale setup and you can for EDP etching.

Echant composition:
Composition of EDP etching is:
50 mole percent water,
40 mole percent ethylene diamine and
4 mole percent pyrocatechol.
So if you calculate from their formulae, then you will get the 387 cc of the ethylene diamine
which is liquid, 55 gram of pyrocatechol which is solid and 112 cc of water is mixed and this
pyrocatechol is dissolved into the solution and that is the EDP etching solution and etch temperature
we used normally used here in 100 degree centigrade, etch environment is nitrogen etch rate of 1 0 0
silicon plane is found to be 25 micrometer per hour. That is the etch rate there.
39 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
KOH Etching:
EDP although it is a very simple process, masking of silicon is very simple in EDP etching,
but there are certain problems etching solution is highly toxic. So we should have very good exhaust
system into the etching room as well as the complete etching apparatus. What are the vapour come
it has to be exhausted properly. KOH potassium hydroxide is a very well known solution and is not
expensive and potassium hydroxide is a highly popular as silicon anisotropic etchant in
micromachining of silicon. The advantage of KOH is easy to handle. With KOH you can get a
smooth edge profile, but it attack aluminum metal. So aluminum metal or in some cases gold metal
also cannot be used for passivation.
In EDP you can use chromium, gold but not aluminum. Aluminum passivation is not allowed
either EDP or KOH. Next is much higher 1 0 0 to 1 1 1 etch rate ratio. That means anisotropic is
very high 1 0 0 to 1 1 1, the etch rate ratio is large compared to EDP, Silicon dioxide etch rate in
KOH is higher than EDP. That is one advantage. KOH is much useful to etch deep trenches in 1 1 0
silicon. If you want to have deep trench, so we need etching solution whose etch rate is relatively
high. So that advantage is than KOH. Now normally the KOH concentration is used 10 to 50
percent of KOH solution is used for the micromachining of silicon.
In KOH we sometimes add some organic chemical which is isopropyl alcohol and that
isopropyl alcohol will help you getting more selectivity. This particular solution will improve
selectivity, means selectivity with respect to what, with respect to passivation layer will masking
layer and silicon and at the same time selectivity is, that is we can say anisotropic between different
crystallographic planes.

Anisotrophic KOH Etching of silicon:


KOH etch selectivity of 1 1 0 over 1 1 1 crystal plane is much higher of the order of 500 than
that of EDP. As etch selectivity over silicon dioxide is less than 500 at various concentrations of
KOH silicon dioxide etch mask is not adequate for long etching. Here the silicon dioxide if we will
not protect the layer ideally, if you go for long etching. For example if you, for 4 inch wafer,
complete etching whose thickness is nearly 400, 500 micrometer then silicon dioxide will be
attacked by the KOH also. But for say 1 micron, 2 micron or even say 10 micron, 15 micron, 20
micron etching, it will not create any problem. In that case, another passivation layer is prescribed
for KOH micromachining that is silicon nitride. Silicon nitride is an effective masking film for
KOH etching.

Tetra methyl ammonium Hydroxide(TMAH) Etching:


The TMAH basically is a organic etching solution and this particular etchant has one biggest
advantage is that, it does not attack aluminum. That means after complete metallization of the
silicon wafer, interconnect lines has been pattern then we go for micromachining. So the aluminum
fine lines which are used for interconnection will never be attacked or never be disturbed. Because
of that reason, TMAH is CMOS compatible micromachining etchant solution. Many cases the
sensor and the signal conditioning circuits are fabricated side by side and they are integrated
together. In that case you can fabricate the CMOS signal conditioning circuit.

40 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
Then sensor definition and then at the end you can go for the micromachining or etching of
silicon. In that case you have to protect the CMOS chip interconnect metallization lines. So when
we are looking for a long time, for a particular etching solution which can be used in presence of
aluminum, which will not attack aluminum. Ultimately we found that TMAH is a very good etchant
alternative which is highly CMOS compatible and this is gaining considerable interest because of its
excellent silicon etch rate. Etch selectivity to masking layers even with aluminum film degree of
anisotropy and relatively low toxicity. Because it is an organic chemical etchant, so it is not toxic
also, that is another advantage.

Characteristics:
Influence of TMAH concentration is there on etching process. Quality of silicon etched
surface has to be studied. Because if you go for the VLSI realization along with the sensor
realization. So silicon etch surface quality should be extremely good. Smooth surface you have to
get for various reasons selectivity to aluminum lower 1 0 0 to 1 1 1 etch rate ratio.
Anisotropic etchant for silicon, anisotropic etchant means it is like selectivity over the
different crystallographic plane, low toxicity, highly selective to oxide and nitride compared to
KOH. The selectivity to oxide and nitride is more in TMAH compared to KOH. So that means you
can go for either oxide masking or nitride masking or aluminum masking or gold masking.

Figure: TMAH Etching

The surface roughness increases with the decrease of TMAH concentration. Here is a plot of
etch rate versus TMAH concentration. Over different temperature we did i.e,70 degrees, 80 degree
and 90 degree Celsius. The TMAH concentration increases the etch rate falls. That means at low
concentration TMAH the etch rate is higher which is normally not true in many of the etchant
solution. But at the same time if you decrease the TMAH concentration surface roughness increases.
So if we need high etch rate ratio as well as good surface we have to use use silicic acid and
ammonium persulphate as a dopant into the TMAH solution. Basically silicic acid, ammonium
persulphate has got different purpose or different action on total TMAH etching process.

41 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
Dual doped TMAH Etchant:
Silicic acid material is a highly helpful for aluminum passivation. It helps in formation of
aluminosilicate on the exposed aluminum layer. Although TMAH has got the property of not
attacking aluminum, but even then if you want ideal masking property, the aluminum should not
attack at all. Then you add little bit silicic acid, so that silicic acid with that TMAH it will form
aluminosilicate and a thin layer of aluminosilicate over the exposed aluminum layer will help
further passivation or masking properties of this particular layer. But it has got certain limitation.
Silicon etch rate falls due to lowering of pH of the doped solution. If you add silicic acid, then etch
rate will be little bit at a downward trend because, the adding silicic acid will lower the pH value of
the TMAH solution.
If you add silicic acid it decreases the etch rate. But in addition if you add the ammonium
persulphate, it will improve again etch rate. At the same time surface smoothness. Etch surface
smoothness is also an important criteria. So that we will get it by adding small amount of
ammonium persulphate and this ammonium persulphate is basically an oxidizing agent that
eliminates hillock formation on silicon surface. Because surface smoothness will be disturbed if
there are certain hillocks on the surface of the silicon and that hillock formation will be prevented
by ammonium persulphate because it is you know oxidising agent.

LIGA Micromachining technique:


LIGA is again bulk micromachining it is not conventional etching technique which is used in
EDP. KOH or TMAH is a altogether complete different technique for making microstructure. In this
particular LIGA process you can get very high aspect ratio 3 dimensional structures. Basically many
of mechanical structure which is used in watch are now being made with the help of LIGA
micromachining process. The complete name of the LIGA is lithographie galvanoformung and
abformung. These are German words and it English equivalent is lithographie is lithography.
Galvanoformung is electroplating and abformung is a molding. So LIGA basically lithography,
electroplating and molding.

Advantages:
Now it has got certain advantage over other techniques. Ability to create 3D structures as
thick as bulk micromachine devices while remaining the same degree of design freedom as surface
micromachining. Design freedom of surface micromachining and etch depth is similar to bulk
micromachining. Microstructures with feature sizes of several microns have been made with a
thickness in excess of 300 micron with the LIGA process. More than 3 micron thickness is easily
obtained by the LIGA technique.

Process:
Step-1:
First we take a substrate is a bottom. On the substrate you put a conductive, electrically
conductive layer. That means some metal plating has to be done at bottom. After that you coat
photoresist and that photoresist thickness is 300 to 500 micrometer. First your substrate has to be
coated with conductive layer because in the next stage you are going to form an electroplating. So
42 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
electroplating means some cathode anode will be there. So until unless you coat on conducting layer
you cannot use as either cathode or anode.
So whatever the substrate is used either silicon or ceramic or other material first you coat
with some conducting electrically conducting layer then you deposit the thick photoresist. A very
high viscous photoresist is to be used and PMMA polymethyl methacrylate is one such resist, SU8
is another resist which is used for LIGA process. So that a photoresist will give you a thick layer of
the film after spinning and drying. So now the PMMA of thickness greater than sometimes 500
hundred micrometer on this may conductive layer coated substrate is made.

Step-2:
Through the mask you have to radiate the PMMA with x-ray radiation. So x-rays are
collimated and it will penetrate to the thick resist in well-defined sidewall. The thickness of the
photoresist is 500 micrometer. So this 500 micrometer thick photoresist should be reacted with the
radiation. So for that you need x-radiation. So that x-radiation will penetrate through that thick layer
and complete reaction will take place that is polymerization will take place. So that is the X-
radiation you expose it, then there is a much contrast red color means through that X-ray penetrates
into the layer and then it reacted here and as a result of which it will be polymerized.

Step-3:
We have to develop, after exposing next step is developed. So you develop the desire
develop the photoresist after exposing. Then during development we can use either positive or
negative photoresist in this case positive photoresist is used. So where you expose, those such
portion will dissolve. So here also this portion where exposed by x-ray radiation has been dissolved
by developer solution of the PMMA. So then here hole has been formed.

Step-4:
43 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
Metal electroplated on the exposed conductive substrate surface. So metal is electroplating.
So after that the bottom is a conductive layer, you for electroplating. Electroplating will help you
depositing that particular metallic film into the groups as higher thickness. So long time
electroplating we can increase the etch rate, you can adjust the electroplating process based on the
variants. One is the concentration of these electroplating solution, another is the temperature and
another is a current. The current through that electroplating is basically electrolysis process. So if
you change the current, so the rate of deposition will also change. So by adjusting those parameters
you can get the thick layer.

Step-5:
The photoresist is removed only the metallic structures is there which is basically fixed on this
bottom metal plate. So now here the sacrificial techniques are combined with the basic LIGA
process to create partially freed flexure suspended structures or completely freed devices. Now this
can be used as a mold. This is one mechanical structure that easily can be made. Thickness is a large
and this hinges can be very small then the aspect ratio is very large so that can be made using the
LIGA process. Mask aligning and conventional machine is not needed lithography process is used
normally. Important feature which are different from normal lithography techniques are first is a x-
radiation, second is electroplating, third is a different kind photoresist you need it.

Process requirements:
Process requirements are x-ray lithography and thick photoresist PMMA or SU8, that is one
of the requirements. Second is electroplating with precious controls on current density. Because the
deposition on the metal, on the base plate depends on the current density, temperature, concentration
of the electrolyte solution, composition of the plating solution to avoid hydrogen bubbles which
may result fetal defects. So here all the temperature concentration composition will decide whether
hydrogen bubbles are coming from the deposition process. If hydrogen bubbles are coming more,
then automatically they will create some holes and that will form a defect into the mold. So the
rigidity of the mold will be less and it will be porous, the total mold which you got it. So that is why

44 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
you have to control or standardize the complete electroplating process by adjusting temperature, by
adjusting current density, concentration and composition of the plating solution.

Cast PMMA on metal base then x-radiation then after that develop the PMMA solution.
After developing you get the holes. Then is electroplate through PMMA and after electroplating this
photoresist is completely removed, dissolved then you will get this structure separate metal from
PMMA. LIGA micromachining process h is used now days for making mechanical structure, mostly
metallic structure. You cannot get ceramic structure out of that because the electroplating is only for
metal deposition. If you want to have, then you have to go for LIGA process.

Laser Micromachining:
Laser micromachining means we have to use high concentrated high power laser and laser
basically the laser ray if it is incident on some structure, micro explosion will take place and a result
of which it will evaporate or that will be ejected from the basic material. Laser can be used for
getting a mechanical structure irrespective of the materials used. It will not obviously just the
etching rate or removal rate of the material will be different for ceramic or metal or the
semiconductor. But any kind of those materials you can easily make using the laser micromachining
process. We are going to get the etch selectivity and we cannot do any masking also. Because the
laser material when it hits a material that will be removed.
So masking and mask aligner is not needed. Small microstructures is not done using the laser
micromachining, because, there you need the laser beams to be focus to a singular point of diameter.
May be sometimes less than of the order of micrometer. If you cannot focus there, so automatically
you cannot get very fine line. Basically the process is laser ablation using high power laser pulses of
short wavelength or nanosecond pulsed gas laser at 157 to 353 nanometer or femtosecond solid state
laser at 266 to 1060 nanometer. That is the wavelength of the laser normally used in case of laser
micromachining technique.

45 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
Etch stop techniques:
The etch stop basically will be defined as a region where wet etching or dry etching tends to
slowdown or halt is called is etch stop. So it may not be completely stopped but slowdown in a
drastic way so that is also called etch stop. One is a bias dependant etching and doping selective
etching. Silicon membranes are usually fabricated using etch stop technique of a thin heavily boron
doped layer which can be epitaxially grown or formed by diffusion or implantation of boron into a
lightly doped substrate.
That means the layer which is not be etched its conductivity has to be changed by diffusing
boron or implanting boron to a certain limit or you can create that particular layer by epitaxially
grown epitaxial technique epitaxial grown layer etch much more efficient compared to boron doping
or implanted layer. Because in case of epitaxial you know the uniform growth of epitaxial layer, so
that total layer thickness will be uniform to a great extent.
But if you go for diffusion or implantation, so the interface is not throughout the wafer or
throughout the area of the membrane may not be at uniform depth. So there is a spread because
diffusion profile you have seen is basically Gaussian profile you get it and in Gaussian profile the
exact interface you will not get it. There is some kind of slow change is there from doping
concentration. But epitaxial is soft from p type to n type of in throughout the entire area you will get
from p to n or n to p soft interface you can get compared to the diffusion technique. In that way that
etch stop layer if you create by epitaxially grown p-type layer then that will give you good result
compared to the diffusion or diffused or implanted layer.
Now etch process can be made selective by use of two techniques. One is known as DSE
doping selective etching and the other one is bias dependent etching BSE. DSE is heavily doped
regions etch more slowly, that is the basic principle of doping selective etching. Heavily boron
doping, if you go doping level for boron of the order of 10 to the power 19, nearly then the lattice
constant of silicon decreases. If the lattice constant of the silicon decreases, then automatically a
strain will be developed inside the lattice.
So the layer will be strained layer and because of that it will show some sleep planes. That is
one kind of defect. So because of that introduction of strain inside the crystal, those atoms cannot be
etched. That is the basic principle of the DSE, means doping selective etching and that strain or
reduction of lattice constant will occur.
If you select the doping level to a certain point, that is nearly 2 or 3 into 10 to the power of
19 or something like that I will so that card and the second method is a etching may be stopped
electrochemically, when observing a sudden rise in current through an etched n-p junction. That
principle is known as bias dependent etching or bias selective etching. So first one is a doping,
means you have to dope p-type with a certain concentration.
Second one is known as electrochemical etching. So electrochemical etching basically
etching will be chemical. But some electrical current is there in the etching bath and if this current
suddenly rise or suddenly decreases, then some etching stop or etching start will take place. So in
that case you have to have a p-n junction. PN junction the diode characteristics similar current
voltage characteristic you will get. There the current will rise suddenly, then after passivating, it will
stop sharply and then the etching will be also be stopped. So that is the electrochemical etching or
ECE etching.
46 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
Dopant selective etching:

Benefits:
High boron etch stop are independent of crystal orientation because, if you dope the layer
with high concentration of boron the reason of stopping etch is only the formation of strain inside
the crystal. So that is independent of the crystal orientation. So either its layer is 1 0 0 or 1 1 1 or 1 1
0, see after that the etching automatically will stop.
Second is smooth surface finish. You will get surface finished very smooth, there smooth
surface finished. Third is possibilities of fabricating, a release structures with arbitrary lateral
geometry in a single etch step. That means arbitrary lateral geometry, you can have some release
structure which can hang using the boron etch stop layer.

Limitations:
High levels of boron introduce mechanical stress into silicon and may cause buckling or even
fracture in a diaphragm or double clamped structure. As a strain is developed in the layer, so then
because of developing strain in some cases if you go for very thinner membrane, fracture may occur
and as a result of of the strain a stress also will be developed and because of that particular
membrane or the flexure, there may be a buckling effect. In some cases fracture also and fracture
means that particular structure you cannot go for micro sensor. You cannot use for micro sensor.
The second limitation is that it is not suited to stress sensitive microstructures that could lead
to the movement of structures without an external load. If your sensor is stress sensitive then that
kind of sensory cannot make using membrane which has formed by highly boron concentration
doping. Because of high boron doping concentration there is a strain there. But if it is sensitive to
strain your sensor, is strain sensitive sensitive then this kind of structure, this kind of technique is
not normally useable.
Third limitation is that if you dope the layer with high boron concentration, in that layer is
very difficult to fabricate. Some resistances which we need for piezoresistive effect or piezoresistive
pressure sensor or piezoresistive accelerometer. Highly doped boron layer you cannot make
resistance because whole membrane is highly doping, then you cannot get p-diffused resistance you
have to go for n-diffused resistance, n-diffuse resistance piezoresistive coefficients is less compared
to p.
So that is why those particular layer membrane or flexure or whatever you call it, so those
structure, in those structure you cannot have any circuit, small circuit or any resistances. Because
they need n epilayer on p substance. But if already whole layer is doped with p, they are very
difficult to make some circuits or may be some resistance you cannot fabricate.

Electrochemical etch / Bias selective etching:


Electrochemical etch stop technique, its another name is bias dependent etching or bias
selective etching. Let Now is an electrochemical cell the picture is shown here and in
electrochemical cell, this is one should be the cathode, another will be anode. So here silicon is
anode and the platinum is a cathode and you have applied a voltage Vc here. So if you apply voltage
Vc and this is the hydrofolic acid solution. So this forms an electrochemical cell. Now in this
47 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
particular case, we use the passivation technique and this is an attractive technique compared to the
boron doping for creation of diaphragm and membrane. Then what will happen in this particular
cellIf you apply the voltage Vc then that will happen. The silicon layer surface will accumulate holes
in this particular region, it will accumulate holes.

Applied voltage

+ -

5%HF

Si Pt

Anode Cathode

Figure : Electrochemical Cell

So if it accumulate holes, here in this particular case, so because this is positive, this
negative. So positive mean it will supply holes here. See if it supply hole then as a result of which in
this etch of solution if it is, this is electrode positive or negative so automatically the negatively ions
which is oxygen ions tech H plus plus OH minus, so OH minus will be attractive towards that and
as a result of which silicon and O minus they will form at a layer.
A thin layer of the silicon dioxide and those thin layers of silicon dioxide will be etched by
hydrofolic acid when it is etched. Because already holes are there in this particular region then again
here the layers are coming, this O minus answer coming from a thin layer of oxide again this is
etched by hydrofolic acid. So this, that means if you apply a positive voltage in the silicon it will,
initially it will form an oxide and that oxide is dissolved in the solution, clear. So that means bias
voltage at the silicon has some control on etching process even only silicon.
Because the hydrofolic acid will not etch silicon, it will etch silicon dioxide you know.
Silicon dioxide so the silicon first automatically converted into layer of dielectric silicon dioxide
then it is etched by etching. The normal etching etching of silicon is done in this way. So it is a bias
dependent etching, means the whole process is depending on the bias. Application of positive bias
voltage on the silicon. As well as how much voltage you are applying, how much holes are
accumulated at the surface, it dependents on that. So that is known as the bias depending etching.
But we know another always the hydrofolic acid is not never used hydrofolic acid is basically we
know is an isotopic agent. It is not crystallographic dependent agent.

Electro chemical etching(ECE) of silicon in KOH:


In electrochemical etching of silicon a voltage is applied to a silicon wafer(anode), a counter
electrode(cathode) in the etching solution. The fundamental mechanisms of the etching mechanism
are:

48 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
1. Injection of holes into the semiconductor to raise it to a higher oxidation state. If you apply the
silicon with positive terminal means anode, then injection of holes will be there and the
semiconductor will raise to its higher oxidation state Si plus.
2. Attatchment of negatively charged hydroxyl group OH to the positively charged Si. So, after the
attachment of negatively charged hydroxyl group OH minus, because in KOH solution we have,
both ions they are K plus OH minus, so the negatively charged hydroxyl ion, will be attached with
the Si plus.
3. Reaction of the hydrated silicon with the complexing agent in the solution. Dissolution of
reaction products into the silicon. The electrode to the positively charged silicon, reaction of the
hydrated silicon with the complexing agent in the solution, then silicon OH along with the K
potassium ion will form some complexing agent and that complexing agent will dissolve into the
etchant solution.
Etch stop mechanism in KOH solution:
I-V characteristics of the electrochemical cell is plotted for n-type and p-type. Now this I-V
characteristics is similar to a diode except that passivation potential which is known as a PP. The
Current will suddenly drops to 0 due to the formation of SiO2 by anodic oxidation. In case of the
reverse bias, after certain point if the voltage is applied suddenly current drops. Current drops means
in the surface of that anode some dielectic layer has formed. If dielectic layer is formed, then there
is no current path. So automatically the current drops. So the dropping current drops doesnt favor
etching.
As silicon dioxide is formed the direct current will be stopped. That silicon dioxide will not
be etched in KOH. So if you increase the potential of I-V type voltage to a value where there is a
chance of formation of silicon dioxide at the surface, then that is the killing effect. Silicon dioxide
forming means the current density falls drastically. Etching will not be there basically it is favored
by the positive ion accumulated at the silicon surface and because of that OH ion along with the
potassium OH and silicon, there will be formation of complexing agent and that complexing agent
dissolves in the KOH solution. This is the basic mechanism.
For example OCP(open circuit potential). Gradually in case of particular region both n and p
silicon is etched. Now at that particular point the n type layer oxide growth on n silicon and
passivation. When we are increasing the voltage when the voltage reaches at that particular point,
oxide has been formed here at that particular voltage, so because of that the oxide p or n silicon ,the
n silicon etching is stopped because sudden drop of the current. P silicon is etched because
passivation potential for n and p silicon is different. At that particular point it will stop etching of n
silicon. But p silicon will continue etching. That means if you have a p-n junction the p silicon will
be etching. But n silicon has been stopped etching. So that means one layer will etch other layer will
not etch. So you can get the entire membrane.

49 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
So here in this particular region, the p silicon is the etching n has stopped. But as soon as it
reached at that point, the passivation potential of p, also stopped, that is the p silicon layer converted
into oxide and it has been passivated so it has stopped. Next region both p and n no etching. But at
start the p will be etched and n has stopped. So in that way just by adjusting the potential we can
etch either p-layer or n-layer electrochemically and the p-layer and n-layer has been formed by
epitaxial technique or some diffusion or implantation technique. That means you can passivate n-
layer which you can passivate p-layer also.
By using this technique, lots of microstructures have been fabricated. In a nutshell the I-V
behavior is different for two dopant types.When applying a voltage between two passivating
potentials of n and p type, the only p type sample and not the n type would be etched. That is the
doping selective effect used in an etch stop. Second point, reverse bias leakage current in the
junction limits ECE etch stop process because the selectivity between n and p type silicon in this
process is achieved through the current that means blocking action of the diode. The reverse leakage
should be as small as possible.
Next is at OCP which is open circuit potential. There I equal to 0 little difference in etches
between n and p obviously, so at open circuit potential where current is 0 there. So there is almost
no difference of etching between n and p. Etch rate is not proportional to the current rather it attains
maximum at OCP I equal to 0 and slows down as PP is approached I is maximum eventually etch
stops when current drops.

ECE Etch stop mechanism in KOH solution:

Basically we have platinum electrode and a anode and here the silicon which you have to
etch that has to be a contact. We have ohmic contact because if you apply potential to the silicon,
ohmic contact has to be there. So before starting the etching process you have to make a contact
which has been formed here in this particular region metal contact. So now silicon is a positive and
negative is the platinum. Si is the p type and pt is the n layer. So now p will be etched it will take
the form like that.
As soon as the p and n type, so n will be stopped because if we apply the potential in a
certain value n type will not be etched but p type will etch if you select that potential. Then it will
continue etching the p type. So as result of which when it reaches the end it will automatically stop.
In that way you can easily make a thin membrane of control thickness and that will be decided by
the n layer which has been formed epitaxially or implanted or diffused it. Diffusion process net
reaction for dissolution of silicon atom would be like this.
Si+2H2O+2OH- --> H2 + Si(OH)2 (O-)2 . Silicate dissolves in KOH.
Fabrication of silicon membrane using EDP Etching:
50 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
First the growths of defect free thermal silicon dioxide. The thermal silicone dioxide will act
as a masking material. A removal of front side oxide for boron diffusion protecting the back side
etching. So that is, in this technique we are going to use the not EC but the doping selective etching.
So highly doped boron layer will not etch. So that principle is used here. So that is why first you
have to go for lithography and open windows and there you diffuse the boron atom to get a highly
doped region boron diffusion on the front side of the wafer to form etch stop layer. Boron
concentration is 1019 to 1020 atom per cc and in that doping level the resistivity will be 2 to 3 ohm
per square. So that is resistivity in that doping concentration.
Next step is opening of window at back side of wafer by photolithography. Front side, you
have diffuse boron. First you do photolithography for the front side, open windows and diffuse
boron, diffuse layer will be formed. Initially in the structure it will be oxide layer. Now go for front
side lithography, after front side lithography diffuse boron. So if boron is diffused like here. Then
when after p diffusion then you go for driving. During the driving again a thin layer or oxide will
formed here. That means this is completely covered, this is oxide. Now you go for back side
lithography.
When you do for back, go for back side lithography, then it is to be aligned from the front
side also. Because you have to open window here from a region to region. So you have to know
from the back side where p plus region is there. After that alignment then you open window. By
using KOH we can etch and we have diffused layer p plus. So it will ultimately etch here and then
whole thing oxide is removed, etching of silicon in EDP solution through windows. This window
SiO2 acts as a mask. This SiO2 will act as a mask whose etch rate in EDP is nearly 20 nanometer per
hour.

Fabrication of silicon Micro Nozzles using KOH:

51 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
Now we will discuss on fabrication on micro nozzles using KOH. We have silicon dioxide, etch
mask, silicon wafer and again oxide. Now window depending on that, the relation is W0 equal to
WB minus root under root t tSi, tSi is the thickness of the silicon. Depending on the WB the W0 can
be decided. So that slanting surface is one 1 1 1 plane. So in this way you can mask design, the
mask opening and if you make an area of that mask, so automatically it will be etched. But here etch
stop is silicon dioxide. We are not going to create silicon membrane you want to have through hole
so complete silicon can be etched. After that the silicon dioxide and silicon dioxide easily you can
see this silicon and silicon dioxide easily you can remove it and then if you remove it so complete
the hole will be formed here.So in that way if you make an array and if you etch like that you can
have an array of micro nozzle.

Process steps:

Silicon meet oxide both side of about 0.5 micrometer, so silicon dioxide has been formed
here. After that, using photoresist coating, then go for the front side lithography opening. Particularl
in the centre portion, then the oxide is removed you are getting window. After getting window,
porion by portion we go for etching of silicon. By etching of silicon we can get the v group and if
further etches it will end of with the holes. Now this silicon dioxide and silicon dioxide from top
and bottom are removed. Then you are getting whole orifice. If you want to passivate again silicon
dioxide 1 micrometer is a grown. So that, the whole thing is covered with silicon dioxide dielectric
layer so we are getting the holes.

Surface and quartz micromachining:


Surface micromachining is a direct extension of semiconductor manufacturing technology.
Direct extension means, it is coming from the normal etching which is used in VLSI processing.
Bulk micromachining is not a direct extension because in normal VLSI processes do not require the
etching up to 300 micron, 400 or 500 micrometer. But surface micromachining etching is in the
range of few microns 1 micron or 2 microns; in some cases may be 500 angstroms also. So that is
why we mention that these surface micromachining phenomena is a direct extension of
semiconductor manufacturing process because the etching dip are more or less same as the VLSI
52 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
process. Next point is it can manufacture devices an order of magnitude smaller than bulk
micromachining on the order of 50 to 100 micrometer. So that means the order of the
manufacturing devices you can made much smaller.
The reason is if you go for higher depth etching, then automatically there are some slant
portion will be there which is not etching, 1 1 1 like that, pyramidal structure. So for that you have
to allow certain space, but if you go for very small amount of etching in the range so that much
space you do not have to spend in the silicon surface. So in that way you can reduce the complete
area of device if you go for surface micromachining technology.
Next point is use a same wafer surface microelectronics. That means same wafer surface
microelectronic means, so wherever on the same surface you are making microelectronic devices as
well as the MEMS sensors. That means you are going to use same wafer surface for making the
structure as well as the microelectronic device means transistor, diodes, etcetera. That means it is
highly comfortable with the IC process because it is surface micromachining. It enables integration
of microelectronic and micromechanical components. In case of bulk micromachining structure
there are very uneven surface where directly interconnection of lines, interconnect lines from the
ICs and in mechanical devices cannot run so much depth which is of the order of 300 micrometer or
4 micrometer. So that is why the surface micromachining process is highly compatible with VLSI
normal process.
Basically sensor and signal conversion circuit can be integrated very easily which is not that
much easy in case of bulk micromachining and in surface micromachining process, the key the use
of a sacrificial layer. There are two kind of layer we use in case of surface micromachining;
structural and sacrificial layer. Silicon dioxide or photoresist are usually used as sacrificial layer.

Basically based on depositing and etching structural and sacrificial film the surface
micromachining depends. After deposition of thin film, sacrificial layer is etched away leaving a
completely assembled microstructure, maximum possible thickness on the microstructure is limited
to that of the deposited film. On silicon substrate, first the sacrificial layer which is silicon dioxide,
that is either it is grown or deposited. Then you pattern sacrificial oxide that means here you will get
after patterning, silicon and silicon dioxide U pattern.
Polysilicon layer that polysilicon will be the structural layer. Now you pattern polysilicon
because while you deposit it will be deposited like shown in figure. Now after that using
photolithography you pattern it. Put whole thing in acid bath, if you put in acid bath which can etch
the sacrificial oxide and the isotropic etching is done. If you put in acid bath, so is not direction
dependency like silicon etching means 1 1 1, 0 0 0 or 1 1 1 on 1 1 0. These anisotropy of etching
depend crystallographic plain orientation and anisotropic etching. Because you are etching silicon
dioxide whose etching solution is buffered hydrofluoric acid.

53 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
Figure: 2D Process View

The diagram illustrates the two dimensional process of the surface micromachining. First one
is the silicon substrate. Then you deposit silicon nitride because in many cases the structural layer
may rest not on silicon. But on some insulating layer, because resetting on silicon is not an
insulating layer, it is semiconducting material. So semiconductor material means that the hanging
structure what you are making, that will have some contact semiconduct to polysilicon contact will
be there. If I do not want it, if you want the isolated some free structure then we have to structure or
we have to fabricate that one on some insulating layer. That is the reason why in this diagram first
on silicon we have deposited a silicon nitride.
Next is silicon nitride, this is silicon nitride here, after silicon nitride is deposited that is
basically known as isolation layer which isolate the substrate and the structure. That is why it is
known as isolation layer. Next is the silicon dioxide deposition and then patterning silicon dioxide
deposition and patterning which is the sacrificial layer. Sacrificial layer is always later on removed.
So this silicon dioxide is deposited, then by using photolithography technique we pattern here. We
made a hole in this particular location; a hole is made by photolithography technique. Next deposit
polysilicon. Now if you deposit polysilicon, it will not be conformal because here some groups are
there. So automatically here it will go the shape, will not be exactly plane on the top of the surface it
will be something like that.
After that, you pattern polysilicon. Using the lithography technique, then you go for etching.
That silicon, that side SiO2 which is this is SiO2 and that we remove completely and this is a hanging
structure on nitride. So it is the this is isolated from the silicon substrate. This is basically silicon
and silicon substrate, this is basically silicon nitride Si3N4. Now on Si3N4 you are just structuring

54 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
that the polysilicon you can say cantilever beam you can make it. This is surface micromachining
process.

3D view there is no insulating layer is there. Only on semiconductor, the free hanging cantilever
beam, how can you fabricate and is shown here. In the first diagram you can see here, this is
basically on the on deposition pattern oxide. The similar earlier is a 2D view it is a 3D view that is
the difference. So you oxide pattern then you oxide pattern is shown is a cross sectional also what
you have seen say this is a 10 micrometer, this oxide this is, its look like this. If you see the cross
section and if you do the 3D view, it looks that. Then you polysilicon your deposited and pattern
deposit and pattern poly, so you will get polysilicon layer and pattern like that. Now you remove
this, the silicon substrate at this is known as anchor. Anchor means when it is fixed with the
substrate and you etch sacrificial layer it looks like this. Very simply the 3D you will have some
clear picture, view clear idea how the complete thing is going on.

Advantage:
After that the process of making structures using surface micromachining, you can see the
main advantage of this particular method, is the integration with integrated circuit components.
Because here the whole thing lies in the surface and we have got little thickness depth. So that is the
main advantage of the surface micromachining process.

Approaches:
The common approaches to the making of micro electro mechanical system devices using surface
micromachining, One is sacrificial layer technology, Second is wet anisotropic etching. Some cases
we use isotroping etching also. Because when you want etching from the side, so it is vertical etch
as well as lateral etch, so that is isotropic. So the wet etching is much favored in making surface
micromachining devices compare to the RIB or RIE. Because when you need isotropic then RIE is
cannot give, you have to go for ion milling technique or the plasma etching technique which is
isotropic in dry.
But in normal liquid etchings are mostly the isotropic etchings. And third one is plasma
etching. Plasma etching. These are isotropic in nature but if you use reactive ion milling etching.
Those are mostly anisotropic you cannot get the isotopic property there. So normally if you want to
remove some of the layer film, below certain layer you have to go for the lateral etching also. Then
best choice is plasma etching or wet etching.

Sacrificial layer technology:


First step is a deposition and patterning of a sacrificial silicon dioxide layer on the substrate.
Next step is deposition and definition of a polysilicon film. While depositing the polysilicon film,

55 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
then removal of the sacrificial oxide by lateral etching in hydrofluoric acid. If you need select
hydrofluoric acid is a choiceable thing if you do it on insulating layer. If you go for etching of
silicon dioxide on silicon nitride then there is no problem in hydrofluoric acid. You do not need
selectivity in silicon. But in some application if you want make this structure on silicon, then you
have to go for buffer hydrofluoric acid because BHF does not attract silicon. It will attract only
silicon dioxide. Now etching away the oxide underneath the polysilicon structure, here we refer to
polysilicon and silicon dioxide as the structural and sacrificial materials respectively.

Problems in Surface Micromachining :

One problem is surface stiction ,the top layer is a structural layer and bottom on is a
sacrificial. Now if you go on etching, because of the surface tension of the liquid HN. So if it is a
small area as showed region in figure, we will stick with that, you can see this portion sticking, that
in surface of micromachining. Long beam will stick and as a result of which it may break where it is
stick. So that problem is known as surface stiction and the surface tension of the water under
structures pulls them down to the surface of the wafer and causes them to adhere permanently to the
wafer surface.
It accounts for 90 percent of structural failure. That is the major problem of the surface
micromachining. There are certain solutions also in order to get rid of that kind of surface stiction.
And now days there are two way solutions people thought of addict. One is the etching solution you
add some chemicals so that the surface tension will be less and less that is one because it is basically
because of the surface tension. The second one, you modify the structure at the mask level. So that it
can create some small bumps in some of the location and which will prevent bending down the
structure. That is shown in the next diagram.

56 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
Here you can see Bumps or dimples are made. This is one bump, this is one bumps, small
bumps if you made. So when you are etching, so if you make like that, you go on etching. So this
kind of thing will help you to stop breaking at a particular point. Sometimes some of the some other
methods to prevent this sort of, this surface stiction are freeze drying with the sublimation of the
final rinsing solution. This basically comes from when you are drying because when this the liquid
in water, so when water is removed then it will drag, it will pull the small thin beam to the surface.
But if that rinsing and drying if you do by sublimation method, then that problem for example if you
can do it, complete in alcohol.
So alcohol will evaporate and their problem that surface tension of that is less compared to
the water. So similarly some lot of R and D going on in this direction. Now to remove this surface
stiction problem, use of integrated polymer support structure during release of etching and ashing of
PR. Some support structure ashing of PR means there is photoresist ashing. That is in case of your
dry processing, plasma processing. There we use the ashing technique means is a photoresist burned
and removed. So or you can use some of small polymer. Polymer support structure so in order to
prevent breaking of the structures. So these are some of the solutions of the surface
micromachining.

Freestanding polysilicon beam:


First choose a freestanding polysilicon beam which is very simple because it is a direct
extension of the surface micromachining process. So freestanding polysilicon cantilever beam
anchored to a silicon substrate via an insulating nitride. Through LPCVD method silicon nitride is
deposited on the freestanding polysilicon beam and it is patterned for the anchor region and for the
dimple structure. Sometimes we need some structures where most of the nitride is used there we are
going to remove nitride and a sacrificial layer is deposited. On silicon nitride only CVD is the only
way to get the layer.
Because, there you cannot go thermal oxide. Either you go to CVD is an external reaction and the
deposition of oxide or you can go for sputter deposition.
We go for the basically lithography pattern such that the oxide thickness is equal to the
height above nitride layer surface of the freestanding beam. So now this is lithographic pattern
deposition of polysilicon by LPCVD. The bottom was a nitride. Then silicon dioxide then
Polysilicon. Polysilicon, we can pattern it. Then you remove this layer which is oxide layer remove
it. Lateral etching of sacrificial silicon dioxide, freestanding beam is finally created. So step by step
if you go like this you can get this structure which you are aiming for.

Steps:
Freestanding polysilicon cantilever beam anchored to a silicon substrate via an insulating nitride
layer.
(a) Growth of LPCVD Si3N4 of thickness on which the freestanding beam is to rest. This layer also
acts as protective layer of Si.
(b) Deposition of sacrificial SiO2 (CVD) on Si3N4.

57 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
(c) Lithography Pattern such that oxide thickness is equal to the height above nitride-layer surface
of the freestanding beam.
(d) Deposition of Poly-Silicon by LPCVD and patterned.
(e) Lateral etching of sacrificial SiO2. Freestanding beam is finally created.

Bulk Micromachining Surface Micromachining


Advantages Disadvantages Advantages Disadvantages
Well established Uses several materials and Relatively new since 1980
technology since 1960 allows for new
applications
Rugged structure, Large dry area that gives Small die area that makes Less rugged with respect
withstand vibration and it high cost it cheaper to shock and vibration,
shock by micromachine
structure
Large Mass/Area Not fully integrated with Fits well within IC Small mass/ area reduces
IC process is large mass process sensitivity
and area you can use
Well characterized Limited structural Wider range of structural Some of the materials are
material silicon(Si) geometry possible geometry in surface not well understood
micromachining

Micromachining of Quartz:
Quartz in a unique semiconductor material for microelectronics VLSI MEMS and integrated
sensors. It is basically quartz is a silicon dioxide insulator crystal because in because this is silicon
dioxide but in crystallographic form. Silicon oxide quartz is crystallographic form. But normally
silicon dioxide which is used for masking that is amorphous in nature. But quartz is a crystal
material, it will have piezoelectric property, low temperature coefficient, high mechanical strength,
thermal stability is very high. It is radiation hard unique oscillator for crystal.

Silicon Quartz
Resistivity 10-4 to 103 1015
Ohm-Cm
Dielectric constant 12 4.5
Density 2.3 2.65
Gm.cm-2
Youngs modulus 1.7*1011 7.6*1014
N.m-2 1.9*1011 9.7*1014
Bending Strength 7.20*107 9*107
N.m-2
Tensional strength 1.72*108(100) ---
N.m-2 0.26-0.82*108(110)

58 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
Quartz Micromachined structures:
We make cantilever beams, miniature tuning forks, triple beams, dual and double ended
tuning forks, membranes and flexures. These kinds of structures have lot of application in quartz
MEMS or MOEMS.
Applications:
One is oscillator structure. By using piezoelectric material we can get very good crystal
oscillator and for that if you want to safe, it can be done by micromachining and the resonance
frequency depend on the structure of the piezoelectric material. Accelerometer Gyros can be made
out of quartz, actuators because it is a piezoelectric material. If you apply electric field, it can
vibrate with certain frequency.
So vibrators means, it vibrates if some electric/ optical signal is incident on that quartz.. Most
important property of the quartz is temperature insensitive and radiation hard. These two points are
very important because, like piezoresistive sensor, this is highly dependent on temperature quartz
sensor is temperature insensitive.
Standardization of anisotropic etching of single crystal quartz.
Etch standardize you have to do selection of proper masking material that can sustain prolonged etching
in fluoride based solution. Because all etching solutions of quartz are fluoride based. So there you have to
choose, you have to select certain mask which can withstand long standing exposure of the fluoride based
solution.
Development and optimization of lithography process for micromachining of quartz which requires
double side alignment.
Development and optimization of selective deposition of electrode materials at the sidewall of quartz
micromachine structures through vacuum masking technique. Because if you make a quartz sensor not
only in the top and bottom surface, you materailise and get the electrode. It may require many cases the
sidewall electrode sidewall you have to get the metal electrode to improve the Q value of the resonant
structure. So that sidewall electrode formation is not easy for that you need a special kind of technique
which is known as the vacuum masking technique and that will help you to get sidewall electrode as well
as conventional techniques can be used for bottom and top side electrode.

Anisotropic quartz etching:


Chromium, gold is used as a masking material. 300 angstroms chromium film, 3000
angstroms gold film followed by patterning, it will get the masking material. Deep etching in HF
based solution at various temperatures through chromium gold patterned mask. Hydrofluoric based
solution is the etching solution. 80 percent hydrofluoric acid at 80 degree centigrade is the fast
however large kinks crystallographic facets appear at both X and Y sections. So some
crystallographic facets will be created and those facets will create some problem in your structure.
Etching in saturated ammonium fluoride, HF2 solution at 80 degree centigrade yields low etch rate
and smaller kinks.

Anisotropic Quartz Etching Studies :

Etchant based solutions are normally used as an etchant for quartz micromachining and for
that we used chromium gold as a masking material, chrome gold. Now one of the problem we face
if we use only hydrofluoricacid is that it gives some kind of crystallographic facet or kinks at the
surface and if lot of facets and kinks are produced then the irregular structure of the quartz crystal
will not be produced. In that case, if we use at a vibrator or the resonator, its frequency of oscillation
or resonant frequency will change. Because resonance frequency of that crystal microstructure
depends on its shape. For that reason we need the micromachining with regular feature vertical

59 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
sidewall and lateral structure without any facet or kinks. For that another etching solution is used,
that is ammonium fluoride NH4HF2 and if we use at low temperature etching. Then etching will be
very slow. But we can get rid of the crystallographic facets or kinks. So, the kinks that are produced
in case of first are etching in hydrofluoric acid at higher temperature.
Quartz Micromachining :

Two crystals are shown in above diagram, we can see the masking layer. Produced masking
layer is now going to etch. So we get here some kinks. kink is also known as the facet. It is because
of the regular structure of the crystalloid crystal that is quartz crystal. So this kind of things will
disturb in performance. If we use that the kink structured quartz in piezoelectric sensor
performance will be a lot of deviation. Now if we use another etching solution which is saturated
ammonium fluoride solution, then we see in the left side B curve. So this kinks and facet size
reduced into the small one and now at the same time if we go for low temperature etching, then
those things almost will varies. Low temperature etching, the etch may be very slow. So we have to
allow longer time etching and but can get rid-off this kind of the problems which creates, which
deviates the performance are really problematic in getting actual resonance frequency of the
structure. As well as if we use the resonator in any of the tank circuit their Q value will not be very
high.

Fig :-Schematic diagram of edge profiles of Y-Section of Micromachined Z-cut quartz structure by
anisotropic etching at 80oC in (a) 80 % HF (b) Saturated NF4HF2 Solution.
Etching Solutions :
Anisotropic etchant for quartz:
HF + NH4HF2 + H2O
Etch rate at 22oC ~ 6m/hr
Chromium etchant :
Ceric ammonium nitrate + perchloric acid + water (Etch rate at 22oC ~ 100 A/min)
Gold etchant:
Standard iodine based gold etchant from M/s transene, USA (Etch rate at 22oC ~ 0.01 m/min)
The etching solutions that use the NH4HF, So the HF we take some amount then NH4F2, the
ammonium fluoride plus H2O and HF has been found at 22 degree centigrade means room
temperature is 6 micrometer per hour and if we do the same etching at 80 degree centigrade, it is 16
micrometer per hour. So that means automatically in room temperature the etching is very slow.
Chromium etchant to use because masking material is chromium gold. So we need etching gold as
well as chromium also. For chromium we use ceric ammonium nitrate plus perchloric acid plus
water. So ceric ammonium nitrate perchloric acid water mixture that will etch chromium with an
etch rate of 100 angstrom per minute at room temperature, that is 22 degree Celsius. Then gold
60 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
etchant we use standard iodine based gold etchant from Transene in USA. That is one supplier who
can supply lot of chemicals which is used in etching of different kinds of materials.
So we have procured that gold etchant is an iodine based gold etchant and the etch rate at
room temperature is 0.1 micrometer per minute. So there are the etching solutions for masking
material as well as quartz which has been standardized in IIT Kharagpur, the quartz micromachining
laboratory. So those parameters rate will bit changed depending on your temperature we use or
depending on the chemical concentration we use. But overall principle will not change and the etch
rate will be close to those values. So in any of the laboratory before starting any process of quartz
MEMS, have to standardize each etching step. Either, mask material etching step or quartz material
etching step that have to standardize, then we can go for a complete MEMS fabrication process.
Quartz Micromachining :
We can conclude that removal of kinks to get vertical sidewall is an important issue. 60
percent reduction of kink length from initial value after dipping in quartz etching solution for 4
hours at 80 degree centigrade to get near vertical edge profile. The sample was dipped in etchant for
4 days at room temperature, kink was completely removed. It took nearly 4 days. So that means etch
rate is very slow at room temperature, we are using at room temperature. At room temperature for 4
days, it will take for the complete removal of the kinks on etching of the quartz is nearly said 50
angstrom or 50 micron or 100 micron. That also the time depend on how much thickness wafer we
are taking.
Quartz Etching :

Now these are some standardized. For standardization we have to go for the etch rate
measurement of our different concentration of hydrofluoric acid or different temperature of the
hydrofluoric acid solution. So with temperature, the plot is here and with concentration we can
choose data.

Fig :- (a) Measured etch rate of quartz Vs HF Concentration at room temperature.


(b) Measured etch rate of quartz Vs temperature for 80% HF Solution.
Quartz GYRO Chip :

There are the some of the structures which has been fabricated in laboratory using quartz
micromachining technology. This is a GYRO chip with electrode connection. The structures with
white region things are called electrodes. Also gold electrodes are visible. Micro bridges are also
will be there and thickness of the quartz is different. The thicker is a micro bridge. So automatically
we can get a step and metal line will go here. That metal lines will pass, so that is a really, is a tough
61 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
and critical technology to make the metal electrode interconnection. But with lot of effort we are
able to fabricate not only the quartz structure as well as the electrodes.

Fig :- Quartz GYRO Chip


Quartz DETF Accelerometer :

The another structure which make out of the quartz sensor is the dual ended tuning fork. So
here we can see this is the quartz structure and there are all five pieces are there and on that glossy
thing is the metal. The gold metal we are using for electrode. The shaded thing is basically the
quartz. The whole piece of quartz, how it is etched and is basically dual tuning fork. So tuning fork
all of we know its structure is similar to that. Now if we connect one tuning fork. So it is a dual
tuning fork is connected from this side. So this will act as a resonator or this will act as an
acceleration sensor also. Here we will see the structure which has been made in quartz
micromachining laboratory.

Fig :- Quartz DETF Accelerometer.


Fabrication of Micromachined Microstructure :-

Fabrication of micromachining microstructure devices, So that is the microvelcro, the


condenser microphone. Those are very simple structure full standing polysilicon cantilever beam.
So now go for a little bit complicated structure MEMS microstructures.
Linear motion microactuators :-

Linear motion microactuators. Sensor can sense and actuator. So some kind of the attraction
or some kind of repulsion kind of thing in a microstructure will be there. If it does by applying some
electrical signal, so then it is called an actuator. Example of the microactuator which is made out of

62 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
the piezoelectric thin film is zinc oxide or PZT. So we can see the actuator uses folded path
geometry. When a voltage is applied to the dual electrodes, on the top surface of the piezoelectric
thin film of PZT, the PZT either expands or contracts its length depending on the polarity of its
voltage with respect to poly silicon layer. PZT material is the black region. Zinc oxide instead of
PZT.

Fig :- Linear Motion Microactuator (Perspective view).


So we are increasing the length there forms another geometry. Now both of the structures
vertically its form on the PZT or zinc oxide material. If the PZT or zinc oxide, the piezoelectric
material if we apply some voltage with respect to a ground plane. So because of the inherent nature
of the material there will be some contraction. If it contracts the whole thing can be stretched.
Because it is a folded structure or it can be either stretched or it can be compressed. So both are
possible. The bottom is the poly silicon layer, the poly silicon ground plane, the hashed lines
represent poly silicon ground plane. Now if we apply with respect to the poly silicon ground plane,
positive voltage and negative voltage. So depending on positive and negative we can change for
actuation either contraction mode or is a repulsion mode. So that has to done to get the
microactuator.

Fig :- Expansion/Contraction and net displacement steps.


63 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
The alternating expansion and contraction from one bar to the next and the mechanical series
connection of the bars cause the net change in the length of each bar to add to that of the other bars.
This cumulative effect permits a substantial increase in the actuation range of this type of device.
That is the basic principle. These two layers are either they expands or contract and length has been
added. So that the total bars are connected in series, so that we can get a substantial amount of
increase and decrease. So that whole structure can have some mechanical movement.
There are some of the process steps. First step is to deposit and patterns sacrificial layer
depositional pattern of the sacrificial layer silicon dioxide. So that is the silicon dioxide layer first
we made it.Then deposit and pattern of poly silicon. So you just poly silicon made it and then you
pattern it. After making the pattern then the PZT film, the black one is a PZT film. Deposit PZT
film then we pattern it. This oxide is a sacrificial layer. So if we remove it, so bottom thing will be
whole. So when we contract, so there will be space so that it can get some space for movement. At
the end stage we will find, so bottom is etched then will get some open space, so that through that
most movement is also possible. Now after the patterning of PZT film then go for the electrode
formation. Because the black region is basically the PZT. Then on top of that we are getting the
electrode formation.
Metal electrode formation, depositor pattern material electrode. Then last step is the etch in
hydrofluoric acid solution to remove the sacrificial oxide release the mechanical structure. This
particular layer which oxide is not there. The complete oxide is removed so that we will draw a line,
then there is an open space. When it contract or expand, so whole structure may go down or it can
go up. Because we are applying electric filed either positive or negative. If we do like then the
whole thing it can just contract some mechanical motion createed and for that bottom we are
aligning certain, top there is obviously some space. So bottom also we align some space, so that
when goes down, so this kind of mechanical movement we can allow and it can get some space. So
this is linear motion microactuator which may be fabricated following the steps.
Rotor on a center-pin Bearing :-

Fig :- Rotor on Centre-pin Bearing


64 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
Rotor on a center-pin bearing is another mechanical structure which may be made using the
micromachining technology, rotor on a center pin bearing. So a center point bearing will be there a
structure will rotate based on that bearing. That is used in many of the joints links. Many such
structure we need lot of the bearings. So there are lot of rotation motion also required in many of the
microactuators. So Disc shape rotor made of poly silicon and that rotor is free to rotate about a poly
silicon center bearing. Now look into the steps, so first the silicon material you took. You take
silicon material and then it deposit oxide. The silicon dioxide can make some masking and forms
masking layer and then etch little group which is the bushing mold.
So after formation of that, then go for poly silicon deposition and pattern which will be
structural layer. Because making the rotor using the poly silicon. Poly silicon is deposited and
patterned. The bushing point and it is a rotor point. Now we have to make the bearing anchor. So for
making the bearing anchor, then again we have to go for deposition of some masking material that
is deposited. Oxide silicon dioxide again and then we pattern. So just get the space for anchor.
Bearing anchor space is opened and the rest of the regions are closed with silicon dioxide. Now
again deposit poly silicon then again pattern it, so that bearing structure is found.
In CDE C stands for bearing deposition and patterning of second poly silicon structural layer
which defines the center bearing. So the center bearing has been defined. These are definition of
center bearing, then release of the rotor by etching two sacrificial layers in etchant. So after that
release two sacrificial layer and this structure will be open. Then it will rotate, we will get some
hole, so that means two free structure which can rotate and over the bearing the center bearing area.
It is when we release sacrificial layer, the whole thing the poly silicon, the bear center pin bearing as
well as the side the rotor are free now to move. So we will rotate it by actuation, That is different
whether to apply some electric field or joint, we can make some metal and then using some
attraction or repulsion electrostatically. But the structure formed is just by the micromachining
means, here we used again the surface micromachining process. So the free rotor and a center
bearing.
Center-Bearing side-drive Micromotor :-

Now we can go for some other structure, center bearing side- drive micromotor. Center
bearing side drive micromotor is very complicated structure. Bearing is at central and side drive are
the stators, there are two rotors. The bushing material is the anchor. The two stators and will rotate
with a rotor on the bush. The cross section view of the salient pole micromotor and the top view of
the salient pole micromotor. ABC, ABC, ABC, ABC, these are the state stators and these things are
same. Now in a central is a rotor and is 1 2 1 2 1 2 1 2.

Fig :- Top view of the salient pole micromotor


65 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
The complete bearing surrounding will rotate and for rotation the stator is used. In the stator
apply certain field to make some electrode arrangements, so that the field will be more and more
from A to B and B to C. So that apply the positive and negative. So the negative will attract towards
the positive side. So there will be a little bit moment in particular direction. Then again the much
more field movement is in particular direction. So once started moving like that, so then it starts
rotating if we apply the gradient field in that stator. So that is the basic thing and the structure is the
cross sectional structure. Now see how this can be made in steps.

Fig :- Cross section view of the salient pole micromotor.

A variable capacitance side drive micromotor clearly requires electrically conducting


materials for both the rotor and the stator. Highly doped poly silicon satisfies this requirement.
Stator and rotor need some conducting. Because we are going to actuate by using certain field. So it
has to be some conducting material. Fortunately the poly silicon has doped heavily, so that will
work as a conducting material. Because, if we use some metal film, so their stability will be less
compared to the polysilicon. Polysilicon stability is more compared to any of the metal frames.
Either it is aluminum or some aluminum silicon or some copper or some other thing. So that is why
we choosen the doped polysilicon as a conducting material and the rotor and stator both are made of
doped polysilicon. The stator poles need to be electrically isolated from the substrate, the rotor and
because stator and rotor they have to be electrically isolated. This electrical isolation is achieved by
LPCVD grown silicon nitride. So the one shield which is with the separation is the LPCVD grown
silicon nitride is used as a shield material which will isolate the stator and rotor.
Process Flow :-

Fig :- Center-Bearing side-drive Micromotor process flow.

In first step we have used silicon substrate, then the anchor material for stator. That is a 1
micron silicon dioxide plus 1 micron silicon nitride. That will be SiO 2 and Si3N4 Silicon nitride. So
silicon dioxide and silicon nitride is a stator insulating anchor. Then after that the polysilicon shield
have made. Then go for first LTO low temperature oxide i.e, silicon, LTO means low temperature
oxide. This material is basically SiO2. So on that first LTO is just pattern it so that will get the

66 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
bushing mould. So this kind of bushing mould can pattern. The silicon dioxide and silicon nitrate
LPCVD grown that is the insulation bi-layer. Then go for silicon dioxide, silicon nitride, bi-layer
structure has been used to withstand high voltage because a stator and rotor in between the voltage
may go up to 250 volts. So that is why not all the oxide, oxides and nitrate combination has been
used. So nearly 250 volt can get it. It can withstand and after that go for patterning other layers.

Fig :- Center-Bearing side-drive Micromotor process flow.

Heavily doped polysilicon pattern is to form the shield. That is completed deposition of first
thermal LTO sacrificial layer and pattern for the bushing and stator anchors. So that these anchors
are stator anchors. So deposition of 2.5 micron thick poly silicon is heavily doped with
phosphorous. Poly silicon is heavily doped with phosphorous, growth of 0.5 micron thick thermal
oxide for etch mask and poly silicon is patterned by RIE to form the rotor, stator and air gap as
shown in figure b. So the thermal oxide and the mask polysilicon. There is patterned by reactive ion
etching to get the separate. So LTO is poly has been patterned so that we can get the rotor and stator
separately isolated and in between the air gap had been allowed because the polysilicon the rotation
the temperature may increase. So in that case we have to allow certain space. So that it can freely
move. After this is a second LTO is there. This portion is secondly in low temperature oxidation is
filled by that by CVD technique and then have to go for the sacrificial etching.

Second sacrificial LTO provides 0.3 micron of LTO coverage on the rotor and stator
sidewalls and 0.5 micron of LTO coverage on the top surface. The bearing anchor is then defined
and etched through two sacrificial oxides down to the electric shield. So now the bearing anchor
will be defined means, it has to be sacrificial oxide which is formed by LTO. That is removed, then
we will get LTO sacrificial layer will be removed and getting the total structure. Whereas if we
remove the portion we will have the free structure which will be free. Then the bearing material is
deposited in 1 micron thick polysilicon layer and heavily doped with phosphorus. That patterned to
form the bearing as shown in figure d. This bearing is found at the end step and then we will get the
complete structure.
Overhanging Microgripper :-
The beam width for the drive arms and comb teeth are 2 micrometer. Whereas that for the
closure drive is 10 micrometer to provide a relative rigidity. So that it will be much rigid. When a
voltage is applied between the closure driver and the drive arms closure driver and the drive arm,
the drive arms move and close the gripper jaws. Applying voltage is closure the drive arms will
move and is close to gripper jaws. The drive arms are kept at the same potential to avoid any current
flowing between the gripper jaws. That is very important. The upper and bottom gripper jaws, so if
67 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
they are on same potential there should not be any current flow within them, no current flow. So
that has to be maintained and if there are current flowing through the jaws, it can affect the actuation
process. That is why these two are maintained in the same potential.

Fig :- Overhanging Microgripper.


The cantilever is approximately 12 micrometer thick 500 micron long and tapered from a 400
micrometer width at the base to 100 micron width at the end. So this is the final form of the
overhanging microgripper. Polysilicon microgripper is 2.5 micrometer thick and 400 micrometer
long. Other dimensions are shown in the cross sectional view. So all these are v group, these are
silicon die PSG is a phosphosilicate glass a support cantilever.

Fig :- Cross sectional view.


Process Flow :-
Now a process flow Firstly taking a silicon wafer, then diffuse p plus region and remove the
oxide mask and borosilicate BSG. After p plus then the PSG, this is a phosphosilicate glass is
deposited in insulating layer, the polysilicon length, the poly silicon is patterned. After patterning
poly silicon, then go for the deposition of the patterned polysilicon by RIE. This RIE poly silicon is
patterned by RIE in CCL 4 plasma. At this step it defines the patterns of the gripper on the
conducting lines. The poly silicon on the backside of wafer is removed subsequently. So because
when we deposited the polysilicon, it will be there in the backside also. So after patterning this
back side poly silicon is completely removed then comes this structure.
68 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
Fig :- Overhanging Microgripper Process view.
Deposition of 3 layers of 2 micron thick PSG, then the thicker PSG film will deposit to
produce 6 micron thick film. The PSG films here acts as phosphorous diffusion source into the
sandwiched poly silicon layer. PSG means phosphorous doped silicon glass. From there we can get
the phosphorous diffusion in the underneath silicon layer then protection layer for subsequent bulk
micromachining, that also because it is an insulating layer. So subsequent bulk micromachining step
it will act as an insulated mask as well as it will act as a diffusion source for subsequent diffusion.

Fig :- Overhanging Microgripper Process view.


Then we go for the etching of coat. Coating material etch coating is annealed for 1 hour for
1000 degree centigrade to drive phosphorous into poly silicon. So from poly silicon phosphorous is
driven to higher depth to make a front to back alignment and alignment windows is formed by
patterning the PSG on the front side. Anisotropic silicon etching in EDP for alignment windows. so
a silicon wafer is etched by EDP.

69 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
Fig :- Overhanging Microgripper Process view.
So now the last step here patterning of break lines. So because if we make many devices
have to make, that is scribe line basically break line on the PSG around silicon gripper area. This
means effectively any crack in PSG membrane from propagating to the gripper arm. So in many
cases when we break, lot of micro cracks may appear and those cracks sometime propagate into a
device and which will make the device not usable. So that is why in many of these microstructure
devices some of the breaking lines are etched. So that easily we can separate without drilling much
into the substrate. So that is why at the end step, always some break line is etched. So that at the end
we can easily separate those at those break line so that individual devices we will get it. So in this
way we can get the structure and can separate it. If the etching of sacrificial PSG film time etches
overhanging poly silicon microgripper is exposed after full removal of PSG from top and bottom.
All poly silicon conducting lines have a PSG layer left underneath them which anchor them to the
substrate.
Microstereolithography :-

Microstereolithography is a one type of lithography. The basic principle of lithography is we


are getting some structure from mask level on to the wafer level. But we will not use any mask. This
kind of structure fabrication is without using any mask. So it is all together a new and basically
innovative technique of fabrication of various kinds of microstructure which is being used now a
days not only in silicon MEMS but also in polymer or ceramic or composite material MEMS.
Nowadays not only out of silicon but also from other materials like polymer materials, like ceramic
materials, like metals and like composite materials and so on. So if it is different from silicon, so
that means that, particular technology may not be compatible with the normal VLSI process.
We can adopt some other technology and there main emphasize is making of fabrication of
microstructure and later on this microstructure may be pasted or may be transported on to silicon
wafer. But the microstructures are fabricated separately using a certain technique and that particular
technique is known as microstereolithography. The name stereo is understand that will be three
dimensional structures. So normally the x, y and z in normal lithography or normal bulk and surface
micromachining, there is a limitation on the thickness of the structure. Means along the z direction
the thickness of the microstructure or materials, there is a limitation. But in this particular technique
which is known as microstereolithography, there that limitation is not there. So we can have larger
thickness material without any mask, without any micromachining by etching. Either etching or
70 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
liquid etching or may be plasma etching or dry etching. These kinds of things are not using in
microstereolithiography technology.
Stereolithography for MEMS :-
Stereo lithography is defined as a rapid prototyping and manufacturing technology that
enables the generation of physical objects directly from CAD data file. So one important point is a
CAD data file and this CAD data file is different from the data file which is being used for making
mask in case of the surface bulk micromachining or in case of VLSI process technology. Now the
data files are making 3D structure. We are making on two dimensional which is x and y, in this
plane we can make that x- y plane, some rectangle, some square, various kinds of structures we can
make it. And not only that, here another axis is important which is the z-direction. This any 3D
structure is made various kinds of slice horizontally sliced it and after making slices they are almost
2D kind of structure.
So after making the slices, the third direction is a depth direction we make a different file. So
the slice structure in 2D is made in a steel format and now is a special program, these 2D slices are
stacked one after another. That is a known as a build file. So it creates another file which is known
as a build file and build file will change the manipulator, z manipulator, z axis. So accordingly when
the particular build file, where for particular number, we will select that particular file will select a
plane and in that plane, then in a steel format. The data stored will be effective now or the optical
source will be scanned on the x-y plane. So when that exposure is over, then it will stop again from
the build file, it will take the next build file, means z direction manipulator will move. So it will go
to the next layer, so again exposure will be there. So that means to complete 3D structure is
available is possible to make without any masking and developing and etching or some kind of
things. So I will discuss little bit in detail in future slides. Now this microstereolithography
technique was not there in before mid of 80s. So after mid 80s and lot of work was there in 90s. To
standardize this particular process and this particular technique is mostly useful in non-silicon
MEMS. Major application is non-silicon MEMS, that is polymer, ceramic and metal. So there we do
not have to bother about the etching solution of the polymer or say the ceramic material and those
materials etching solution is very difficult to get. So these techniques are widely used in case of
non-silicon MEMS mainly in polymer and ceramic MEMS. Now this was first introduced by
Japanese group in 1981 by Kodama and in Europe in 1984 by Andre and his group and in USA
1984 by Hull.
So we have seen the three areas one group in Japan they have started work in 1981 which is
by laid by Kodama. Another is in Europe 1984 and third one in USA 1984. They all together
introduced, they started work and later on in 1990s the total technologies perfected. Now the stereo
lithography is used to make micro parts. It is referred to microstereolithography. So basically
microstereolithography is another one step ahead of stereolithography. Micro word is added with
this stereo lithography, when the feature size is still small and small. Resolution in x-y movement
the minimum movement of the feature as well as in the z direction the movement if we can make
very small amount, then it is possible to make very small in a micro levels the parts layer by layer
we can build. So that we can have very small sized micro parts or micro structures. That is a micro
stereo lithography.

71 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
Fig :- Stereolithography for MEMS.
Microstereolithography permits fabrication of true 3D devices on the micron to millimeter
scale including curvilinear and re-entrant microstructures that are difficult to make using
conventional micromachining curvilinear. This too important point curvilinear and re-entrant. So
curvilinear is possible and re-entrant also. Re-entrant means, somewhere we want to make some
groove somewhere. Then again we are coming back to see in the right hand side. We can see some
of the picture. So this is made out of polymer or ceramic material. We have motor blades kind of
things some of the power some bounds are there. So these kind of structures can be easily made
using the best stereo lithography which is not possible by conventional micro conventional
lithography and sometimes it has got some similarity with some with a technique. That is the LIGA
and sometimes this particular stereo lithography or microstereo lithography is called as Poor mans
LIGA process.
Basic Steps of making 3-D Structure using SL :-

Fig :- Basic Steps of making 3-D Structure using SL.

72 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
The basic steps of making 3D structure using stereo lithography are, First is the definition of
a CAD model of 3D object. So this CAD model is different from conventional. The CAD tools used
for the bulk or surface micromachining or mask making. So one will be the 2Dsurface, x-y surface
is a steel format data and another is the steel format, the slices are stacked to build the 3D structure.
So that definition is very important is special kind of softwares are used to make the data for this
stereolithography. After that next step is slicing the 3D model into a series of closely spaced
horizontal planes that represent the xy cross section of the 3D object each with different z values.
Then 3D models are translated into numerical control code and merged together into build file to
control the UV light scanner and z translator. That means this data basically to control the data is
controlling two things. One is the x-y scan of the optical source, another is the z translator which is
changing along z direction. After that the desired polymer object is written into UV curable resist
layer by layer. It is a direct right kind of thing. So in your VLSI lithography one topic is direct write
technology. So directly from the mask data it may write on to the wafer without making mask.
Direct write technique is from the mask data directly write on to the polymer photosensitive
polymer. So accordingly exposure will be there and polymerization will take place. Now the resist
which is used, that is UV curable ultraviolet curable resist. That is layer by layer can cure it and then
the entire structure is obtained after curing.
The pink colour thing is UV curable solution. Now an elevator is a layer of the polymer is
spread over a plane. Now this layer, uniform layer is exposed with ultraviolet light. After depending
on the data depending on the data then this ray will incident on the data. That means here some
shutter will be there as the normal mask making machine. So there the shutter speed is also high and
the shutter on-off mode can be controlled very fast. So accordingly it will be exposed based on the
data. So polymerization will take place that particular each layer, one layer is over next. elevator
will move means elevator will go down then another layer will be deposited there. Automatic micro
pumping of the resin layer will be there. So on that layer again the optics beam is incident then it is
exposed, may be in the bottom we can see exposed in the next layer.
The First layer is exposed from one point to another point. Next it is exposed from one point
to another point. So another third layer when this is over, so the elevator again goes down. So then
another layer is spread over the x-y plane. Then it can expose from one point to another point. So in
this way each layer the UV light is exposed depending on the data or depending on the requirement
of the structure. So in this way layer by layer when complete thing is made then whole thing is
cured. That curing technique is just like the lithography technique. We know it is a prewave,
postwave developed. So that curing technique is done and after curing is over, this is basically
harden and the other portion which is a remaining portion. So the portion is soft after that if we
dissolve. That one in table of a solution we will get only the harden portion of structure,
3Dstructure.
Some arbitrary structure which can be made using this stereo lithography technique. That
means the whole thing is a layer by layer. One layer spread all automatic because for that we do not
have to take into the different place or different room or different instrument. All are integrated
everything, then it is a leveling, is very important. Surface of that layer should be highly plane. So
that is very important and thickness of each layer has to be controlled accurately is very important
and then we can expose and can help reaction or photo polymerization process and at the end of
each layer exposure. Then we can cure the whole thing and can get the structure. That is basic
principle of the microstereolithography.

73 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
Basic Principles of Stereolithiography :-

Fig :- Intensity profile of a UV Beam and the spot curved within photoresist.
The basic principle is photo polymerization process under exposure of UV radiation small
molecules which is known as monomers in a resin or resists form. The monomers are there, small
molecules are there in the resist or resin. They form larger molecules. Chain reaction will take place
and larger molecules will form after irradiation or ultraviolet or laser. Whatever the exposure source
we use, then it will be a long chain formed and that is basically the reaction. That is the photo
polymerization. A polymer material when reacts under exposure and the polymer chain is formed or
the polymer structure is modified, then this method is known as the photo polymerization. Three
main photopolymer systems used in stereo lithography are acrylate, epoxy resin and vinyl ether.
Acrylate structure looks like there is a double bond. So this double bond after the
polymerization, then this C will O and from here we are getting one R. So now this is basically the
structures of acrylate. So after the irradiation by the UV light or ultraviolet light, this double bond
structure breaks. Carbon to carbon double bond structure breaks. Now, when it breaks so it will
attract another monomer, another molecule. So then that also one bond breaks and the remaining
bond will couple another acralyte monomer. So in that way a chain will be formed. On the other
hand there are some resin for example an epoxy resin. There are some photopolymer epoxy resins,
there is no double bond carbon. But instead they have some ring structure. So that structure is
something like similar kind of structure which is known as a ring structure. That is hydrogen and
another carbon also will be R. So that is the epoxy resin, so this form is known as a ring. So after
polymerisation when we expose with UV light then the ring breaks. When the ring breaks, so there
bonds are available. With those bonds some another monomer of the same resin will join. So in that
way either it is a double carbon bond or it is the ring structure, that bond or structure breaks and it
helps to join other monomer. So that a complete chain will be formed and when the chain is formed,
that become harden. That cannot be dissolved in developer solution. That is the basic principle.
Now two important points in the optical source is that intensity of the UV radiation or laser

74 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
radiation is a Gaussian kind of nature. So if it is a Gaussian kind of nature, so then intensity changes
as for the depth.
If it is a Gaussian kind of thing and the beam there is width which is known as we called as
curing width. Now the curing depth and curing width these two are important parameter which will
decide how precisely, how accurately we can form the micro parts or micro structure. The curing
depth will tell how much thick size thickness of polymer material it can polymerize. Because
beyond that there is no intensity. So this curing depth and curing width in case of stereo lithography
system and microstereo lithography system are different. In microstereolithography system
obviously the curing width and curing depth will be much smaller in the range of shape few
microns. But in case of stereo lithography it ranges from 100 micron to 500 micron or in some case
of the more than 500 micron length, curing width we can get it. So there are the two governing
parameters in case of the fabrication of the micro parts.
Two most critical parameters, one is curing depth and line width need to be carefully
controlled and are determined from the beam distribution and absorption of radiation in the resist.
So this depth will be determined the curing depth will be determined by distribution as well as
absorption coefficient of the resist fill. It can resist, how much in a radiation it will absorb,
depending on that the reaction will take place. So that two parameters will be the determining
parameter for the structure.

Fig :- Line scan of Gaussian Beam.


The basic principle of stereo lithography system is govern by equation which is known as
Beer-Lambert law. Beer-Lambert lawin 1998, the law is given by It by I0 is equal to exponential
minus alpha Cd. So where It andI0 are transmitted and incident light intensity and It is the intensity
transmitted through the layer and alpha is the absorption coefficient. C is concentration of the
absorber. Absorber is a polymer how much concentration of polymer we are using. That is the C
and small d is the distance, the light has passed through the absorber. So that means these three
parameters alpha Cd will give the intensity distribution. There is It by I0. In SL process the
75 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
ultraviolet beam have Gaussian profile which have seen in the earlier view graph which is scanned
in a straight line at constant velocity Vs along x-axis which is in the surface of the photopolymer.
The scan method has xy surface and now the scanning, it scans on the surface. The scan velocity is
Vs the optical spot is scanned on xy plane for a certain value of the z.
The next step is irradiation which is defined by radiant power per unit area that is irradiation.
Ixyz intensity is dependent on xyz three parameters is there xy plane and z is the vertical axis. At
any point within the resin can be related to the irradiance incident on the resin surface Ixy0 using the
B- L law by this equation, which is Ixyz equal to Ixy0 exponential minus z by dp. Where dp is the
penetration depth of the beam and is dependent on lambda alpha and C where dp is the penetration
depth. How much the beam will penetrate will depend on the wavelength of the light, absorption
coefficient and the value of the C which is the concentration of the absorber. So these three
parameters will determine dp or penetration depth. The relationship between the curing depth Cd
and a line-width lw. So that relation is given by lw is equal to twice w0 under root Cd by twice dp.
So those relations are given by the two scientists Beer and Lambert and that is why it is known as
B-L law, Beer-Lambert law. Working curves of the curing depth and line-width should be known in
SL process. So this relation is very much required when we want to start the process before, So
accordingly the manipulator and timing will be decided. Exposure timing etcetera will be decided.
Stereolithiographic (SL) Systems for MEMS :-

There are 3 subsystems in stereo lithography. One is the CAD design, second is a layer
preparation, and third is the imaging system. So CAD design means the data we have stored in files
in different format so that the scanning will be done based on those data as well as the z axis
movement will be there based on that data. That is the CAD design a file we have to create. After
that, the layer preparation is another very important, your intricacy of the device or all the whole
method depends on how to prepare the layer. The polymer layer, the photoresist layer and the CAD
model in STL format. It produces a slice cross section converging parts are placed into a build file.
That is the first CAD design first as subsystem. Process control software operates the stereo
lithography writing process according to the build file. So writing will be done based on the build
file which we have created and loaded into a computer.
The next step is an imaging system. Optic system basically includes a light source that may
be laser or ultraviolet source. So can use a UV lamp also. That must be appropriate for resin to be
used. Beam delivery and focusing elements. So that means the light source must be appropriate for
beam delivery and focusing element. So focusing element should not be diverged beam. So either
use laser or UV lamp. So the optics house comprises of the beam focusing and beam manipulation
also. Wavelength output beam shape and power available are all important. Wavelength of the light
output beam shape, the beam which is incident on the polymer. That means the diameter of that spot
that is output beam shape and power available. That means it depends on the intensity which is
incident on the polymer. So those things are all important when we make the complete system.
Beam delivery elements are used to fold the path of the laser beam that compact the SL system.
Now the layer preparation means we have to have a very uniform layer of the resin or photo
resist which are being exposed. Flat resin layer of the desired thickness is prepared for curing. The
liquid resins surface will be the foundation of each layer of the SL model. SL system should satisfy
the following requirements of the resin surface. What are the requirements? First is, it should be
uniformly flat, levelled and free from extraneous features. Second is it should maintain precisely at
focal plane of the imaging system. The resin layer must be at the focal plane of the imaging system.

76 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
That means the focus spot where the beam focus beam is focused at a point the whole layer should
be in that particular plane. So that there we will get maximum intensity. Surface must be a
controlled distance above the previously build solidified cross section of the part. That means
previously build solidified cross section is a layer preparation. So if a layer is prepared it is exposed
and it becomes hard. So on the top of that again we have another layer and then we are exposing
that. So that means the distance. That means we see the focused beam, the focusing plane remain
same layer is moving along vertical in the direction. So in that way, that has to be accurately
controlled so that when these moves it should not depending on the thickness of each layer. The z
movement has to be adjusted. So that complete layer will be in the focusing plane and within the
region of the maximum intensity width. So now that is penetration drift.
Now the recoating and leveling system work together to have a flat layer of liquid resin of proper
thickness. Recoating and leveling. Because layer by layer you have to recoat. After just recoating
then leveling has to be done. That is done again by sensor electronic sensor. Whether the whole
surface is uniformly levelled, the thickness of the layer typically ranges 100 to 500 micrometer.
Recoater must be controlled precisely to achieve thinner layers. As 25 micron Recoater error is
significant for building layer below 100 microns. That means we see, since a layer by layer are
recoating, so that if there is an error of plus minus 25 micron and each layer thickness is a 100
micron. That error is very high. If we go for 500 micron thickness, each layer then plus minus 20 or
25 micron will not change the structure a lot. But at thinner layer structure if we make within 100
microns, that 25 microns recoating error is significant. Any shrinkage during curing must be
compensated by the excess resin the vat. Because whole system we put in resin vat completely. So
that during the curing process some layer will shrink and during the shrinking time shrinkage time
so some fresh resin may be supplied from the vat, so that the structure will not be deformed.
Microstereolithiography (MSL) :-

MSL is microstereolithography. Now the microstereolithography is also called a


microphotoforming and was first introduced by Ikuta and Hirowatari in 1993. So is a Japanese
group, they first introduced the MSL in 1993, the resolution of MSL is better than stereo
lithography itself. UV laser beam is focused down to a 1 to 2 micron dia spot that solidifies a resin
layer of 1 to 10 micron thickness. Whereas in SL laser beam spot size and layer thickness are 100 to
1000 micrometer. So where 100 to 500 to 1000 micrometer in stereo lithography, but here the optics
is focused to a beam of only 1 to 2 micrometer dia spot which can solidify 1 to 10 micrometer thin
layer. So that is the difference between stereo and microstereo lithography.
Another point is submicron control of both x-y-z translation stages. That has to be much
more accurate control within the submicron range and small UV beam spot enables precise
fabrication of complex 3D microstructures. Microstereolithography is an additive process. In
contrast to conventional subtractive micromachining and in principle compatible with silicon
technology and therefore post-CMOS batch fabrication is feasible in some cases. It is basically
subtractive micromachining technique. But normal, the bulk or surface micromachining is not
subtractive method that is not additive method, that is subtractive method. Conventional
micromachining is subtractive. Just after lithography we are etching the undesired material That is
subtracting the undesired material and this is in additive process. MSL is an additive process. We
will see in some cases additive means the total, the final structure can form by adding certain
material. In that sense we can say a LIGA technique are filling the growth by using the
electroplating. That is an additive process. So similarly here the MSL technique, they utilize
additive process to make the micro structure.
77 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
Different MSL systems have been developed in recent year to improve upon their precision
and speed. So people are also concerned about the speed. Because to make a layer by layer by
structure and then expose another layer, then expose, then automatically the throughput of the
device will be small. Because layer by layer we are recoating, levelling then exposing again
recoating, levelling and exposing. Getting the complete thing will be taking a long time. So that is
why speed is one important parameter and at the same time we need high precision. So lot of work
is going on in recent years towards microstereolithography to increase the speed so that throughput
will be high and we can get high precision structures. Another research effort in MSL is the
incorporation of a broad spectrum of materials. So, that is polymer metal ceramic all these materials
are being used in MSL technique to get microstructures. Most widely used MSL equipment are
based on the scanning method where a well focused laser beam with beam spot size around 1
micron is directed onto the resin surface to initiate the polymerization process. They use recent
MSL equipment. They use the laser beam of spot size nearly 1 micron.

In microstereo lithography a 3D microstructure is built up by repeated scanning of either the


light beam or work piece layer by layer. Several scanning methods are used in MSL technique
which are classical MSL integrated harden process which is known as IH process, mass IH process
and super IH process. So the classical ML is similar to the stereo lithography system. Only the spot
and micro size, spot size in others are very small. Integrated harden process and there are three
kinds of IH process which is known as the integrated harden. One is simple integrated harden. Other
is mass IH process. Integrated harden process means we are curing the complete thing at the end
exposing one by one. That means the each layer you are integrating during the complete curing
process. So that is some time is known as integrated harden process.
Integrated Hurden (IH) MSL Process :-

Fig :- Integrated Hurden (IH) MSL Process.


78 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
MSL process which is integrated hardens process, there is a micro x-y stage will be there and
this is a z stage, z is movement is, this is the liver and in that liver one optic system is lens is fixed
up. So that z stage can move based on the microcomputer data and another is x-y position is there.
The x-y movement can be controlled using again the microcomputer,so that very precise in a micron
level. In some micron level movement of the x-y stage is very expensive. This kind of x-y stage is
possible with the micro positional and now this is basically the container where the photo resist or
the polymer is kept and now this is some levelling arrangements are there and this is the vat where
you put the resin and is either ultraviolet or laser source which is basically produced here of certain
wavelength and then the pollimated beams are there and some shutter arrangement will be there.
The shutter is now some acousto electro optic shutters are being used which is much faster
and various kinds of shutters we have tried to improve this speed because shutters are very
important. Because when we do not need to expose a certain length or certain area, then we can
close the shutter. So that optics are there in no irradiation. So when we need to open the shutter and
then scan. Because this spot size is only 1 micrometer to say a microstereo lithography it can go
down to 1 micron, may be 2, 3 microns there. So we have to scan some scanning arrangement will
be there to expose a certain area. Now the whole complete system the shutter is also connected to
the microcomputer. So the microcomputer x-y positioner the shutter and the z stage. So this is the
IH process, one thing which movement is taking place, xy positioner and z stage and shutter and we
will see in super IH process.
Another layer the optical house the scan which is optical house is moving the focus point is
moved inside the resist that is the super IH process. Now here in this MSL process the light source
we use here UV lamp and the beam is focused on to resin surface through a glass window, The focal
point of the apparatus remains fixed during the fabrication. This is the focal point, it remains fixed
so that is not, a focal point is not changed and the work piece, the focal point of the apparatus
remains fixed during the fabrication and the work piece is in a container attach to an x-y stage. So
this container is attached on x-y stage. The glass window is attached to the z so that layers of precise
thickness can be prepared. So that is the complete sets up of micro stereo lithography system using
integrated harden method.

Fig :- Fabrication of Polymeric microstructure from IH MSL Process.


79 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
Now we can see how this structure is being made, that is normally used to fabricate
microstructure where metal microstructures can be obtained by first making a polymer micromould
then metal plating and finally removing the polymer. So it is somewhere similar to your LIGA
process. We can see initially in this structure by using the ultraviolet beam it is solidified. So
initially whole thing was liquid. So based on the later, so a layer by layer after irradiation the each
layer is solidified. Now in this way if we can make the structure like that after cleaning means we
developing things that cleaning means the part which is soft remain, this is hardened by irradiation
the remaining portion we remove it by cleaning. So we will get the required structure. Then for the
next step we make the metal plating. So metal plating if we make so just like of electro plating
technique we make the metal plate.
So after that we remove the hardened polymer. So then we will be getting, so after removing
the polymer we will get the metal structure. So that but first we are making the mould in the
polymer by this IH MSL process then by metal plating we are getting the metal structure. From the
very beginning we cannot do with the metal layered. Because there the metal layer cannot after
irradiation it not be polymerized the whole structure, basically feature is made the polymerization
process. Now similarly here we can see the UV beam can make the structure and after cleaning the
whole thing can be removed from the bottom. So we can have a bowl. So we can get various kinds
of structure by using the integrated the harden process.
Now the specifications of the IH process are 5 micron spot size of the UV system, positional
accuracy is achieved to 0.25 micron and 1 micron in the z direction. Minimum size of the unit of
harden polymer is 5 micron by 5 micron by 3 micron in xyz direction is the minimum size is
possible. Maximum size of fabrication structure is 10 millimeter by 10 millimeter by 10 millimeter.
So that is the maximum size of the complete structure.
Characteristics of IH Process :-
The IH is capable of making two true 3D and high aspect ratio microstructures. Works with
different materials is possible. Does not require mask plates is clear, now does not require mask
plates and is thus a cost-effective process. Mask making process is highly expensive. So if we can
avoid that, so it is a cost effective process and this kind of the spinal rings and this kind of things all
are possible using the integrated harden process.

Positional accuracy is 0.25 micron and 1 micron in z direction. Medium range accuracy is 3
to 5 micron of the IH process. It permits desktop micro fabrication of parts. Fabrication speed is
slower than classical MSL. So we can see here which is a just complete one automobile, one the
polymer car kind of shape, they have fabricated using this IH process and this is one blade of a
motor. So blade or fan some where like that we can make using the polymer or ceramic material.
Limitations of IH Process :-

Now there are certain limitations of the IH process. IH process basically layer by layer
fabrication. The depth resolution is limited by the thickness of the layer that is stacked up.
Thickness of the layer can make it be layer by layer we are making. Depending on the layer
thickness some limitation is there. Resolution, because layer thickness gives the resolution when we
make the bowl, how it is carbolytic say depends on the resolution. The second limitation is viscosity
of the UV curable monomer.

80 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
So polymer monomer will have, that has to be UV curable and this polymer will have certain
viscosity and that can deform and hence damage the solidified microstructure. During the whole
process, third limitation a significant surface tension of the liquid monomer decreases the precision
of the fabrication process. Because layer by layer when we are making, first layer to second layer
there is a surface tension. Second to third layer there is a surface tension. That may deform the
precision and that may deform the whole structure. So a precision of the microstructures may high
precision may not be achieved. So these are the limitation and these limitations can work can be
overcome by using super IH process.
Super IH Process :-

Super IH process solidifies the monomer at a specific point in 3D space by focusing a laser
beam into a liquid UV curable monomer. In super IH process is not layer by layer. The complete
monomer complete the polymer resists as kept in a container. Then focused beam is scanned and
focused beam the whole structure moves vertically also. But it will just reaction will take place at a
particular point where the beam is focused inside the liquid. So that means if the focused spot of the
optics either laser or UV is moved, is scanned inside the liquid at a different distance at difference
depth. So that is the basic difference between IH process and super IH process. Since we are not
using layer by layer, there is no question of surface tension, precision hampering, due to the surface
tension between layer to layer. So the 3D microstructure can now fabricated by scanning the
focused spot.
By scanning the focused spot in all three dimensions focused spot, earlier case is only in two
dimensions in a certain plane scanning. But here also all in three dimension we are scanning. Inside
the liquid, thus eliminating their need for support material or sacrificial layer. Support material
means to make a layer by layer so we need a support material. On the support material second layer,
third third layer is made on the second layer, fourth layer is made on the third layer. So we need a
support layer, because we are making a layer. But in the super IH process we do not need the
support layer. Because the whole liquid is taken in a container. The beam spot in a 3D movement
we are just focusing inside the liquid and now we are hardening the particular spots and after that
we are curing and getting the structure. But obviously the complete technology is much more
difficult and complicated.
Comparison of Conventional and IH Process :-

Fig :- (a) Solidification process (b) Processes to make movable gear and shaft.

81 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE
These are some example solidification process, this is a first one is a conventional IH process
and the second one is the super IH process. So in the first conventional process one layer, the
second layer, then third layer, then fourth layer. In this way this beam is fixed here. This is the focal
plane of the beam, that is fixed it can scan only xy movement not in z. Z Means this structure
container is moving in z direction but in the super IH process there are two. The beam goes deeper
into the polymer. So the beam moves not only in the xy plane but it moves on the z plane. So that it
harden. But layer by layer we make then can harden it, but super IH is not that. Now here is one
example to make movable gear and shaft. So using the first method is a conventional image, so
there we see some support layer is there. In the second one is the supervise process the gear and
shaft is made without any support layer or sacrificial layer, just this beam spot is scanned vertically
and laterally and we can get this kind of structure. This is the comparison between IH and super IH
process.
Ceramic MSL :-

Now the ceramic MSL. Ceramic microstereo lithography, So ceramic materials have useful
properties such as high temperature or chemical resistance, high hardness, low thermal conductivity,
ferroelectricity and piezoelectricity. Because of those properties, ceramic materials are used in some
MEMS devices. 3D ceramic microstructures are of special interest in applications such as micro
engines and microfluidics. These are the two application areas of ceramic microstructures. Unlike
conventional silicon micromachining, MSL can be used to build the complex ceramic 3D
microstructures in a rapid free form fashion without the need for high pressures and high
temperature. Here we too use the additive process because the ceramic material cannot be exposed
and polymer chain reaction will not be there is not polymer. So basically it is little bit different and
it needs at the end some curing process. The ceramic powders are mixed with polymeric material
and after that we have cured and during curing process the polymeric materials will evaporate and
the solid ceramic we will get.
So the resin system for ceramic MSL is composed not only of the monomer and photo
initiators that are used in polymer MSL but also of ceramic powder. Ceramic powders monomers
and photo initiator. The photo initiators are basically a reactive with the optic system dispersants
and diluents are used to obtain a homogeneous ceramic suspension with a relatively low viscosity.
Upon UV polymerisation the ceramic particles are bounded together by the polymer and the
ceramic body is formed. This is the complete ceramic MSL process.

82 | P a g e
D. Lohitha; Asst.Professor ;Department of ECE

Vous aimerez peut-être aussi