Vous êtes sur la page 1sur 10

SC2_AUDIO_R_OUT

SC2_AUDIO_L_OUT

SC1_AUDIO_L_OUT
L110

SC1_AUDIO_R_OUT
C165

50V
47u
L101 REMARK THAT, FOR PIP SPLITTER THERE SHOULD BE ADEQUATE PLACE IN LAY-OUT

LINE_R_OUT
LINE_L_OUT
C159

1N4148

R187
IC10

12k
C101
12 SIOMAD NC 13

C117

1N4148
50V
470n

47u
R112

R148
63V

IC5

12k
100R 12 SIOMAD NC 13 AGC_CON
470n R162 R184
SCL5V

C171
63V

25V
33p
AGC
1 100R 11 SCL TAGC 14
R108 R135 100R
SCL5V

R186

R188
C123

R191
25V
PIP_CVBS

75R
33p

10k

47k
R152
AGC
1 100R 11 SCL TAGC 14 SDA5V R161 PIP C187 X101

C143

50V
4n7
100R 100R

C172
R144

R149

25V
MAIN

33p
10 SDA REF 15

10k

47k
SDA5V TU 2
C136 X100 100p 4MHz
R109

C124
50V C190 63V

25V
33p
TU 2 100R 10 SDA REF 15

+5V
R160

C221

C228

C236
470n

S107
50V

50V

50V
TUN1_CVBS

22u

22u

22u
100p 4MHz +5V

C207
S106

50V
9 TOP VAGC 16 Connected for Secam L/L

22u
QSS_MAIN 50V AS 3 QSS_PIP R190

+5V
C138
R105

R163
100R
470n
220R

VCC_8V_A
S100

BC848B
R110
100R
63V
AS 3 AUD_PIP 9 TOP VAGC 16 Connected for Secam L/L

VCC_8V_A
R145 R182

BC848B

Q113
1k 25V VCC_5V C174
1k

Q111
100n SCL
4 8 AUD CVBS 17
S104 C189

C160

25V
BC848B

CTF5543_HOR

33p

50V 22u
R130 1k

VCC_8V_A
220n C261

BC848B

C241
AUD_PIP Q109
BC848B R207

50V 22u
SCL
4 8 AUD CVBS 17 1k 16V 27p 1k

VCC_8V_A
C260 C146

BC848B
Q110
C109

R220

C247
Q106
25V

220n C257 50V


CTF5543_HOR

AM_SOUND 1n R202
33p

27p

VCC_8V_A

VCC_8V_A
BC848B

BC848B
Q112
C164
16V 50V

25V
50V 7 DGND AGND 18

Q114

Q115
33p
SDA
5

C192
TU101

50V
1n5
C126 100n 25V 1k
C115

25V

100n
TDA9885T R200
33p

SDA
5 7 DGND AGND 18 1k

C140
TU100

C178 C188

50V
R203

1n5
R189 1k 1k
C145 R210 R212
TDA9885T C147 NC6 6 AFD VPLL 19 330R

C158

C162

C194
100n
25V

50V

50V
C129 C137

10u

10n
R150 470n 100n
NC6 6 AFD VPLL 19 63V 25V
100u
C106

C114

C141
100n

C179
25V

50V

50V
10u

10n
470n 220n 150R 25V +5V +5V

R201
100R
63V 16V VS 7 5 DEEM VP 20

R176

C193

C195

R204
100R
100n
16V

50V
C130

22k

10u
+5V +5V 10n
50V C191
VS 7 5 DEEM VP 20 R155

R128

C142
C175

50V
22k

10u
47R R166
10n

C161
50V
10u
50V C144 +5V NC/ADC
8 4 FMPLL AFC 21 100n

50V
R111 C127 C139 100n 5k6 16V
10n R185

C237
1n
C110

D102
AGC_CON
50V

25V
10u

NC/ADC
8 4 FMPLL AFC 21 50V R174 22k

C226
+5V C176
5k6 SC1_V_OUT R159 6k8

50V
R147

1n
10n 100n +33V

R205
100R
Q107 1N4148
50V 25V 1k BC848B VST
9 3 OP1 OP2 22

R129
C128

6k8
R104 R133 22k 390p
+33V S105
C258 50V
VST
9 2k2 3 OP1 OP2 22 22k R154

BLM21A601S

BLM21A601S
75R C156 3 R181
390p

R153
50V 100n C259 IF210 GND 2 VIF2 SIF1 23 150k VCC_8V_A

1k

R208
100R
2 IN2 OUT25

L111
C107 IF2 3 L/Lp 25V 27p

50V
MAINA_L

C235
1n
1n

1u

L124

L125

C239
SF_63962

50V
IF210 GND 2 VIF2 SIF1 23 50V

1n
C223

C224
Z102
L103

2 IN2 OUT25

100u
1n

16V

50V
C157

1n5
R179 MAINA_R

VCC_8V
50V 50V
1u

1 IN1 OUT14
K3953M IF111 1 VIF1 SIF2 24 150k 470p
S101 Z100 C222
1 IN1 OUT14 1n 22u C242
IF111 1 VIF1 SIF2 24 50V 1n HP_L

R218
100R
50V
1n !!!!! SHOULD BE IC7 L123 50V

R219
100R

R206
100R
R209
100R
10uF 50V !!!!!

50V 10u
50V +5V L112 R172 1n
C243 50V

C225

C240

50V
C104 IF1 C244
IC2

50V 10u
1k 1n

SC1_OUT_R

SC2_OUT_R

DACM_SUB
SC1_OUT_L

SC2_OUT_L
HP_R

1n
C229
3u3
C182 C245
L/Lp=H L 3

DACM_C

DACM_R
DACM_L
DACM_S
AHVSUP

DACA_R
1N4148

CAPL_M

DACA_L
CAPL_A
BA591
L/Lp=L BG,DK,I,L R116 GND

D103

D105
C185

R177

VREF1

VREF2
2 IN2 OUT25

100n
C122 +5V
BA591

6k8
L104 1k

32

31

30

29

28

27

26

25

24

23

22

21

20

19

18

17
3u3 W/L 100p
3 25V K9453M
Z103
1N4148

C183
R114

IF2 GND
VCC_8V

100p
C131

6k8

2 IN2 OUT25 1 IN1 OUT14


50V
10u

IC4

25V W/L
D104

R178
K9356M

2k2
100p 50V 3u3
C121 Z101 1kV ESD
47R V8 25V
1 IN1 OUT14 470p
R100

C202
HP_R C210 C249

50V
R115

W/L
2k2

1n
IF1 100p 25V 100n
C105
100n
16V

C100 25V W/L R156 R183 33 AHVSS RESETQ 16


10k Q108
10u HP_L BC848B 33k
C211
50V 34 AGNDC NC3 15

C186

100n
BLM21A601S

16V
C132 1k 330n
W/L L/Lp 50V
Q100
33k 4 VSS INB+ 5 SC2_AUDIO_L_IN L114 R196 16V 35 SC4_IN_L NC2 14 10u

50V 2n2

C169
BC848B
C135

R132
50V

330n C255
75R

R113 50V
39p

FAV_IN R197

C203
C125 220n BLM21A601S C213

50V

MSP3452G
1n5
100n 16V 1k 36 SC4_IN_R NC1 13

1n
V8

C253 22u
25V C177 SC2_AUDIO_R_IN L115 VCC_5
R169 S606 C216
IC12

C205
3 INA+ INB- 6 4k7 37 ASG3 12S_DA_IN2 12 L127

R165

50V
BLM21A601S

C152
330n

1n
47k
63V 330n 330n 63V PC_AUDIO_L_IN C251

50V
R157 R199

1u

R167
50V
C111

10k
4k7 TDA1308 220p S607 AUDIO_L_IN L116 1k 38 SC3_IN_L DVSS 11 50V
Q102

100u
16V 330n

16V
C256
SC1_V_IN 1 INPUT1 INPUT8
20 C166 470p

C197
BC848B BLM21A601S C217

50V
C148 2 INA- OUTB 7 PC_AUDIO_R_IN 1k 39 SC3_IN_R DVSUP 10

1n

IC6
220n R142
R101

R158
C150
75R

R195

47k
470u

50V
C102 16V 75R AUDIO_R_IN L117 270p
V8

2n2
50V C214
63V 16V

BZT55C3V6
39p R106 VxtoPIP 220p C180 FAV_AUDIO_L_IN
S807 40 ASG2 ADR_CL 9 50V 1N4148 R217
100n

PIN8_SC1

PIN8_SC2
C154 +5V
50V 100R 2 DATA GND2
19 1k C173 C252 470R

C204
C118 BLM21A601S 330n

A
50V

1
SDA5V 47p 10k R146 1 OUTA VDD 8 1k 16V 41 SC2_IN_L ADR_WS 8 IC9

1n

R213
C149

C153
50V R117 R198 330n

IC8
50V
L_IDTV_SD L118

16V
1n
C218

100u

1k
C108 Q103 BLM21A601S
R123 BC848B C167 1k 42 SC2_IN_R ADR_DA 7

L106
SC2_V_IN 3 INPUT2 OUTPUT6
18 100R R139
22u
IC3 100u S808 R_IDTV_SD L119 R193

C201 C200
C209
R102

K
50V 50V
16V

2
75R

C103 C119 75R 16V 43 ASG1 12S_DA_IN1 6 470R

1n
220n
39p 16V 47p 50V 10k VxtoMAIN L109 22u FAV_AUDIO_R_IN 330n R216
50V

L108

C196
BLM21A601S

50V
50V R118 1k 1n 1k 16V 44 SC1_IN_L 12S_DA_OUT 5

1n
R107 R124
V8

1n
R173

R180
R140 C163 R192 330n

15k

15k
100R 4 CLOCK OUTPUT5
17 100R SC1_AUDIO_L_IN L120 C215

SDA5V BC858B
R171
L107
C208
C151

3k9
SCL5V 10k 45 SC1_IN_R 12S_WS 4
50V

Q116
C254
2n2

50V
R119

3k9

39p
TUN1_CVBS
C112

R175
BLM21A601S
50V

C116
39p

R131 1k 16V 46 VREFTOP 12S_CL 3


R103

Q104 220n
75R

5 INPUT3 OUTPUT4
16 100R SC1_AUDIO_R_IN L121 R194

C155
BC848B
50V
2n2
220n R136 47 MONO_IN 12C_DA 2

C198
S402

50V
TEA6415C

10u
16V 75R AM_SOUND 100R

AUD_CL_OUT
C219

C250
V8

SCL5V
60 D_CTR_I/O_1
C802

61 C_CTR_I/O_0
SC2_V_OUT 48 AVSS 12C_CL 1 R215

50V
C199
S406

63 STANDBYQ

39p
25V

55 XTAL_OUT
8

50 ANA_IN1+

52 ANA_IN2+
SD_CVBS 6 INPUT4 OUTPUT3
15 1k

VCC_5V
100n

62 ADR_SEL
R801

C803

54 XTAL_IN
51 ANA_IN-
50V
75R

R143
39p

53 TESTEN
100R

PCF8591
VSS
220n

A2

A1

A0

AIN3

AIN2

AIN1

AIN0

49 AVSUP
PL101 16V 10k IC34 R214

58 NC4

59 NC5

64 NC6
R120
PL100

R126
S401 S102 Q105 HEADPHONE

56 TP
1 7 PROG OUTPUT2
14 100R BC848B
C471
V8

IC1
1 2Y1 VCC
16

VCC_5V 57
S400 S103 R134

R767
3
1

12k
V8 10k 75R PC_AUDIO_L_IN

VCC_5V
1u

C220
560p
R121 R125 SC1_V_OUT 16V
C472

AGND

AOUT
8 INPUT5 OUTPUT1
13 47R 1k AUDIO_L_IN

18.432MHz
VREF
R764

R765
R141

12k
TO INTERNAL SUBWOOFER 2 2Y0 2Z 15 4k7 100R

VDD
SDA

50V

OSC

EXT
SCL

C232
Q101

50V 1p8 X102


50V
56p
R211

56p
10k BC848B 10k FAV_AUDIO_L_IN
1u 56p

C212

C230
L102 R122 R151 16V 12k C227 50V
R138 100p

R_IDTV_SD
L_IDTV_SD

1n5
VCC_8V 9 VCC GND1
12 75R R768 C246

C234

50V 1p8
3 3Y1 1Z 14
10

11

12

13

14

15

16
C113 C120 TXT_CVBS SOUND_SELECT

C238
9

22u 220n 16V 1k AUDIO_R_IN

R766
50V 16V 220n R137

12k
C473
10 INPUT6 INPUT7
11 50V
C134

R170
50V

10u
39p

3k9
C133 PIP_CVBS 4 3Z 1Y1
13
R127

C168 C170
75R

39p 39p 1u PC_AUDIO_R_IN


R168
100R

50V 50V
74HCT4053 16V C206

L122

QSS_MAIN
C181 C474

22u
100R
R164

QSS_PIP
S403

S404

S405
5 3Y0 1Y0
12 FAV_AUDIO_R_IN
100n
16V
1u
16V VESTEL ELEKTRONIK
16V
AR-GE

VCC_5V
100u

PL3
6 E S1 11
L113

3
1

2
C184 VCC_5V SOUND_SELECT
VMBlock A/V Board
SDA5V SCL5V 17MB11-6 Tuner IFDEC MSP HEADPHONE AUDIO SW VIDEO SW
7 VEE S2 10

Rev. by Author MUSTAFA YATIR


Huseyin E. Cetin 09.05.2005 VESTEL R&D Sheet 01
8 GND S3 9 MANISA, TURKEY
S805
A/D_DIM_SEL
IC115
RGB_SW_2
R774
S802 RGB_SW_2 75R 1 A R 16 +5V

C767

C768

100n
50V

16V
47u
PL266
DIG_DIM R775

10

11

12

13

14

15
75R

9
R776
SD_R 75R 2 B O 15 IC114
R777
BZT55C5V1 DDC_V 75R R295
A D276 K R781 RGB_SW 75R 1 A R 16 +5V

C300

C301

100n
1 2

50V

16V
47u
D266 1N4148 1N4148 D268 DDC_CLK_PC R_OUT 3 C N 14 75R SD_FB R292

1N4148
D278 1N4148 75R

D271
D267 1N4148 1N4148 D269 D273 R293
A D275 K R283 DDC_DATA_PC R782 SC2_R 75R 2 B O 15

DDC_DATA_PC
1 2
DDC_V D265 1N4148 1N4148 D270 1N4148 10k 1N4148 R_OUT_2 4 D M 13 75R FB_OUT

DDC_CLK_PC
R277 R291

DDCC_5V
2k BZT55C5V1 10k 75R

PC_VS

PC_HS
R278 1N4148 R284 D280 VCC_5V PI5V330_SOIC R298

PC_G
PC_R

PC_B
2k R778 SC1_R 3 C N 14 75R SC2_F

R281
100R
R282
100R
D274 D277 1N4148 PC_VS SD_G 75R 5 E L 12 FB_OUT_2
R265 R779
75R 1N4148 D279 DDCC_5V 75R R299
R267 R783 R_OUT 4 D M 13 75R SC1_F
75R DDC_V G_OUT 6 F K 11 75R SD_B

SDA 5

VCLK 7

VCC 8
75R PI5V330_SOIC

ST24LC21
R268 R780 R784
75R 75R 75R R289 R294

SCL
1N4148
SC2_G 75R 5 E L 12 FB_OU

D272

R276
22R
22R 22R G_OUT_2 7 G J 10 B_OUT

IC113
R296
R274 R275 R785 75R
75R R303
DVI_RX0- SC1_G 6 F K 11 SC2_B
8 H I 9 B_OUT_2 R304
74LVC14A
GND 7

1
DVI_RX0+ 75R
3Y

3A

2Y

2A

1Y

1A

NC3

NC2

NC1
VSS
DVI_RXC+ G_OUT 7 G J 10 SC1_B

IC112
DVI_RXC- 75R
30 28 26 R300

1
C4 C5-2 C2 8 H I 9 B_OU
29 27 25
LINE LEFT
L272 LINE_L_OUT C3 C5-1 C1 R288 DVI_VDDC
JK266

C281
2

50V

SC2_AUDIO_R_OUT

SC2_AUDIO_L_OUT
4n7
10k
VCC

BLM21B201S

SC2_AUDIO_R_IN
2 1 3

SC2_AUDIO_L_IN
A
24 16 8

SC2_V_IN
4Y

4A

5Y

5A

6Y

6A

SC2_B
PL268
L274 LINE_R_OUT ANA_VS_DVI
JK267

C279

50V

SC2_G
4n7
23 15 7
BLM21B201S
1 3
A

L270
10

11

12

13

14

DDC_DATA_DVI
8

PIN8_SC2

SC2_V_OUT
DDC_V 22 14 6 S300

C290
BLM21B201S

100n
25V

SC2_R
LINE RIGHT
DDC_CLK_DVI
C289

SC2_FB
C275
50V
C270 50V 10u

4n7
21 13 5

D707

D708
BLM21A601S
JACK-AK16
DVI_VDDC

R266
VCCA_3V3

22R 100n L271 PC_AUDIO_R_IN 20 12 4

1N4148
16V
JK265

D281

L279

C291
150p
19 11 3 DVI_RX1+
1 3

50V
PC_AUDIO_L_IN
18 10 2 50V

C280

RXD
1N4148
50V
4n7
L277 DVI_RX2+ C299 150p 150p

D282
R269

R302
75R
IC111
10k

17 9 1 C298 C302
R270

680R
R290

R297
680R

R301
100R
BLM21A601S

D702
10k

L275 DVI_RX2- C295 C296 150p D615

TXD
150p 4n7 n.c
L278 C293
50V

D703
8 VCC NC1
1 DVI_RX1- 4n7 50V BZT55C12

S809
C292
ANA_VS_DVI L276 50V
C297

D709
L280
50V
PL267

7 VCLK NC2
2 VCC_5V 150p

C304
L281

L282

L284

S810
1n

150p
50V
50V
DDC_CLK_DVI ST24LC21 L267
C294
C303
100R 6 SCL NC3
3 2 4 6 8 10 12 14 16 18 20 1n 150p
DDC_DATA_DVI R272 50V 50V
C271

PL271
100R 5 SDA VSS
4 4n7 1 3 5 7 9 11 13 15 17 19 21
R271 50V
L268 2 4 L283 6 8 10 12 14 16 18 20

C288
L269

L273

150p
50V
C265 1 3 5 7 9 11 13 15 17 19 21
C282 C283
PL265 C272 150p 150p

R286
4n7 50V 50V

75R
S407 150p 50V C278
1 50V FAV_IN C273 C276 150p C284
R279
680R

R280
680R

50V C286
S408 50V 4n7 4n7 150p 150p D614

MAINA_R
MAINA_L
2 39p 50V 50V 50V n.c
50V
D704

D705

D706

R285
100R

MUTE
C269 BZT55C12
S409
3 C268 CIN
S410 75R 220n C274
4
SC1_AUDIO_R_OUT

SC1_AUDIO_L_OUT

R273 16V 150p C277


SC1_AUDIO_R_IN

SC1_AUDIO_L_IN

SVHS_Y_IN 50V 150p


S411 50V VESTEL ELEKTRONIK

SC1_V_OUT
5
SC1_FB

SC1_V_IN
PIN8_SC1

SC1_G
SC1_B

SC1_R

L266

S413

S414

S415

S416

S417
6 FAV_AUDIO_R_IN AR-GE
S412 C266
7

PL269
4n7
L265 50V
17MB11-6 A/V Board IN/OUT
8 FAV_AUDIO_L_IN

5
C267
4n7
Rev. by MUSTAFA YATIR
50V CONNECTORs BETWEEN
Huseyin E. Cetin 09.05.2005 Author
AUDIO AMP. BOARDS VESTEL R&D Sheet 02
MANISA, TURKEY
V_ODD_EVEN

1 1A

1k
R807
220n
C806
10k
R508
10k
2 1B

R509

220n
C807
C808
100n

3
16V

91
67
50
47
75
51
49
45
27
58
81
90
28

145
144
143
133
132
131
111
107
113
110
106
118
104
VXCLK

3 GND PL503
C475
S505
1

AGND

VSSD8
VSSD6
VSSD4
VSSD2
VSSD9
VSSD7
VSSD5
VSSD3
VSSD1
VSSA4
VSSA3
VSSA2
VSSA1
VSSA0

IC35

16V
VSSD12
VSSD10
VSSD13
VSSD11

100n
AGNDA
S418

C809
V_ODD_EVEN
4 1Y 2

VSS_XTAL
VXRH S419
136
TEST10 CLKEXT 3
39 154
TEST9 AMCLK S420
38 141

TEST18
TEST17
TEST16
TEST15
TEST14
TEST13
5 VCC TEST8 ASCLK 4
30 155
TEST7 ALRCLK S421
29 128

74LX1G86STR
TEST6 AMXCLK 5
26 146
C810 TEST5 EXMCLR S422

VCCD_3V3
25 17
TEST3 TDI 6
14 4
TDO S423
47n 46
25V
ADP8 TMS 7
149 18
ADP7 TCK S424
122 32
ADP6 NTRST 8
108
ADP5 S425
137 37 9
ADP4 XPD7
150 10
ADP3 XPD6 S426
109 22

VXRV
ADP2 XPD5 10
123 9
ADP1 XPD4 S427
151 21 11
ADP0 XPD3
138 8
XPD2 S428
20
TP325 NRES XPD1 12
148 7
R325 INT_A XPD0
152
VY 4k7 RTCO
112 33
VXRV_V RTS1 XRH VXRH
140 48
VXRH_H RTS0 XRV VXRV
126 6
VVCLK 47R LLC2 XCLK VXCLK
R326 135 19
LLC XDQ
147 5
R329 TP327 XRDY
VUV[7] 1 R1 8
39p

HPD7

C476
VUV[6] 2 R2 7 77 1
39p
10n

X325
C326
25V

3
HPD6 XTOUT
C327

VUV[5] R3 6 70 2
HPD5 XTALO L325
C325

VUV[4] 4 R4 5 69 16
HPD4 XTALI
VUV[3] 1 R1 8 62 24.576MHz 10u

VUV[0-7]
HPD3
VUV[2] 2 R2 7 60 153
HPD2 SDA
IC17

3 R327 SDA3V
VUV[1] R3 6 61 139
HPD1 SCL R328
100R
VUV[0] 4 R4 5 59
HPD0 SCL3V
VY[7] 54 23
R334 R331 XTRI
VY[6] 1 R1 8 114
IPD7 ITRI VY
1n

VY[5] 2 R2 7 99 134
IPD6 CE
C356

3 R3 6 93 129
VY[4] IPD5 FSW MAIN_FB
SAA7118

VY[3] 4 R4 5 94 43 C328
IPD4 AI4D 56R S757
1 R1 8 85 55
47n

VY[2] IPD3 AI44 R330 FB_OUT


R332

VY[0-7]
VY[1] 2 R2 7 86 41 C329
3
IPD2 AI43 22R MAIN_R
VY[0] R3 6 83 42 47n
IPD1 AI42 S754
4 R4 5 76 13
IPD0 AI41 R_OUT
78 63 C330
R337 AI3D
1 R1 8 71 47n
VVHS
56R

IGPH AI34 R335


R333

2 R2 7 100 65 C331
VVVS IGPV AI33 22R MAIN_B
3 R3 6 102 64 47n
VVPEN IDQ AI32 S755
4 R4 5 115 57
FIELD ICLK AI31 R338 B_OUT
130 79
TP328
47n

R340 ITRDY AI2D 22R MAIN_G


C332

142 89
MAIN_V
56R

IGP1 AI24 S756


R336

101 80 C333
IGP0 AI23 R339 G_OUT
116 73 47n
AI22 C334 22R
47n SVHS_Y_IN
74
TEST19 AI21 C335 R341
156 97
TEST12 AI1D 22R PIP_V
53 10547n
TEST11 AI14 R342
40 96 C336 C337
TEST2 AI13 22R VxtoMAIN
12 95 47n 47n
TEST4 AI12 R343
24 88 C338 47n
TEST1 AI11 22R
11 CIN
AOUT
117
AR-GE
56R
56R

56R
56R

Rev. by
R347
R344

R346
R345

Huseyin E. Cetin
17MB11-6
92
68
36
34
84
52
35
31
15
56
72
87
44
66
82
98

125
121
127
124
120
103
119

VDDD8
VDDD6
VDDD4
VDDD2
VDDD9
VDDD7
VDDD5
VDDD3
VDDD1
VDDA4
VDDA3
VDDA2
VDDA1
VDDA0

VDDD12
VDDD10
VDDD13
VDDD11
VDDA4A
VDDA3A
VDDA2A
VDDA1A

VY
VDD_XTAL
100n
100n

100n
100n

100n
100n
100n

C348
100n

100n

100n
C343

C349
C345

C347
C344
100n
100nC341

C346
C342
C339

C340
C350

09.05.2005
VESTEL ELEKTRONIK

16V
25V 100n
100u
IC325
C351 2 3
FAN1616AS-3.3 VCC_5V
C352
1
Author
16V
16V
16V

100u
100u
100n

22u
C355
C354
C353

L326

VESTEL R&D
VCCD_3V3

MUSTAFA YATIR

MANISA, TURKEY
Sheet 03
MAIN VIDEO DECODER SAA711
PC_G
PC_R

PC_B
SCL3

GDE
SDA

GHS

GHS

HDS

GAF
VCDGA1_3V3
L375

VCDGA1_3V3

VCDGA1_3V3

VCDGA1_3V3

VCDGA1_3V3

VCDGA1_3V3

VCDGA1_3V3
VCD_3V3 VCDGA1_3V3

C376

C380
10u

100n
25V

50V
IC19

22u
L376
STBY_3V3 VCD_
10u
1 S VCC
16

C387

C391
47n
C383

1n
2 1I0 OEN
15

C382

C388
100n
REFBYPASS

47n
47n C477
100n 100n 100n 100n 100n 100n
3 1I1 4I014

GND16

GND15

GND14

GND13

GND12

GND11
SOGIN
4 1Y 4I113

GAIN
RAIN

BAIN
60

59

58

57

56

AO55

54

53

52

51

50

49

48

47

46

45

44

43

42

41
C381 C384 C386 C389 C390 C392

SDA
VD9

VD8

VD7

VD6

VD5

VD4
SCL
74LVC257A
5 2I0 4Y12

6 2I1 3I011

7 2Y 3I110
C377

C393
100n

61 GND17 GND10
40 8 GND 3Y9
100n
VCDGA1_3V3 62 VD10 VD3
39 VCDGA1_3V3

63 GND18 CLAMP
38 25V GBLKSPL
100n

S430
GVS R383 64 VSOUT MIDSCV
37
C398 IC375
HDSOG R384 65 SOGOUT GND9
36 2 3
FAN1616AS-3.3 VCC_5V

C403
C404

C405

C406
100n

100u

100u

100n
16V

16V

16V

16V
1
GFBK R385 66 HSOUT PVD2
35
C478

GCLK R386 67 DATACK PVD1


34 50V
C402 33n
R382
C378
100n

68 GND19 FILT
33 3k3
C401
VCD_3V3
22R
69 VDD4 IC18 GND8
32
3n9 PC_VS
GRE[7] 1 R1 8 70 RED7 VSYNC
31 50V
GRE[6] R375
2
R2
7
71 RED6
AD9883 HSYNC
30 PC_HS
GRE[5]
3
R3 6 72 RED5 COAST
29 GCOAST
GRE[0-7]

GRE[4]

C394

S429
100n
4 5

25V
R4 73 RED4 GND7
28
GRE[3] 22R
1 R1 8 74 RED3 VD2
27 VCDGA1_3V3
GRE[2] R376

C395

C399
100n
100u
2 R2 7 75 RED2 VD1
26 VCDGA1_3V3
GRE[1]
3
R3 6 76 RED1 GND6
25
GRE[0]

C396

100n
4 R4 5

25V
77 RED0 GND5
24

78 VDD5 VDD3
23 VCD_3V3
C375

C379

C397

C400
100n

100n
100u
10u

VCD_3V3 79 VDD6 VDD2


22 VCD_3V3

80 GND20 GND4
21
2 GREEN7

3 GREEN6

4 GREEN5

5 GREEN4

6 GREEN3

7 GREEN2

8 GREEN1

9 GREEN0

12 BLUE7

13 BLUE6

14 BLUE5

15 BLUE4

16 BLUE3

17 BLUE2

18 BLUE1

19 BLUE0
1 GND1

10 GND2

11 VDD1

20 GND3

C385

100n
25V
VCD_3V3
4

1
R378

R380

R381
22R

22R

22R
4

R379
22R
R4

R3

R2

R1

R4

R3

R2

R1

R4

R3

R2

R1

VESTEL ELEKTRONIK
R4

R3

R2

R1
5

AR-GE
5

GBE[7]

GBE[6]

GBE[5]

GBE[4]

GBE[3]

GBE[2]

GBE[1]

GBE[0]
GGE[3]

GGE[2]

GGE[1]

GGE[0]
GGE[7]

GGE[6]

GGE[5]

GGE[4]

GBE[0-7]
17MB11-6 VGA INPUT TO GRAPHIC PORT PW18
Rev. by Author MUSTAFA YATIR
GGE[0-7]
Huseyin E. Cetin 09.05.2005 VESTEL R&D Sheet 04
EVEN 8-bits BLUE
MANISA, TURKEY
GHSSIL

GCLK

GFBK
GPEN
GVS
EVEN 8-bits RED

GRE[6]

GRE[5]

GRE[4]

GRE[3]

GRE[2]

GRE[1]

GRE[0]
GRE[7]
GRE[0-7]

S450

VCCD_3V3

VCCD_3V3
C479

R1 8

R2 7

R3 6

R4 5

R1 8

R2 7

R3 6

R4 5
R457
47R

22R

22R

VCCD_3V3
R473

R474

R475

R476
22R

22R

22R

22R

4
R458

R460
C457
330p
50V

C462
330p
50V
S432
S431
C458

S434
S433
C459

HSYNC

VSYNC

OGND2

OGND1
OVCC2

OVCC1
ODCK

GND2

VCC2
CTL3

CTL2

CTL1

QE23

QE22

QE21

QE20

QE19

QE18

QE17

QE16

QE15

QE14
50

49

48

47

DE46

45

44

43

42

41

40

39

38

37

36

35

34

33

32

31

30

29

28

27

26
QO1

QO0
R461
GBO[0] 22R 22R GGE[7]
1 R1 8 1 R1 8
GBO[1] R454 GGE[6]
2 R2 7 2 R2 7
GBO[2] GGE[5]
ODD 8-bits BLUE

EVEN 8-bits GREEN


3 6 3 6

GGE[0-7]
R3 51 QO2 QE13 25 R3
GBO[0-7]

GBO[3] GGE[4]
4 R4 5 4 R4 5
52 QO3 QE12 24 R464
GBO[4] 22R 22R GGE[3]
1 R1 8 1 R1 8
53 QO4 QE11 23
GBO[5] R455 GGE[2]
2 R2 7 2 R2 7
54 QO5 QE10 22
GBO[6] GGE[1]
3 6 3 6
R3 55 QO6 QE9 21 R3
GBO[7] GGE[0]
4 R4 5 4 R4 5
56 QO7 QE8 20

VCCD_3V3 57 OVCC3 OGND 19 C466


C450
50V
10u

C451 330p
50V
330p 58 OGND3 OVCC 18 VCCD_3V3
50V 22R GBE[7]

SIL151B
1 R1 8
R453 59 QO8 QE7 17
22R R462 GBE[6]
1 R1 8
2 7
60 QO9 QE6 16 R2
GGO[1] GBE[5]

IC20
2 7 3
R3 6

GBE[0-7]
R2 61 QO10 QE5 15
GGO[2] GBE[4]
3
R3 6
4 5
62 QO11 QE4 14 R4
GGO[0-7]

GGO[3] 22R GBE[3]


4 5 1
R4 63 QO12 QE3 13 R1 8
R450
GGO[4] 22R R463 GBE[2]
1 R1 8
2 7
64 QO13 QE2 12 R2
GGO[5] GBE[1]
2 7 3
R2 65 QO14 QE1 11 R3 6
GGO[6] GBE[0]
3
R3 6
4 5
66 QO15 QE0 10 R4
GGO[7] VCCD_3V3 SIL R467
4 5
R4 C453 67 VCC3 PDO 9 S451 4k7
330p R468 VCCD_3V3
50V
R451 68 GND3 SCDT 8 10k
22R R472
GRO[0] 1 R1 8 69 QO16 STAG-OUT 7 4k7 VCCD_3
VCCD_3V3

S455
GRO[1] 2 R2 7 70 QO17 VCC1 6 C467 C468
GRO[2] 330p 330p Connected: Staggered output
3
R3 6 50V 50V Open: Normaloutput
71 QO18 GND1 5
GRO[0-7]

GRO[3]
4 R4 5 72 QO19 PIXS 4 R471
GRO[4] 4k7 VCCD_3

C469

100n
1 R1 8

25V
73 QO20 ST 3
GRO[5] R469

S458

S435
2 7
R2 74 Q021 PD 2 4k7 VCCD_3V3
GRO[6]
3 6
R3 75 Q022 HS-DJTR 1 4k7

S453
99 RESERVED

GRO[7] R465
100OCK_INV
96 EXT_RES

4 5

SDA3V
R4

DDC_DATA_DVI
76 OGND4

83 AGND1

87 AGND2

89 AGND3

92 AGND4
78 OVCC4

84 AVCC1

88 AVCC2

95 AVCC3
79 AGND

82 AVCC

98 PGND

R452
93 RXC+

97 PVCC
80 RX2+

85 RX1+

90 RX0+
77 QO23

94 RXC-
81 RX2-

86 RX1-

91 RX0-

22R
R470
R466 4k7
10k

S454
S452

VCCD_3V3
S457
VCCD_3V3 SCL3V Connected: Low output drive strength
C452

390R
R459

Open: High output drive strength


50V
10u

C454 S436
330p DDC_CLK_DVI
C464
100p
25V

50V
C455

C456

C460
100p

100p

100p
25V

25V

25V

C465 S456
330p VCCD_3V3
VCCA_3V3

50V
25V

C461
100p

L450
VCCA_3V3
C463

BLM21A601S
50V
10u

DVI_RX2+

DVI_RX2-

DVI_RX1+

DVI_RX1-
VESTEL ELEKTRONIK
DVI_RX0+ AR-GE
DVI_RX0-
17MB11-6 DVI RECEIVER SIL151B
DVI_RXC+

DVI_RXC-
Rev. by Author MUSTAFA YATIR
Huseyin E. Cetin 09.05.2005 VESTEL R&D Sheet 05
MANISA, TURKEY
1
2
3
4

STBY_3V3
25V
100n
C500
PL500

25V
25V

VPD_1V5 100n
100n
100n
100n
100n
100n
100n

C507
C506
C505
C504
C503
C502
C501

25V
25V
25V
25V
25V
25V
25V

100n
100n
100n
100n
100n
100n
100n

C514
C513
C512
C511
C510
C509
C508

25V
100n
C515
VDD_2V5
VDD_1V5
N.C

S832
S833
S834

DIG_DIM
BL_ON/OFF

S831
2k7 CPUGO

88
86
44
24
95
94
93
92
91
90
89
87
R618

260
244
243
242
202
195
186
154
122
121
120
119
109
108
107
106
105
285
283
281
277
268
267
266
265
264
263
262
261
259
258
247
246
245
232
231
230
229
220
219
212
211
179
170
163
147
138
131
110
R619

S803
R620 27k
100k N.C

S500
RXD

S765
gnd

VDD3.3_9
VDD3.3_8
VDD3.3_7
VDD3.3_6
VDD3.3_5
VDD3.3_4
VDD3.3_3
VDD3.3_2
VDD3.3_1
VDD2.5_6
VDD2.5_5
VDD2.5_4
VDD2.5_3
VDD2.5_2
VDD2.5_1
VDD1.5_9
VDD1.5_8
VDD1.5_7
VDD1.5_6
VDD1.5_5
VDD1.5_4
VDD1.5_3
VDD1.5_2
VDD1.5_1

VDD3.3_19
VDD3.3_18
VDD3.3_17
VDD3.3_16
VDD3.3_15
VDD3.3_14
VDD3.3_13
VDD3.3_12
VDD3.3_11
VDD3.3_10
VDD1.5_35
VDD1.5_34
VDD1.5_33
VDD1.5_32
VDD1.5_31
VDD1.5_30
VDD1.5_29
VDD1.5_28
VDD1.5_27
VDD1.5_26
VDD1.5_25
VDD1.5_24
VDD1.5_23
VDD1.5_22
VDD1.5_21
VDD1.5_20
VDD1.5_19
VDD1.5_18
VDD1.5_17
VDD1.5_16
VDD1.5_15
VDD1.5_14
VDD1.5_13
VDD1.5_12
VDD1.5_11
VDD1.5_10

VDD1.5P_2
VDD1.5P_1
TXD
10 339
GCLK R819 GCLK GND59
29 286
GPEN R820 GPEN GND58 STBY_3V3
9 284
GVS R821 GVS GND57 R621
50 241

gnd
GHS R822 GHS GND56 10k
30 233 N.C
GSOG GND55

Q611
GRE[0] 222
GND54

IRQ_PING
S522

BC848B

IRRCVR
GRE[1] 84 221
GRE0 GND53

STBY_5
GRE[2] 43 218
GRE1 GND52

IRQ_PING
RGB_SW_2
21 217

PL107 N.C olmali.


GRE[3] GRE2 GND51 R500
104 216

1k
GRE[4] GRE3 GND50 4k7

gnd

Q500
42 215

R809
GRE[5] GRE4 GND49 CPUGO_1

TFT icin R618,R619,R620

BC848B
41 214 STBY_3V3

Q612
GRE[6] GRE5 GND48
63 213 R810

BC848B
GRE[7] GRE6 GND47

GRE[0-7]
62 201 4k7

S502
GRE7 GND46

BL_ON/OFF_1
GGE[0] 200
GND45
51 199 R811

S811
GGE[1] GGE0 GND44
4k7 STBY_3V3
BL_ON/OFF_1

GGE[2] 32 198
GGE1 GND43
31 197

100k
R501
GGE[3] GGE2 GND42 R505
8 196

Q754
GGE[4] GGE3 GND41 10k

KPD2
28 188 BC848B

BC848B
KPD1
KPD0
KPD5

GGE[5] GGE4 GND40


48 185 STBY_5 Q752
GGE[6] GGE5 GND39 S504
GGE[7] 7 184
GGE6 GND38

GGE[0-7]
27 183

IR_SW
GGE7 GND37 S503
GBE[0] 182
GND36 STBY_3V3

47k
38 181 33k

R812
GBE[1]
10k
10k

GBE0 GND35 R1
10k

R503
R502

GBE[2] 20 180
R504

GBE1 GND34
GBE[3] 37 169
GBE2 GND33
GBE[4] 19 168
GBE3 GND32
36 167

SD_IR
GBE[5] GBE4 GND31 C516 S848

220R
R507
STBY_3V3
17 166 22u
GBE[6] GBE5 GND30 50V
16 165 4
GBE[7] GBE6 GND29

GBE[0-7]
S847
15 164

IR_SW
GBE7 GND28 3
162
gnd

GRO[0] GND27
6 153 C517
STBY_3V3

LED1
LED2
GRO[1]
BL_ON/OFF_1

GRO0 GND26 100n 2


47 152
STBY_3V3

GRO[2] 25V
10k

GRO1 GND25
STBY_3V3
TFT icin PL107 NC olmali

R506

GRO[3] 26 151
GRO2 GND24 AMPON 1
GRO[4] 5 150
GRO3 GND23
GRO[5] 67 149
GRO4 GND22 PL107
GRO[6] 25 148

S838
GRO5 GND21

S839
S837
S835

S836
GRO[7] 46 137

S840
GRO6 GND20

GRO[0-7]
4 136
GRO7 GND19
GGO[0] 135
GND18
53 134
STBY_3V3

GGO[1] GGO0 GND17


GGO[2] 35 133
GGO1 GND16

5
4
3
2
1
GGO[3] 14 132
10n

GGO2 GND15
50V

PL508 OPSIYON
C518

GGO[4] 34 130 PL507

17IR18T KARTI ICIN


GG03 GND14
GGO[5] 13 123
GGO4 GND13
GGO[6] 52 118
GGO5 GND12
GGO[7] 33 75
AMPON

GGO6 GND11

GGO[0-7]
12 73

6
5
4
3
2
1

GGO7 GND10
GBO[0] 72
GND9
PL508

GBO[1] 58 71
GBO0 GND8
GBO[2] 97 69
GBO1 GND7
GBO[3] 57 68
S841

GBO2 GND6
S846
S845
S844
S843
S842

GBO[4] 39 65
GBO3 GND5
GBO[5] 96 64
GBO4 GND4
GBO[6] 56 23
GBO5 GND3
55 22
6
5
4
3
2
1

GBO[7] GBO6 GND2

GBO[0-7]
74 1
GBO7 GND1
PL506

11 77
GFBK R823 GFBK DBO7
70 76
GREF DBO6
54 79
GBLKSPL R824 CBLK_SPL DBO5
18 80
GCOAST R825 GCOAST DBO4
49 111
NC DBO3
98
DBO2
81 99
VCLK R826 VCLK DBO1

IC21
208 100
VPEN DBO0
83
VVS R827 VVS
103 112
VHS R828 VHS DG07
61 113

PW181
FIELD R829 FIELD DGO6
VR[0] 114
DGO5
VR[1] 82 124
VRO DGO4
VR[2] 101 125
VR1 DGO3
VR[3] 102 126
VR2 DGO2
117 139 gnd
VR[4] VR3 DGO1
VR[5] 116 140
VR4 DGO0
VR[6] 129
VR5

VR[0-7]
5
6
7
8

VR[7] 128 141


VR6 DRO7
115 142 gnd gnd gnd
VR7 DRO6
10p

C3

157
C782

VG[0] DRO5
10p

C4 4
3
C2 2
C1 1

VG[1] 146 156


VG0 DRO4
C781

5
6
7
10p 8
5
6
7
C780 8
5
6
7
8

VG[2] 127 158


VG1 DRO3
VG[3] 145 172
VG2 DRO2
C3
C4
C3
C2
C1
C3

144 174
10p

VG[4] VG3 DRO1


C779

C4 4
3
C2 2
C1 1
4
3
2
1
C4 4
3
C2 2
C1 1

VG[5] 143 173


VG4 DRO0
VG[6] 161
VG5 R510 47R
160 190 1 R1 8 BE[5] RE[0-7]

VG[0-7]
VG[7] VG6 DBE7
159 189 2 R2 7 BE[6]
VG7 DBE6 3
VB[0] 171 R3 6 BE[7]
DBE5
VB[1] 176 205 4 R4 5 BE[4]
VB0 DBE4
VB[2] 175 187 1 R1 8 BE[3] IC22
VB1 DBE3
177 206 2 R2 7 BE[2]
10p
C787

VB[3] VB2 DBE2

XIN_OPT
178 224 3 R3 6 BE[1] RE[4]
VB[4] VB3 DBE1
193 203 1 VCC1 TXIN4
56
C519 100n

VB[5] 4 R4 5 BE[0]
VB4 DBE0

VB[0-7]
191 RE[3]
VB[6] VB5 R511 47R 47R R513 RE[7]
VB[7] 207 236 1 R1 8 GE[4] 2 TXIN5 TXIN3
55
VB6 DGE7 RE[2]
VCCD_3V3

192 235 2 R2 7 GE[7]

C543
C544
RE[0-7]

VB7 DGE6 3 RE[5]


R830 234 R3 6 GE[6] 3 TXIN6 TXIN2
54
DGE5 GE[0]

RESET
343 204 4 R4 5 GE[5]
RESETN R831 RESET DGE4

S860
85 252 1 R1 8 GE[3] 4 TXIN7 GND5
53
MCKEXT DGE3 RE[1]
66 251 2 R2 7 GE[2]
SS_CLK DCKEXT DGE2
3 272 3 R3 6 GE[1] 5 GND1 TXIN1
52
X DGE1
GE[0-7]

C520
GE[1] RE[0]
onemli:L610 SOKULU OLMALI!!! PANEL_VCC_3V3 ICIN

45 271 4 R4 5 GE[0]
L751 SOKULU OLMALI!!! PANEL VCC_12V ICIN

XO DGE0 Z1
6 TXIN8 TXIN0
51
R517 47R R514 EXC24CD600
GE[2] RE[6]
PANEL_VCC SINYALI 3V3,12V VE GND OPSIYONLUDUR!!

344 292
IMPORTANT:L751 N.C

RXD R833 1 R1 8 RE[4]


RXD DRE7
304 312 2 R2 7 RE[7] 7 TXIN9 TXIN27
50 1 4 PL502

R512
X500
TXD R834 TXD DRE6
332 3 R3 6 RE[6] GE[6] R806
DRE5 RE[0-7]
303 250 4 R4 5 RE[5] 8 TXIN10 LVDSGND3
49 2 1 4k7
IRRCVR R835 IR_RCVR0 DRE4
323 291 1 R1 8 VCCD_3V3 2 3

14.31818MHz
KPD2 R836 IR_RCVR1 DRE3

C522
STBY_3V3

248 2 R2 7 C521 9 VCC2 TXOUT0-


48 4 3
DRE2 3 GE[7]
305 352 R3 6 RE[3] 100n
SDA3V R837 PORTA0 DRE1 16V Z2
325 311 4 R4 5 RE[2] 10 TXIN11 TXOUT0+
47 6 5 PANEL_
SCL3V R838 PORTA1 DRE0
345 RE[1] GE[3] 1 4
DVI_DATA R839 PORTA2 R519 47R
4
3
2
1
4
3
2
1

346 306 RE[0] 11 TXIN12 TXOUT1-


46 8 7
DVI_CLK R840 PORTA3 DNC3
326 59 GE[4]
AN_DIM R518 PORTA4 DNC2
C3
C3

347 40 12 TXIN13 TXOUT1+


45 2 3 10 9
RE[0-7]

R841 PORTA5 DNC1


S861

10p

S506
C784
C4 5
6
C2 7
C1 8
C4 5
6
C2 7
C1 8

R515 327 322 GE[0-7]


C783

R842 PORTA6 NM
R516 C523 307 282 13 GND2 LVDSVCC
44 VCCD_3V3 12 11
10p gnd

R843 PORTA7 EXTINT


R520 336 GE[5] Z3
CS1 R859 RGB_SW
R522 249 296 gnd 14 TXIN14 LVDSGND2
43 C790 14 13 S521
A/D_DIM_SEL R844 PORTB0 CS0 R860 PWRDN_LVDS 1 4
330 337 BE[0]
DIM_PORT PORTB1 RAMWE DS90C385MTD
310 317 15 TXIN15 TXOUT2-
42 16 15
STBY PORTB2 RAMOE
350 316 BE[6] VCCD
A20 R857 ROMWEN 2 3 S520
PORTB3 ROMWE
290 297 16 TXIN16 TXOUT2+
41 R814 18 17
PARITY R845 PORTB4 ROMOE R858 ROMOEN S519
351 324 R521
KPD3 R846 PORTB5 BHEN
331 335 VCCD_3V3 33R 17 R_FB TXCLKOUT-
40 1 4 20 19
KPD0 R847 PORTB6 WR PANEL_VCC_5
270 315 BE[7]
KPD5 R848 PORTB7 FD

STBY_3V3
Z4

18 TXIN17 TXCLKOUT+
39 22 21
BE[0-7]

BE[1] 2 3
19 TXIN18 TXOUT3-
38 24 23
BE[2]

4k7
R813

1 4

R524
20 TXIN19 TXOUT3+
37 26 25 PANEL_VC
A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
D0
D1
D2
D3
D4
D5
D6
D7
D8
D9
Z5
VCCD_3V3

A10
A11
A12
A13
A14
A15
A16
A17
A18
A19
D10
D11
D12
D13
D14
D15
DVS
DHS
DEN

21 GND3 LVDSGND1
36 28 27
2 3
DCLK
60
78

BE[0-7]
BE[3]

269
308
328
348
309
289
329
349
225
334
194
209
288
210
257
226
287
276
256
295
275
333
314
255
294
274
313
240
293
228
239
254
273
253
238
237
227
302
342
341
321
301
340
320
300
319
280
299
318
338
298
279
278
155
223

MODE0
MODE1
MODE2
MODE3

CPUTDI

PORTC2
PORTC3
PORTC4
PORTC5
PORTC6
PORTC7

PORTC0
PORTC1
ADR24B

CPUTCK
CPUTMS
22 TXIN20 PLLGD
35 30 29
100n
C524

CPUTD_O
BE[4]
S862

C789 10p

23 TXIN21 PLLVCC
34
C480

R849
R850
R851
R852
R853
R854
R855
R856
R818
R817
R816
R815

BE[5]

STBY_3V3
S523
S509
S508
S507
S518

3k3

24 TXIN22 PLLGND
33 VCCD_3V3
R558

C481
10u
50V
C526

PARITY 25 TXIN23 PWRDVNINV


32

SIL
C482

DIM_PORT
KPD4
KPD1
A[1]
A[2]
A[3]
A[4]
A[5]
A[6]
A[7]
A[8]
A[9]
D[0]
D[1]
D[2]
D[3]
D[4]
D[5]
D[6]
D[7]
D[8]
D[9]

MUTE
A[10]
A[11]
A[12]
A[13]
A[14]
A[15]
D[10]
D[11]
D[12]
D[13]
D[14]
D[15]

VCCD_3V3 26 VCC3 TXCLKIN


31
AMPON

GAFEOE
C527

GDECOE
C483
A[16]
A[17]
A[18]
A[19]

D[0-15] D[0-15] 100n 27 TXIN24 TXIN26


30
50V

10k
10p

BL_ON/OFF A[0-19] 16V


Q501

1u

R523
C788

28 TXIN25 GND4
29 C484
10k

STBY_3V3
R528
S510
4k7

C525
R529

DHS C485
DVS
DHS
DEN

DCLK

IC23 DVS
STBY_3V3

A[16]
1 A15 A16
48
A[17]

STBY_3V3
A[15]
S850
S849

DIG_DIM
DEN

R527
220R
R526
220R

2 A14 BYTE
47 STBY_3V3
DCLK

A[14] LED1
25V

3 A13 VSS2
46
100n

C528

A[13] D[15] LED2


VCCD_3V3

STBY_3V3
STBY_3V3

4k7 STBY_3V3
4 A12 DQ15_A_1
45

3k3
4k7
4k7
4k7
4k7
4k7

R530

R557
R540
R539
R538
R537
R536

A[12] D[7] R531


PWRDN_LVDS

Q502
BC848B 4k7
5 A11 DQ7
44
KPD3
PANEL_VCC_5V KULLANILMADIGINDA L609 SOKULECEK; S862 TAKILACAK

A[11] D[14]
6 A10 DQ14
43
A[10] D[6] R532 KPD4
Q503
BC848B 4k7
A20

7 A9 DQ6
42
STBY
S854
S853
S858
S857

A[9]
3 A2
2 A1
1 A0

D[13]
8 A8 DQ13
41
4 VSS

AN_DIM
D[0-15]

4k7 STBY_3V3
R533
DIM_PORT

A20 D[5]
BL_ON/OFF

9 NC1 DQ5
40
50V
A/D_DIM_SEL

10u D[12]
D[0-15]
3 A2
2 A1
1 A0

10 NC2 DQ12
39
D[4]
S1

C795
4 GNDS0

ROMWEN
VSS

C532 11 WE DQ4
38
25V
IC24

STBY_3V3 100n STBY_3V3


12 RP VCC
37 27p
1 PD-

XIN/CLKIN

MT28F800B3W
2 XOUT

D[11] C794
STBY_3V3 13 VPP DQ11
36 C536
Q504
X501
24LC32A

STBY_3V3

S511
BC858B
5
6
WP7
8

SCL

D[3]
SDA

IC26
VCC

3 XIN/CLKIN

STBY_3V3 14 WP DQ3
35 27p
SSCLK

25V 16V
FRSEL
XOUT

VDD

100p 100n
3k3 D[10]
15 NC3 DQ10
34
10k

A[19]
CY25814
S512

R544

R542
1k

D[2]
IC36

C538 C537
5
6
WP7
8

SCL

16 A18 DQ2
33
SDA

R534
VCC

Q505
25V

A[18] S859
100n

BC848B

D[9] XIN_OPT
17 A17 DQ9
32
C542

A[8] D[1]
C791
4
5
6
VSS

18 A7 DQ1
31
VDD
16V

A[7] D[0-15]
SCL3V

SDA3V

R861
100u
C540

D[8]
4 VSS

10k

100n
3 NC3
2 NC2
1 NC1

ASM3P2779A

19 A6 DQ8
30
S856
S855

R543
MODOUT

A[6] D[0] C793


3k3 20 A5 DQ0
29
R545 A[5]
Rev. by
AR-GE

21 A4 OE28 ROMOEN
Huseyin E. Cetin

A[4]
STBY_3V3

22 A3 VSS1
27
S513

17MB11-6
IC25

A[3]
SS_CLK

STBY_3V3 R546
STBY_3V3
STBY_3V3

23 A2 CE26 3k3
A[2]
24 A1 A025
10u
50V
ST24LC21

A[1]
C531
5
6
7
8

SCL

SDA
VCC

A[0-19]
VCLK
DVI_CLK

25V 25V
DVI_DATA

09.05.2005

560p 100n
BC848B BC848B BC848B BC848B
C535

A[0-19]

Q507 Q509 Q506 Q508


25V
100n

C539 C541
STBY_1V5

VCC_3V3

VESTEL ELEKTRONIK
L501 10u
L500 10u

Author
STBY_3V3
25V

STBY_3V3 STBY_3V3
100n
C534

D[0-15]
4k7
4k7
4k7
4k7

R551
R550
R549
R548

RESET

22u
50V

3k3
C529

1
3
5
7
9

A[2]
R547
D[15]
STBY_3V3

A[4]
A[6]
A[8]
A[9]
A[1]

R553 R552
A[19]
A[11]
A[13]
A[15]
25V
100n
C533

STBY_3V3 10k 10k


9
7
5
3
1
2
4
6
8

D[3]
D[2]
D[1]
D[0]

49
47
45
43
41
39
37
35
33
31
29
27
25
23
21
19
17
15
13
11

D[14]
D[13]
D[12]
10
PL505

VESTEL R&D
8
6
4
2

50
48
46
44
42
40
38
36
34
32
30
28
26
24
22
20
18
16
14
12
10
PL504
100R
R555
100R
R554

22u
50V
C530

MUSTAFA YATIR

MANISA, TURKEY
PW181 CONTROLLER
3k3
STBY_5V

R556

Sheet 06

VCC_3V3
SCL5V

ROMOEN

A[3]
A[5]
A[7]
D[7]
D[6]
D[5]
D[4]
D[9]
D[8]
SDA5V

A20

A[18]
A[10]
A[12]
A[14]
A[16]
D[11]
D[10]

A[17]
VPD_1V5

VDD_1V5

ROMWEN
VCC_8V
17MB11-6 POWER INPUT
10
VESTEL ELEKTRONIK
9 AR-GE Author MUSTAFA YATIR
L610 [12V]
8 PANEL_VCC

VCC_12V_ON

C618

100u
Rev. by

16V
IC119 09.05.2005 Huseyin E. Cetin Sheet 07
7 +33V
C607

6 STBY1 1 OUT1 VCC+


8
1u S603

R600

R602

R603
330k

200k

51k
5 50V
R607
4 A/D_DIM_SEL 2 INVIN1 OUT2
7 20k DIG_DIM_PWM

C609

S602
3n3
3 DIG_DIM_PWM
LM358N
2 AN_DIM 3 NONINVIN1 INVIN2
6 DIG_DIM

C608

100n
25V
C602

R601
330k
BL_ON/OFF 68n
1 50V
PL602 4 VCC- NONINVIN2
5

D607

1N4007
LM317
IC600
L607
VCC_12V_ON 3 IN OUT
2 VCC_8V

1N4148
ADJ

C610
R614

D602

50V
1 C615

C613
47u

50V
C611

47u
270R 100n

R613
330R
100n 25V
25V

PL600

C606

100n
25V
R808
Q753
1 BC848B 10k STBY1
STBY_5
2
D600

3
1N4007
STBY_3V3A
L606 D601
4
STBY_3V3 VDD_2V5
C603
100u

C604
100n
25V

16V

1N4007
1000u

5
C600
16V

6
STBY_3V3
7
L608
STBY_3V3A 50V

1000u

R617
C612
1u

2k2
16V
C616

L609

GND 3

ENABLE 1
C617
100u

PANEL_VCC_5V

TPS72501
IN
S600
STBY_3V3

PL601 STBY_5V

IC27
RESET/FB
L605
1 VCC_5V
1000u

[3V3]
C601
16V

L751 PANEL_VCC
2
C766
100u
16V

L600

GND1
3 VCCD_3V3

OUT
L601
4
R612
4k7

L602 VCC_3V3
5 VCD_3V3

6
L603
6 VCCD_3V3 S601
L604 STBY1 STBY_1V5
C614

R615
220n
25V

7
47k
VCCA_3V3 R609
Q602
STBY 1k BC848B
8
R611
4k7

9
R616
120k

10

11

12
3

91
67
50
47
75
51
49
45
27
58
81
90
28

145
144
143
133
132
131
111
107
113
110
106
118
104
PL510
SD_R

AGND
2 1

VSSD8
VSSD6
VSSD4
VSSD2
VSSD9
VSSD7
VSSD5
VSSD3
VSSD1
VSSA4
VSSA3
VSSA2
VSSA1
VSSA0

VSSD12
VSSD10
VSSD13
VSSD11
AGNDA
4 3 SD_G

VSS_XTAL
136
TEST10 CLKEXT SD_B
39 154 6 5
TEST9 AMCLK
38 141

TEST18
TEST17
TEST16
TEST15
TEST14
TEST13
TEST8 ASCLK SD_F
30 155 SD_CVBS 8 7
TEST7 ALRCLK S620
29 128
TEST6 AMXCLK IR_
26 146 10 9
TEST5 EXMCLR
25 17
TEST3 TDI L_IDTV_SD R_IDTV_SD
14 4 12 11
TDO
46
ADP8 TMS
149 18 14 13
ADP7 TCK
122 32
ADP6 NTRST
108 16 15
ADP5
137 37
ADP4 XPD7 SD_IR
150 10 18 17
ADP3 XPD6
109 22
ADP2 XPD5 VCC_5V
123 9 20 19
ADP1 XPD4
151 21
ADP0 XPD3
138 8
XPD2
20
NRES XPD1
148 7
INT_A XPD0
152
RTCO
112 33
RTS1 XRH
140 48
RTS0 XRV
126 6
LLC2 XCLK
135 19
LLC XDQ
GBE[7] 147 5
R653 XRDY
GBE[6] 1 R1 8
HPD7
39p
39p

2 R2 7 77 1
10n

X650
C652
C651

GBE[5]
25V

HPD6 XTOUT
C650

3 R3 6 70 2
GBE[4] HPD5 XTALO
4 R4 5 69 16 10u
GBE[3] HPD4 XTALI 24.576MHz
GBE[2] 1 R1 8 62
HPD3 L650
GBE[1] 2 R2 7 60 153 SDA3V
HPD2 SDA R651

IC28
3 R3 6 61 139

GBE[0-7]
GBE[0] HPD1 SCL R652
4 R4 5 59 SCL3V
HPD0
54 23
R655 R658 XTRI
GGE[7] 1 R1 8 114
IPD7 ITRI GDECO
1n

GGE[6] 2 R2 7 99 134
50V

IPD6 CE S612
C682

GGE[5] 3 R3 6 93 129
IPD5 FSW FB_OUT
GGE[4] 4 R4 5 94
IPD4
SAA7118 43
AI4D
S616
FB_OU
GGE[3] 1 R1 8 85 55 C654 56R
IPD3 AI44 R656
C653

47n S613
GGE[2] 2 R2 7 86 41 R654
IPD2 AI43 22R R_OUT
GGE[1] 3 R3 6 83 42 47n S617

GGE[0-7]
IPD1 AI42 R_OUT
GGE[0] 4 R4 5 76 13 C655
IPD0 AI41
78 63
R661 AI3D
1 R1 8 71 C656
56R

GHS IGPH AI34 47n R659


R657

2 R2 7 100 65 S614
GVS IGPV AI33 22R B_OUT
3 R3 6 102 64 S618
GPEN IDQ AI32 47n B_OUT
4 R4 5 115 57
GCLK ICLK AI31 R662
130 79 C657 S615
R663 ITRDY AI2D 22R G_OUT
142 89 S619
56R

PIP_V IGP1 AI24 47n G_OUT


R660

101 80 C658

C486
IGP0 AI23
116 73 C659
AI22 47n SVHS_
74
TEST19 AI21 47n R664
156 97 C660
TEST12 AI1D 22R MAIN_
53 105 C661
TEST11 AI14 47n C662
R665
40 96
TEST2 AI13 47n 22R VxtoPI
12 95 C663
TEST4 AI12 47n
24 88
TEST1 AI11 CIN
11
AOUT 47n

Rev. by
117

AR-GE
56R

56R
R666

Huseyin E. Cetin
R667

17MB11-6
92
68
36
34
84
52
35
31
15
56
72
87
44
66
82
98

125
121
127
124
120
103
119

VDDD8
VDDD6
VDDD4
VDDD2
VDDD9
VDDD7
VDDD5
VDDD3
VDDD1
VDDA4
VDDA3
VDDA2
VDDA1
VDDA0

VDDD12
VDDD10
VDDD13
VDDD11
VDDA4A
VDDA3A
VDDA2A
VDDA1A

09.05.2005
50V

100n
100n
100n
100n
100n
100n
100n
100n

C671
C670
C669
C668
VDD_XTAL C667
100n
C664

100n
100n
100n

C676
C675

VESTEL ELEKTRONIK
100nC674
C673
C666
C665
C672

16V
25V 100n
Author

100u
IC650
C677 2 3
FAN1616AS-3.3 VCC_5V
C678
1
16V
16V
16V

100u
100u
100n

22u
C681
C680
C679

L651

VESTEL R&D
MUSTAFA YATIR

MANISA, TURKEY
PIP VIDEO DECODER SAA7118
VCCD_3V3

Sheet 08
VUV[7]

VUV[6]

VUV[5]

VUV[4]

VUV[3]

VUV[2]

VUV[1]

VUV[0]
VUV[0-7]

PW1231_2V5_SW

PW1231_3V3_SW
PW1231_3V3_SW

PW1231_3V3_SW
VVCLK
VVPEN
VVHS

VVVS
VUV[0-7] L700 L701
VY[0-7]

VY[7]

VY[6]

VY[5]

VY[4]

VY[3]

VY[2]

VY[1]

VY[0]
VCD_3V3 PW1231_3V3_SW PW1231_3V3

C726

C727

C728
C733

C737

C741
22u BLM21A601S

100n

100n

100u

100n

100n

100u
16V

16V

25V

25V

25V
VY[0-7]
C705 C706
R715

C489

D700
C488

1N4007
X700 L702

LM1117
OUT IN 3
R724

R723

VOUTGND
PW1231_2V5_SW PW1231_2V5

D701

C729
C734

C738

C742
BLM21A601S

IC31

100u

100n

100n

100u
1N4007
1

25V

25V

25V
C717
C704 10MHz C710

MACRO
XTALO
100n 100n

PVCLK

SVCLK

PVDD4

4
2W_A2

2W_A1

XTALI

PVSS5
120

119

118

117

116

115

114

113

112

111

110

109

108

107

106

105

104

103

102

101

100
VDD3
100n

PVHS

PVVS

SVVS

SVHS
CREF

VSS3

99

98

97

96

95

94

93

92

91

90

89

88

87

86

85

84

83

82

81
VG7

VG6

VG5

VG4

VG3

VG2

VG1

VG0
VR7

VR6

VR5

VR4

VR3

VR2

VR1

VR0

VB7

VB6

VB5

VB4

VB3

VB2

VB1

VB0

2
L703
PW1231_2V5

C735

C739

C743
BLM21A601S

100n

100n

100u
25V

25V
C700

C720
100n

100n
25V

PW1231_3V3_SW 121PVDD5 PVDD3


80 PW1231_3V3_SW
L704
122PVSS6 PVSS4
79 PW1231_2V5

C736

C740

C744
BLM21A601S

100n

100n

100u
25V

25V
C701

100n
25V

123MPDVSS DPAVSS
78
C721

C722
100n
PW1231_2V5_D2 124MPAVDD DPAVDD
77
100n
S851
125SCL DPDVSS
76 PW1231_2V5_D1
SCL3V S852
126SDA DPDVDD
75
SDA3V R710 PW1231_3V3_SW

C723
100n
10k 127TDO CGMS
74
R711
PW1231_3V3_SW 10k 128TCK DEN
73
R712
10k 129TDI TESTCLK
72
R713

C724

100n
10k 130TMS VSS2
71
R714
10k 131TRSTN VDD2
70 PW1231_2V5_SW
RAMD[0]
132RESET-N MD0
69
R709

RESETN
VESTEL
C702

DEINTERLACER FOR
100n

RAMD[15]
25V

133VDD4 MD15
68 ELEKTRONIK MAIN VIDEO ROUTE
PW1231_2V5_SW AR-GE
RAMD[1]
134VSS4 MD1
67
Rev. by
C490

RAMD[0-15]
135TEST MD14
66 Author MUSTAFA YATIR Huseyin E. Cetin
RAMD[14]

VCLK R706 136DCLK MD2


65 RAMD[2] 17MB11-6 09.05.2005 Sheet 09
VVS R707 137DVS MD13
64 RAMD[13]

RAMD[0-15]
VHS R708 138DHS MD3
63
RAMD[3]
R700
IC29

RAMD[0-15]
VB[0] 1 8
R1 139DB0 MD12
62 RAMD[12]
VB[1]

VB[2]
2

3
R2
7
140DB1
PW1231 MD4
61 RAMD[4]
RAMD[11]
R3 6 141DB2 MD11
60
VB[0-7]

RAMD[5]
VB[3] 4 5
R4 142DB3 MD5
59
VB[4] R701 RAMD[10] 25V
1 R1 8 100n

gnd
143DB4 MD10
58
RAMD[6]
VB[5] 2 7

gnd
R2 144DB5 MD6
57 C746
VB[6] RAMD[9]
RAMD[0-15] 28 VSS1 VDD3 27
3
R3 6 145DB6 MD9
56 PW1231_3V3_SW

RAMA[0-13]
PW1231_3V3_SW
RAMD[7] 29 A4 A3 26 RAMA[3]
VB[7] 4 5
R4 146PVDD6 MD7
55 RAMA[4]

RAMA[0-13]
C703
RAMD[8] RAMA[5] 30 A5 A2 25 RAMA[2]
147PVSS7 MD8
54
100n
RAMA[6] 31 A6 A1 24 RAMA[1]

100n

RAMA[0-13]
148DB7 PVSS3
53
PW1231_3V3_SW
VG[0]

C495
R702 32 A7 A0 23

50V
RAMA[7] RAMA[0]

10p
1 8
R1 149DG0 PVDD2
52
C725
VG[1]

RAMA[0-13]
RAMA[8] 33 A8 A10 22 RAMA[10]
2 7
R2 150DG1 MCLK
51 R722 RCLK
VG[2] R719 34 A9 BA1 21
3 RAMA[9] RAMA[13]
R3 6 151DG2 MWE
50 47R RWENR
VG[0-7]

VG[3] R720 RAMA[11]35 A11 BA0 20 RAMA[12]


4 R4 5 152DG3 MCAS
49 47R RCASNR

RAMA[0-13]
VG[4] R703 R721 PW1231_3V3_SW 36 NC1 CS 19

R718
330R
1 C492
R1 8 153DG4 MRAS
48 47R RRASNR
VG[5] 37 CKE RAS 18 RRASNR

C730
C493

100n
2 R2 7 154DG5 MCLKFB
47
VG[6] RCLK 38 CLK CAS 17 RCASNR
3 C494
R3 6

C786
gnd
155DG6 MA12
46

25V
10p
VG[7] 39 DQMH WE 16 RWENR
4 5

gnd
R4
R704 156DG7 MA11
45 25V
VR[0] 100n
40 NC2 DQML 15
1 R1 8

gnd
157DR0 MA13
44
gnd
VR[1]

RAMD[0-15]
41 VSS2 VDD2 14 C747
2 R2 7
VR[2]
158DR1 MA9
43
RAMD[8]
MT48LC4M16A2 PW1231_3V3_SW

3
42 DQ8 DQ7 13 RAMD[7]
R3 6

gnd
159DR2 MA10
42 PW1231_3V3_SW
25V
VR[3] 100n

gnd
PW1231_3V3_SW 43 VDDQ3 VSSQ2 12
4 R4 5 160PVDD7 MA8
41
C491

RAMD[0-15]
RAMD[0-15]
C731
RAMD[9] 44 DQ9 DQ6 11 RAMD[6]
25V
30 PVDD1
19 RSV10

20 RSV11

21 RSV12

22 RSV13

23 RSV14

24 RSV15

25 RSV16

26 RSV17

27 RSV18

28 RSV19

29 RSV20

31 RSV21

32 RSV22
1 PVSS1

9 PVSS2
7 VDD1

100n
VR[0-7]

gnd
10 RSV1

11 RSV2

12 RSV3

13 RSV4

14 RSV5

15 RSV6

16 RSV7

17 RSV8

18 RSV9

45 DQ10 DQ5 10
8 VSS1

33 MA4

34 MA3

35 MA5

36 MA2

37 MA6

38 MA1

39 MA7

40 MA0 RAMD[10] RAMD[5]


2 DR3

3 DR4

4 DR5

5 DR6

6 DR7

gnd
46 VSSQ3 VDDQ2 9 C748
PW1231_3V3_SW

RAMD[11] 47 DQ11 DQ4 8 RAMD[4]


C707 C708 C709 C711 C712 C716 100n C719
C487

RAMD[0-15]
R705 RAMD[12] 48 DQ12 DQ3 7 RAMD[3]
C715

RAMD[0-15]
VR[4]
10n

gnd
100n 100n 100n 100n 100n PW1231_3V3 100n C718 100n 25V
R716
270R
PW1231_3V3

PW1231_3V3

PW1231_3V3

PW1231_3V3

1 R1 8 100n 100n

gnd
PW1231_2V5_SW

PW1231_3V3_SW

25V 25V 25V 25V 25V 25V PW1231_3V3_SW 49 VDDQ4 VSSQ1 6


PW1231_2V5_1

25V
PW1231_2V5_1

PW1231_2V5_1

VR[5]

RAMD[0-15]
2 7
R2 C732
RAMD[13] 50 DQ13 DQ2 5 RAMD[2]
VR[6] 10n 10u 25V
VR[0-7]

3
R3 6 100n
C713

C714

RAMD[0-15]

RAMD[0-15]
RAMD[14] 51 DQ14 DQ1 4 RAMD[1]
VR[7]

gnd

RAMD[0-15]
4 R4 5 52 VSSQ4 VDDQ1 3 C749
PW1231_3V3_SW
RAMD[15]
RAMA[10]

RAMA[13]
RAMA[11]
RAMA[9]

53 DQ15 DQ0 2 RAMD[0]


RAMA[7]

RAMA[8]

gnd
25V PW1231_3V3_SW
100n

gnd
54 VSS3 VDD1 1
RAMA[12]

C750
RAMA[0]
RAMA[4]

RAMA[3]

RAMA[5]

RAMA[2]

RAMA[6]

RAMA[1]

C745
IC30
100u
RAMA[0-13]
RAMA[0-13]

RAMA[0-13]
IC32

1 PWM P1.5
52

AR-GE

Rev. by
2 PWM0 P1.4
51

Huseyin E. Cetin
17MB11-6
33p
50V

C990
R750
3 PWM1 SDA
50 100R SDA3V

VCCA_3V3
50V
100u

R751
C751 4 PWM2 SCL
49 100R SCL3V

33p
50V

09.05.2005
C752

VESTEL ELEKTRONIK
22u
5 PWM3 P1.3
48

L750
C753

100n
16V 6 PWM4 P1.2
47

Author
C754

16V 100n
7 PWM5 P1.1
46
50V 100u

C755
8 PWM6 P1.0
45

VESTEL R&D
MUSTAFA YATIR
3V3_TXT

MANISA, TURKEY
47k

9 ADC0 VDDP
44
R752

TELETEXT DECODER
50V

50V
100n

C756

10u

3V3_TXT
10 ADC1 RESET
43 3V3_TXT

Sheet 10
C757

C758
Q750
BC858B

11 ADC2 XTALOUT
42
IC33 18p
50V
C759

3V3_TXT
X750

R753
12MHz

1 A0 VCC
8 12 ADC3 XTALIN
41 47k
18p R754
16V

50V
100n

C760 47k

2 A1 WP7 13 VSSC OSCGND


40
BC858B
Q751

24C32 SAA5264
3 A2 SCL
6 14 SCL-NVRAM VDDC
39 3V3_TXT
100R
R755

50V
100n

2V4
C761

R756
4 GND SDA
5 15 SDA-NVRAM VSSP
38 1 2 1k
A D950 K

VXRV_V
10k
10k

16 P0.2 VSYNC
37 S813
R758
R757

VXRV
S815
VXRH_H
3V3_TXT

16V

17 P0.3 HSYNC
36 S812
100n

C762

VXRH
S814

18 P0.4 VDS
35 47R TXT_FB
R759
3V3_TXT

19 P0.5 R 34 33R TXT_R


R760

20 P0.6 G 33 33R TXT_G


R761

21 P0.7 B 32 33R TXT_B


R762

22 VSSA WDDA
31 3V3_TXT
50V

C764
100n

C763

TXT_CVBS 23 CVBS0 PWM7


30
100n
50V

24 CVBS1 COR
29
S753
S752
S750

C765
S751

25 SYNC_FILTER TEST
28
100n
50V
R763
24k 26 IREF FRAME
27
TXT_R
TXT_B

TXT_G
TXT_FB

MAIN_R
MAIN_B

MAIN_G
MAIN_FB

Vous aimerez peut-être aussi