Vous êtes sur la page 1sur 10

D540 Journal of The Electrochemical Society, 162 (10) D540-D549 (2015)

0013-4651/2015/162(10)/D540/10/$33.00 The Electrochemical Society

Numerical Simulation and Mechanism Analysis of Through-Silicon


Via (TSV) Filling Using an Arbitrary Lagrange-Eulerian (ALE)
Method
Yazhou Zhang, Yunna Sun, Guifu Ding,z Yan Wang, Hong Wang, and Ping Chengz
National Key Laboratory of Science and Technology on Micro/Nano Fabrication, Department of Micro/Nano
Electronics, School of Electronic Information and Electrical Engineering, Shanghai Jiao Tong University,
Shanghai 200240, Peoples Republic of China

A physicochemical model for electroplating copper in through-silicon vias (TSVs) is proposed to investigate the filling mechanism.
Finite element method (FEM) and multifrontal massively parallel sparse direct solver (MUMPS) are employed to solve the numerical
model. The motion of the fluid/solid interface (electrolyte/metal interface) is tracking using the Arbitrary Lagrange-Eulerian (ALE)
method. Compared to other models, the diffusion, adsorption, desorption and incorporation of the additives (accelerator and
suppressor) and ions (cupric ion and chloride ion) are included in this model. Simultaneously, the deactivation of the accelerator and
the process parameters for copper deposition are also considered in the model. Numerical simulations are performed for filling the
40 m 140 m blind vias. The , V, 8, and extreme bottom-up filling models are achieved by verifying the component
of plating baths. A good match is achieved qualitatively between the simulated profiles and the real-world filling experiments.
2015 The Electrochemical Society. [DOI: 10.1149/2.0671510jes] All rights reserved.

Manuscript submitted June 9, 2015; revised manuscript received July 23, 2015. Published August 5, 2015.

Through silicon via (TSV) is one of the key technologies for the surface will be predominantly covered by accelerator after the depo-
three-dimensional (3D) IC/Si integration that can further enhance the sition time exceeding 1000 s if the displacement of the suppressor by
performances of integrated circuit (IC).1,2 It provides the shortest the accelerator is considered. This would cause the conformal filling
vertical interconnections with a large number of significant advan- model,18 which is not coincide with the real large dimension TSVs
tages, such as a wide bandwidth, smaller form factor, lower energy filling experiments. Tatsuro Matsuoka et al. and Liu Yang et al. use the
consumption, higher density, and improved electrical performance.1 diffusion-adsorption mechanism to explain the TSVs filling process,
Damascene process is widely used for fabricating on-chip intercon- respectively.2,19 However, the incorporation of organic additives into
nections in ultra large scale integrations (ULSIs).3,4 To accomplish the deposits is not considered in their models. It is worth noting that
void-free filling, several additives such as bis(3-sulfopropyl)disulfide the models mentioned above are reasonable in Damascene or special
(SPS), poly(ethylene glycol) (PEG), Janus green B (JGB), and chlo- structure. Due to the complex filling process, the different mecha-
ride ions (Cl ) are usually introduced in the plating bath.510 The nisms may become predominant depending on the via dimension and
bottom-up superfilling model has been proposed by Moffat et al. and plating conditions.
explained by the curvature enhanced accelerator coverage (CEAC).3 Actually, the TSVs filling process is complex, which contains the
The Damascene process can provide a reference for electrode- physicochemical dynamic process. During the via filling process, the
positing copper in TSVs. However, the Damascene process usually additives and cupric ions diffusion, adsorption, desorption, incorpo-
results in large voids in the TSVs and the bottom-up superfilling can- ration, and oxidation-reduction reaction occur simultaneously in the
not be achieved easily. Because the dimension of TSVs is ca. 100 via solution and via surface.16,20,21 The dissolved oxygen along the via
times larger than that of Damascene structure, a longer plating time depth direction also affects the activation of accelerator, which oxi-
is needed for TSVs and the depletion of cupric ion cannot be ignored dizes Cu+ to Cu2+ .2,17,22 Besides, the convective transport of the addi-
during TSVs filling.11 The long plating time leads to a large consump- tives and cupric ions in the TSVs also affects the filling process.2325
tion of additives and abundant accelerator adsorption on the whole New model is urgently needed to simulate the complex TSVs
plating surface.11,12 Therefore, the acceleration effect due to CEAC filling process. In this paper, the diffusion of the additives and ions
is not enough to achieve bottom-up deposition in the TSVs. So the (chloride ions and cupric ions), the adsorption, desorption and in-
modifications have been incorporated into the CEAC framework.13,14 corporation of the additives, oxidation-reduction reaction in the via
However, these models have not included the transport and adsorp- solution and surface, and the dissolved oxygen along the via depth
tion processes associated with the suppressor.15 Actually, it has been direction are considered in this model simultaneously. At present, the
reported that the bottom-up filling model is closely related to the level set method (LSM) is generally used to simulate the vias filling
suppressor concentration.16 process. Arbitrary-Lagrangian-Eulerian (ALE) method can capture
A model based on the diffusion and adsorption mechanism was the advantages of both Lagrangian and Eulerian while minimizes
proposed by Rohan Akolkar et al.15 The distribution of the additives is their disadvantages. It combines Lagrangian mesh and Eulerian mesh
translated into time and location dependent copper deposition rates to together in a single analysis to provide the ability to predict the in-
simulate the vias filling process, and the effect of the local area reduc- teraction between fluid and structure elements.26,27 In this paper, the
tion during the via filling on the additives distribution is incorporated Arbitrary Lagrange-Eulerian (ALE) method is used to track the vias
into the simulation.15 However, it is assumed that the concentrations surface shifting during the vias filling process, and the TSVs filling
of the accelerator and cupric ions within the via remain constant and mechanism is analyzed according to the simulation results. At last,
equal to their bulk values, which may be inappropriate for the large the real wafer-segment TSVs are electroplated to verify the rationality
dimension of TSVs (ca. 100 times larger than Damascene structure) of the numerical model.
since the depletion of cupric ion and additives inside TSVs should
be considered.17 Besides, the displacement of the suppressor by the
accelerator due to competitive adsorption was assumed to occur on via The Additives-Assisted Electrodeposition Model
surface during the via filling process, which is suitable for the Dam-
In this section, a physicochemical model is built to investigate the
ascene structure. However, the filling time of TSVs is several orders
vias filling mechanism and the distribution of the additives surface
of magnitude longer than that of Damascene structure, and the via
coverage. In order to explore the transport of the additives and cupric
ion in the via, the Nernst-Planck equation is introduced. Based on
the mass conservation, a non-linear partial differential equation is
z
E-mail: gfding@sjtu.edu.cn; pcheng2008@sjtu.edu.cn achieved for each additive/ion presented in the electrolyte, which can

Downloaded on 2017-09-23 to IP 157.16.181.247 address. Redistribution subject to ECS terms of use (see ecsdl.org/site/terms_use) unless CC License in place (see abstract).
Journal of The Electrochemical Society, 162 (10) D540-D549 (2015) D541

describe the spatial and temporal variation of the molar concentration


Ci :
Ci 2
= (Ci u + Di Ci + z i i FCi ) i [1]
t R
and the total flux is given by
Ji = ( Ci u Di Ci z i i FCi ) [2]
      
convection diffusion migration

where Di is the diffusion coefficient of the additive or ion i, zi is the


valence (charge number), i is the mobility constant,  is the electric
potential inside the electrolyte solution, F is Faradays constant, i is
the consumption rate of the species i, and u the velocity field which is
governed by the incompressible Navier-Stokes equations.
In the plating bath, there exist a large number of inert electrolyte
ions, which inhibits the migration of cupric ion dramatically. In our
previous study, it is found that the convection of fluid flow influences
the diffusion boundary layer distribution in the high-aspect-ratio via
due to the fact that agitation outside of the via has an effect on the
additive distribution in the vias bottom region.28 Considering the
reasons mentioned above, the third term of right-hand side in Eq. 2 is
ignored, and the mass transport in the via is predominantly controlled
by both the diffusion and convection mechanisms.
The electrochemical reactions occur in the reversible direction.
k1 Figure 1. Schematic description of the transport-adsorption-desorption-
Cu 2+ + e Cu + [3] incorporation model of the species in the bath with two different methods.
k1
(a) Proposed model by Akollar and Landau, in which only the suppressor
molecules are limited by diffusion, (b) Proposed model in this study, in which
k2
Cu + + e Cu [4] all of the bath species required for copper deposition, including the suppres-
k2 sor, accelerator, cupric ions, and chloride ions, are described fully by transport
(Jdiff ), adsorption (Jads ), incorporation (Jimp ), and deposition (Jdep ) limitations.
In this study, the transport of the additives and ions inside The replacement of suppressor by accelerator effect (JI,rep and JA,rep ) is ex-
the via are analyzed by using a one-dimensional time-dependent cluded in the proposed model.18
transport-adsorption-desorption-incorporation model that accounts
for the adsorption-incorporation of the additives on the vias side-
walls and the adsorption-desorption-incorporation of the additives on CCu 2+ are the concentration of suppressor, accelerator, Cl and Cu2+ ,
the vias bottom. The isotropic diffusion coefficients are assumed respectively.
for the species involved in the model. The bulk species involved in
the simulation are Cu2+ , Cl , accelerator and suppressor. For the Adsorption and incorporation of the additives and ions. By ac-
high aspect ratio via, radial concentration gradient can be ignored in counting for the possible fluxes of the additives and ions into the
comparison to the z axial concentration gradient, thereby reducing high-aspect-ratio via, a set of equations that quantitatively describes
the transport processes to a one-dimensional diffusion process.15 The the additive coverage on the via surface as a function of time and
model is based on the following elementary processes. position are developed.
As mentioned in the introduction section, the dissolved oxygen
Diffusion of the additives and ions. Fig. 1 shows the one- along the vias depth direction affects the activation of accelerator,
dimensional (axisymmetric) model for the additives and ions transport which oxidizes Cu+ to Cu2+ .2,17,22 The dissolved oxygen consumes
and adsorption inside the via. As mentioned above, the dimension of the cuprous ion and changes the solution from equilibrium.29 The
TSVs is about 100 times larger than the Damascene structure, so long formation of the Cu(I)thiolate accelerator and oxidation reaction of
plating time is needed and depletion of cupric ion cannot be ignored Cu+ to Cu2+ are shown below30
during TSVs filling process.11 Besides, long plating time leads to a
2Cu 2+ + 4M P S 2Cu(I )thiolate + S P S + 4H + [9]
large consumption of additives and abundant accelerator adsorption
on the whole plating surface, so the additive (accelerator and sup-
pressor) concentration gradient along via depth direction cannot be 
2Cu + + 1 2O2 + 2H + 2Cu 2+ + H2 O [10]
neglected.11,12 The axial accelerator, suppressor, Cu2+ and Cl fluxes
are given by Because oxygen is transported only by diffusion in the stagnant
C I condition, it suggests that oxygen depletion happened around the
JI,diff = D I [5] TSVs bottom region and the accelerator is quite active inside the
z TSV. So the abundant oxygen molecules deactivate the accelerators
on the vias top surface.2 The oxidation effect is expressed as oxidation
C A factor (ko2 ) in Eq. 11. As mentioned above, the convection of the fluid
J A,diff = D A [6]
z flow has an effect on the additive distribution in the high-aspect-ratio
via Refs. 24 and 25. To improve the accuracy of the proposed model,
CCl the effect of the forced convection was added in the model from one
JCl ,diff = DCl [7] side to the other, as shown in Fig. 1b. It provides the same convection
z
environment with the real-world TSVs filling experiment. The effect
of the forced convection on the additive distribution is considered
CCu 2+
JCu 2+ ,diff = DCu 2+ [8] as the Laminar flow and the convection factor (k ) is introduced in
z Eq. 11.
where D I , D A , DCl and DCu 2+ are the diffusion coefficient of sup- Accelerator adsorbs on the additive-free sites of the vias sidewalls
pressor, accelerator, Cl and Cu2+ , respectively. C I , C A , CCl and at a rate that is directly proportional to its concentration, external

Downloaded on 2017-09-23 to IP 157.16.181.247 address. Redistribution subject to ECS terms of use (see ecsdl.org/site/terms_use) unless CC License in place (see abstract).
D542 Journal of The Electrochemical Society, 162 (10) D540-D549 (2015)

influencing factor, and the fraction of vacant sites available for adsorp- for the cupric ions diffusion and deposition rates, provided
2 I
tion. Eq. 12 is based on the assumption that the accelerator adsorbed
CCu 2+ 2 CCu 2+
irreversibly on the additive-free copper surface, and the displacement = DCu 2+ k 2+ CCu 2+ I + kCu
A
2+ C Cu 2+ A
of the suppressor by the accelerator is neglected.18 If the displace- t z 2 R Cu
ment of the suppressor by the accelerator effect is considered, the via

f r ee
surface is predominantly covered by accelerator after the deposition + kCu 2+ CCu 2+ (1 I A ) [18]
time exceeds 1000 s. In analogy to Eq. 12, the adsorption rate of the In Eq. 18, the time derivative on the left side represents the accu-
suppressor on the free sites of the copper surface is given by Eq. 13. mulation of the cupric ions within the volume element. The first term
 
zk on the right side of Eq. 18 represents the net supply of cupric ions to
= f (z, , ) = k O2 exp [11] the differential element through the diffusion, and the second term in
k L
the square bracket represents the net deposition.
In analogy to cupric ions, a similar approach is applied to the
A,ads = k A C A (1 I A ) [12] evolution of the chloride ions. The mass balance of the chloride ions
across the differential cylindrical volume element within the via is
given by Eq. 19. In Eq. 19, the term in the square bracket represents
I,ads = k I C I (1 I A ) [13] chloride ions net incorporation.
where is the external influencing parameter, z is the distance from CCl 2 CCl 2 I
= DCl CCl I,ads + Cu
Cl C Cl Cu 2+ ,dep

the via bottom to the top surface of the via, = length/width (AR, t z 2 R Cl
aspect ratio) is the aspect ratio of the via, L is the depth of the via, ko2 [19]
is the oxidation factor, k is the convection factor. I and A represent At the via opening, the concentration of the species is equal to its
the suppressor and the accelerator surface coverage, respectively, kI bulk concentration (C ).
and kA are the adsorption rate constant of the suppressor and the At z = L, C I = C I , C A = C
A , C Cu 2+ = C Cu 2+ , C Cl = C Cl
accelerator, respectively. [20]
The mass balance of the suppressor across a differential cylindrical At the via bottom (z = 0),
volume element within the via is shown in Fig. 1b. The analytical
expression for the diffusion, adsorption, and incorporation rates of the C I C A CCu 2+ CCl I A
= 0, = 0, = 0, = 0, = 0, =0
suppressor is given in 14. z z z z z z
C I 2C I 2 [21]
= DI [k I C I (1 I A ) + Cu
I C I Cu 2+ ,dep ] [14]
t z 2 R At the initial stage (t = 0), there do not exist any suppressor or
accelerator in the via, so the initial concentration of the suppressor
In Eq. 14, the time derivative on the left side represents the accumula-
and accelerator inside the via and their surface coverages can be set to
tion of the suppressor within the volume element. The first term on the
zero. The cupric ion and chloride ion concentration are equal to their
right side of Eq. 14 represents the net supply of the suppressor to the
bulk concentration, respectively.
differential by the diffusion, and the second term in the square bracket
indicates the net removal. The latter is determined by the balance be- C I (z) = 0, I (z) = 0, C A (z) = 0, A (z) = 0,
tween the suppressors adsorption on the via surface and removal rate [22]

from the electrolyte due to its incorporation into the copper. While the CCu 2+ = CCu 2+ , C Cl = C Cl
evolution of the accelerator surface coverage is described by
The electroneutrality constraint is given by the following
I 1 expression:
= [k I C I (1 I A )] [15]
t I
z i Ci = 0 [23]
where CuI is the suppressor incorporation constant,  I is the sup- i
pressor surface concentration at the saturation, Cu 2+ ,dep is the flux of
cupric ions leaving electrolyte due to the electrodeposition. Desorption of the additives. In the electrolyte containing the
In analogy to the suppressor, a similar approach based on the suppressor and chloride ions, the suppressor would desorb from the
derivation for the suppressor is applied to the evolution of the ac- via surface when the local potential is lower than that of local critical
celerator. The mass balance of the accelerator across the differential desorption.31 The local critical desorption potential Udes is determined
cylindrical volume element within the via is given by by the local concentrations of the suppressor, Cu2+ , and Cl according
C A 2C A 2 to Eq. 24:
= DA [k A C A (1 I A ) + Cu
A C A Cu 2+ ,dep ] 
t z 2 R
Udes = Ud0 + 0.0592 b log(Cl CCl )
[16]

where Cu is the accelerator incorporation constant. In Eq. 16, the 1
A log C I + d log(Cu 2+ CCu 2+ ) [24]
time derivative on the left side represents the accumulation of the c
accelerator within the volume element. The first term on the right side
of Eq. 16 indicates the net supply of the accelerator to the differential where the coefficients b, c, d, and potential Ud0 are 1, 10, 0.5, and
element through the diffusion, and the second term in the square 0.36 V (vs. saturated hydrogen electrode (SHE)), respectively.31 For
bracket represents its net removal. the plating bath used in this simulation, the constant activity coefficient
The increased rate of the accelerator surface coverage on the via i of 0.1 and 0.5 were assumed for Cu2+ and Cl , respectively.31
surface is equal to its net adsorption rate. Therefore, the changing rate When the local applied current density i is larger than the local
of the accelerator surface coverage is given by critical current density ic , the desorption of the adsorbed suppressor
occurs. The value of local ic is calculated using Eq. 25 with = Udes
A 1 U0 .
= [k A C A (1 I A )] [17]     
t A CCu 2+ I F f r F
ic = i 0,I I exp +i 0, f r (1 I ) exp
The mass balance of the Cu2+ across a differential cylindrical CCu 2+ Rg T Rg T
volume element within the via, coupled with the analytical expression [25]

Downloaded on 2017-09-23 to IP 157.16.181.247 address. Redistribution subject to ECS terms of use (see ecsdl.org/site/terms_use) unless CC License in place (see abstract).
Journal of The Electrochemical Society, 162 (10) D540-D549 (2015) D543

Equations 1119, 24, 25 with the boundary conditions of Eqs. 20, The overall current density is the sum of the suppressor-adsorbed
21, and the initial condition of Eq. 22 constitute a time-dependent copper deposition fractional current density iI , the accelerator-
(transport, adsorption, incorporation, and desorption) model for the adsorbed copper deposition current density iA , and the copper de-
additives, and the model provides a time-dependent additives distri- position at vacant sites ifree . Thus, the overall current density is given
bution inside the via. by

Copper deposition. When the copper deposition reaction pro- i = i I + i A + i f r ee [32]


ceeds in the via, cupric ions are removed from the solution, and the Substituting Eqs. 2931 into Eq. 32, we achieve
flux of cupric ions leaving solution due to deposition is described by     
   CCu 2+ A F I F
MCu F i = i 0,A A exp + i 0,I I exp
Cu 2+ ,dep = CCu 2+ i 0 exp [26] CCu 2+ Rg T Rg T
FCu n Rg T  
f r ee F
The term on the right side of Eq. 26 (indicated in the square + i 0, f r ee (1 A I ) exp [33]
bracket) are expressed by Eqs. 27 and 28 with three parts, namely Rg T
the suppressor adsorption, the accelerator adsorption, and the free- Equation 33 indicates that the local current density on the via sur-
occupied term. indicates the surface fraction of the via sites for the face depends on the local cupric ions concentration, additives surface
given conditions. I and A represent the suppressor and accelerator coverage, additives-associated deposition kinetics parameters (i0,I , i0,A ,
surface coverage, respectively, and (1 I A ) indicates the surface i0,free , I , A , and free ), and the reaction driving force (activation over-
coverage on the unoccupied site. potential ).
     
F I F A F Through the Faradays law, the local current density i can be trans-
i 0 exp = I i 0,I exp + A i 0,A exp lated into the local deposition rate
Rg T Rg T Rg T
  MCu
f r ee F = i [34]
+ f r ee i 0, f r ee exp [27] FCu n
Rg T
where MCu is the molecular weight of copper, is the density of
copper, and n is the number of electrons transferred.
I + A + f r ee = 1 [28]
where i0,I , i0,A , and i0,free represent exchange current density of the
Methods and Model Parameters
suppressor-occupied, accelerator-occupied, and free-occupied site, re-
spectively. I , A , and free are the cathodic transfer coefficient for the In this paper, finite element method (FEM) and multifrontal mas-
copper deposition on the suppressor-covered, accelerator-covered, and sively parallel sparse direct solver (MUMPS) (public domain soft-
free-occupied electrode, respectively. is the activation overpotential ware package for the multifrontal solution) are employed for solving
at the electrode surface. F is the Faradays constant. Rg is the universal the (transport, adsorption, incorporation, and desorption) numerical
gas constant. model. Finite element method (FEM) is a widely used numerical
method for solving engineering and mathematical physics problems.
The basic idea of FEM is to use discrete solution on finite element
Deposition Kinetics spaces to approximate the continuous solutions on infinite dimen-
Quantitative simulation of the via filling processes requires the sional space according to the variational principle.26,3234 The entire
determination of the time and location dependent copper deposition region is thus approximated by the assemblage of discrete elements. In
rate, which depends on the distribution of the additives surface cover- each element, equations with unknown field variables are developed
age. Solving the above systemic equations gives the time and location based on the governing equations from the mathematical model by
dependent solutions for the additives surface coverage in the via. Con- assuming a shape function to approximate the physical behavior of an
sidering the long plating time and large dimension of TSVs, there must element.35 The FEM provides a standard process to convert governing
exist the concentration gradient of the cupric ions along the via depth differential equations or governing energy principles into a system of
direction, so the effect of cupric ions depletion in the via could not be matrix equations to be solved for an approximate solution.26,3234
neglected. Choosing the coordinate system for the numerical solution of a
Any site on the via surface must have only one of the following partial differential equation is vitally important.36 An inappropriate
three states: (i) it is adsorbed by the suppressor, (ii) it is adsorbed by choice will lead to an expensive or inaccurate result. Traditionally, the
the accelerator, (iii) it is free occupied. Consequently, the copper de- structural engineering and solid mechanics problems use a Lagrangian
position rate on the via surface can be calculated by the following three coordinate system with the mesh moving with the material, while the
components: (i) copper deposition on the suppressor-occupied sites fluid mechanics problem uses an Eulerian coordinate system.36 The
shown in Eq. 29, (ii) copper deposition on the accelerator-occupied Lagrangian coordinate system allows an easy tracking of free surfaces
sites shown in Eq. 30, (iii) copper deposition on the vacant sites shown or interfaces between different materials, but it cannot follow large
in Eq. 31. The corresponding plating current density is achieved ac- distortions of the computational domain without recourse to frequent
cording to the Butler-Volmer equation with diffusion and adsorption/ remeshing operations.37 The Eulerian coordinate system can handle
desorption taken into account. The copper deposition rate is propor- the large distortions in the continuum motion with relative ease, while
tional to the fraction of the additives surface coverage and cupric ions generally decreases the precise interface definition and the resolution
concentration. of flow details.37
   Because of the shortcomings of purely Lagrangian and purely Eu-
CCu 2+ I F
iI = i 0,I I exp [29] lerian coordinate systems, for the problems with both fluids and solids
CCu 2+ Rg T (e.g., fluid-structure interaction), neither the Lagrangian nor the Eu-
   lerian formulations are optimal for the entire domain. The arbitrary
CCu 2+ A F Lagrangian Eulerian (ALE) method has been developed that succeeds
iA =
i
0,A A exp [30]
CCu 2+ Rg T in combing the best features of both the Lagrangian and the Eule-
rian systems, while minimizing their respective drawbacks as far as
   possible.37 It combines the best features of both, which allows mov-
CCu 2+ f r ee F
i f r ee =
i 0, f r ee (1 A I ) exp [31] ing boundaries without the need for the mesh movement to follow the
CCu 2+ Rg T material.38

Downloaded on 2017-09-23 to IP 157.16.181.247 address. Redistribution subject to ECS terms of use (see ecsdl.org/site/terms_use) unless CC License in place (see abstract).
D544 Journal of The Electrochemical Society, 162 (10) D540-D549 (2015)

Table I. Parameters used in the simulation model.

Parameter Value Units Source


DA 5 109 m2 /s Ref. 15
DS 5 1011 m2 /s Ref. 15
DCu 4.5 1010 m2 /s Ref. 12
DCl _ 2 109 m2 /s Ref. 42
kA 0.0000035 m/s Estimate based on Ref. 15 data
kS 0.00001 m/s Estimate based on Ref. 15 data
iA 25 A/m2 Estimate based on Ref. 43 data
ifr 10 A/m2 Estimate based on Ref. 15 data
i1 0.39 A/m2 Ref. 44
A 8 106 mol/m2 Ref. 45
S 6 107 mol/m2 Ref. 45
H 1.4 104 m Via length, select for analysis
R 2.0 105 m Via radius, select for analysis
F 96485 s A/mol
Rg 8.314 mol/K
T 298.15 K

shows the time-dependent distribution of the cupric ion concentration


along the via depth direction. It can be seen clearly that the cupric
Figure 2. Schematics of the spatial, material, and referential domain and the ion concentration in the via bottom region decreases gradually as the
mappings between these domains. deposition progressed, which results in the decrease of the current
density in via bottom region (Figure 4c) although the approximately
uniform accelerator surface coverage occurs along the via depth di-
In the ALE description of motion, neither the spatial configuration rection (Figure 4b). The high deposition rate and the merger of the via
Rx nor the material configuration RX is considered as the reference. top edge are the main reasons to cause the decrease of the cupric ion
Thus, the referential configuration R is introduced where the refer- concentration in the via bottom region.
ence coordinate is used to identify the grid points.37 Fig. 2 shows When a two-component electroplating bath (Cacc = 3 ppm, Csup
the one-to-one transformations between the three configurations. The = 6 ppm) is used in the model, the filling profile and current den-
referential domain R is mapped into the spatial and material domains sity distribution in the via change dramatically. As shown in Figure
by  and , respectively. The particle motion can be expressed 5, copper deposition is inhibited sharply in the via opening region
in Eq. 35, which indicates that the three mappings , , and  are when the suppressor is added in the plating bath. While the deposition
related with each other.37,39 rate in the middle region of the via is much higher than that in the
=   1 [35] bottom region, which would cause the merger in the middle region in
advance and the void filling in the via bottom. The phenomena can
The ALE method is well documented and far more applicable when be explained as follows. The diffusion coefficients of the accelerator
the diverse modifications of the via geometry have to be enabled as the and suppressor are 5 109 and 5 1011 m2 /s, respectively.15 This
products and manufacturing technique changes.40 Therefore, the ALE means that the diffusion coefficient of the accelerator is about 100
method is suitable for simulating the evolution of the via filling. In this times higher than that of the suppressor. The adsorption rate constant
paper, the motion of the fluid-structure interface (metal/electrolyte of the suppressor is much higher than that of the accelerator. The
interface) is tracked using the Arbitrary Lagrange-Eulerian (ALE)
method during the via filling process. To improve the accuracy of the
proposed model, the non-uniform mesh with triangular elements is
used in the model. The finer meshes are added near the surface of
the via to improve the accuracy of the evolution of the via surface
profiles. Besides, the meshes are updated dynamically with changing
the surface profile.

Results and Discussion


Simulated profiles of the blind via using different plating
chemistry. Unless stated otherwise, values for the parameters used
in the simulations are given in Table I. A two-component electroplat-
ing bath with a SPS-like accelerator and a PEG-like suppressor/leveler
is assumed. The via dimension in the model is 40 m 140 m.
Figure 3 shows the simulated evolution of the TSVs filling profile
and distribution of the current density for the plating bath (Cacc =
4 ppm, Csup = 0 ppm). It can be seen that the current density in the top
region of the via is much higher than that in the bottom region, which
will cause the higher deposition rate in the top region than that in the
bottom region. When the deposition rate in the opening area exceeds
that in the bottom region, the edge areas of the via opening comes to
contact with each other and merge together in advance. The merger
of the via edge prevents the transport of the additive and cupric ions Figure 3. Simulated evolution of the filling profile and distribution of the
into the via, which inhibits the deposition in the via bottom region, current density for the 40 m140 m TSV using the plating bath (Cacc =
so a large void is formed in the bottom region of the via. Figure 4a 4 ppm, Csup = 0 ppm).

Downloaded on 2017-09-23 to IP 157.16.181.247 address. Redistribution subject to ECS terms of use (see ecsdl.org/site/terms_use) unless CC License in place (see abstract).
Journal of The Electrochemical Society, 162 (10) D540-D549 (2015) D545

Figure 5. Simulated evolution of the filling profile and distribution of the


current density for the 40 m140 m TSV using the plating bath (Cacc =
3 ppm, Csup = 6 ppm).

and bottom region of the via. Figure 6c shows the suppressor surface
coverage along the via depth direction. The suppressor surface cov-
erage is much higher (ca. 0.85) in the via top region and decreases
steeply along the via depth direction, which is due to the low diffusion
coefficient and high adsorption rate constant of the suppressor. This
would inhibit the copper deposition in the opening region of the via.
Due to the high deposition rate in the via bottom region, the cupric
ion is consumed dramatically in this area and cannot be replenished
timely through the diffusion process, so the cupric ion concentration
decreases sharply along the via depth direction, as shown in Figure 6a.
Besides, the depletion of the cupric ion would reduce the current den-
sity in the via bottom region. As shown in Figure 6d, the distribution
of the cupric ion, suppressor, and accelerator result in the special cur-
rent density distribution inside the via. There is a steep increase, and
then a gradually decrease along the via depth direction, which would
cause a merger in the middle region of the via in advance and a large
void would be formed in the bottom region.
A further decrease in the accelerator concentration leads to a sig-
nificant change of the via filling profiles. Figure 7 shows the classical
V shaped filling profile of the via. The electroplating bath com-
ponent is Cacc = 0.5 ppm and Csup = 6 ppm. As shown in Figure 7,
when the accelerator concentration decreases to 0.5 ppm, the inhibited
region by the suppressor increases in the upper part of the via, and
the copper deposition rate increases linearly along the via depth direc-
tion. Due to the decrease of the accelerator concentration in the plating
bath, the suppressor dominates the additive surface coverage. As men-
tioned above, the diffusion coefficients (adsorption rate constant) of
the accelerator is much higher (lower) than that of the suppressor. The
accelerator diffuses into the via bottom in advance and dominates the
Figure 4. Simulated time-dependent variables in the 40 m140 m TSV
using the plating bath (Cacc = 4 ppm, Csup = 0 ppm). (a) Concentrations of surface coverage in the via bottom region (shown in Figure 8b). It
cupric ion; (b) Accelerator surface coverage; (c) Current density along the via can be seen from Figure 8c that the suppressor dominates the additive
depth direction. surface coverage in the via top region and decreases sharply along
the via depth direction. The high suppressor surface coverage in the
via top region inhibits the copper deposition effectively on the upper
sidewall, which is beneficial to the void-free filling. The current den-
suppressor only adsorbs in the via opening region speedily due to sity increases gradually along the via depth direction, which causes
the low diffusion coefficient and high adsorption rate constant of the the V shaped filling model.
suppressor, while the accelerator diffuses into the via fast and exists The extreme bottom-up filling model is achieved when using one-
in the middle and bottom region of the via due to the high diffu- component plating bath only with the suppressor additive (Cacc =
sion coefficient and low adsorption rate constant. It can be seen from 0 ppm, Csup = 6 ppm) only. As shown in Figure 9a, the sidewall of
Figure 6b that the accelerator surface coverage (ca. 0.1 0.2) is low the via is suppressed dramatically, and the bottom of the via has a
in the via opening region and increases sharply along the via depth high deposition rate. A clear division exists between the fast growing
direction, which would accelerate copper deposition in the middle bottom and the dramatically suppressed lateral wall. At the beginning

Downloaded on 2017-09-23 to IP 157.16.181.247 address. Redistribution subject to ECS terms of use (see ecsdl.org/site/terms_use) unless CC License in place (see abstract).
D546 Journal of The Electrochemical Society, 162 (10) D540-D549 (2015)

Figure 6. Simulated time-dependent variables in the 40 m140 m TSV using the plating bath (Cacc = 3 ppm, Csup = 6 ppm). (a) Concentration of cupric
ion; (b) Accelerator surface coverage; (c) Suppressor surface coverage; (d) Current density along the via depth direction.

of the electroplating, the suppressor is depleted inside the via due to density to concentrate on the via bottom region. The sidewall of the
its fast adsorption rate and slow diffusion coefficient. As the suppres- via is almost covered by the suppressor as the diffusion process pro-
sor molecules diffuse from the bulk into the via, the boundary of the gressed, which inhibits the copper deposition on the via sidewall. As
saturated surface region moves gradually toward the via bottom, and illustrated in the additives-assisted electrodeposition model, all of the
the uncovered surface decreases gradually, which causes the current sidewalls and field are inhibited by the suppressor, and almost all of
the current density concentrates on the via bottom. When the local
applied current density is larger than the local critical current density,
the adsorbed suppressor desorbs in the via bottom region and the ex-
treme bottom-up filling model starts. The high copper deposition rate
in the via bottom is beneficial to sustaining the extreme bottom-up
filling model. Due to the significant inhibition effect on the sidewall,
there is little cupric ion to deposit into the via sidewall, which pro-
vides more cupric ion to diffuse into the via bottom and replenish the
cupric ion consumption, so there exists high cupric ion concentration
in the via bottom region (Figure 9c). Besides, the sustained bottom-up
filling process is actually beneficial to the void-free filling for high
aspect ratio TSVs as shown in both simulation and the following
real-world filling experiments. In Filling experimental of the blind
via using different plating chemistry section, the real-world via filling
experiments are conducted to verify the rationality of the proposed
model.
Specifically, the convenient parameter is proposed  =
i i top 41
100[ bottom
i top
]. When  > 0, the supperfilling model would be
achieved. When  < 0, the void filling model would appears. Only
when  0, the nearly conformal filling model is difficult to predict
whether the void-free filling appears or not. According to the simulated
results, when there are large doses of accelerators in the plating bath,
the void filling model would occur ( < 0). When there are small
doses of accelerators and large doses of suppressors in the plating
Figure 7. Simulated evolution of the filling profile and distribution of the bath, the void-free filling (V shaped or extreme bottom-up filling
current density for the 40 m140 m TSV using the plating bath (Cacc = model) model would occur. The simulation results could provide a
0.5 ppm, Csup = 6 ppm). qualitative guidance for the real-world via filling experiment.
Downloaded on 2017-09-23 to IP 157.16.181.247 address. Redistribution subject to ECS terms of use (see ecsdl.org/site/terms_use) unless CC License in place (see abstract).
Journal of The Electrochemical Society, 162 (10) D540-D549 (2015) D547

Figure 8. Simulated time-dependent variables in the 40 m140 m TSV using the plating bath (Cacc = 0.5 ppm, Csup = 6 ppm). (a) Concentration of cupric
ion; (b) Accelerator surface coverage; (c) Suppressor surface coverage; (d) Current density along the via depth direction.

Filling experimental of the blind via using different plating bottom which is almost perpendicular to the slow-growing lateral
chemistry. The filling experiments of the blind vias using differ- wall. A clear division appears between the fast deposition bottom and
ent plating chemistry are performed to verify the rationality of the the significantly inhibited lateral wall. The large suppressor surface
proposed model. Figure 10a shows the cross-sectional image of the coverage in the field and sidewall of the via extremely inhibits the
via filling result using one-component plating bath only with the ac- copper deposition in those regions. Large current density in the via
celerator (Cacc = 4 ppm, Csup = 0 ppm). It can be seen that the via bottom makes the desorption of the suppressor possible. Besides, the
opening merges in advance and results in a large void in the via, which sustained bottom-up filling process is actually beneficial to the void-
is in accordance with the simulation result (Figure 3). As illustrated free filling for high-aspect-ratio TSVs as shown in both simulation
in the simulation part, the depletion of cupric ions in the via bottom and real-world filling experiment.
are the main reason to cause the void forming. The via filling process is dominated by the diffusion-adsorption-
A two-component electroplating bath (Cacc = 3 ppm, Csup = 6 ppm) desorption competition mechanism between the suppressor and the
is used in the via filling experiment. As shown in Figure 10b, there accelerator. Although a tiny discrepancy exists between the simulated
exists a protuberance in the middle region of the via. As illustrated filling profiles and the real-world via filling ones, they almost show
in the simulation part, the distributions of the cupric ion, suppressor, the same filling tendency. So, the model and mechanism proposed in
and accelerator result in the special distribution of the current density this study provides a guidance for the real-world via filling.
inside the via. The current density increases steeply at first, and then
a gradually decreases along the via depth direction, which causes a Conclusions
protuberance in the middle region of the via and a large void forms in
the bottom region. A physicochemical model is built to investigate the via filling
With a decrease of the accelerator concentration (Cacc = 0.5 ppm, mechanism. Finite element method (FEM) and multifrontal massively
Csup = 6 ppm), the protuberance disappears (Figure 10c). Due to parallel sparse direct solver (MUMPS) are employed to solve the nu-
the large dose of suppressor, the suppressor dominates the additive merical model considering the transport, adsorption, incorporation,
surface coverage and decreases slightly along the via depth direction. and desorption of the additives and ions. The motion of the fluid-
The copper deposition rate increases linearly along the via depth structure interface (metal/electrolyte interface) is tracked using the
direction. Fewer cupric ions are consumed in the upper part of the Arbitrary Lagrange-Eulerian (ALE) method during the via filling pro-
via, which provides more cupric ions to diffuse into the via bottom to cess. The Nernst-Planck equation is introduced to explore the transi-
replenish the consumption of the cupric ions. So the V shaped via tion of the additives and cupric ions. Compared to the reported mod-
filling model appears. els, the diffusion of the additives (accelerator and suppressor) and ions
When the accelerator concentration decreases to 0 ppm, the ex- (cupric ion and chloride ion), the adsorption/desorption/incorporation
treme bottom-up filling model occurs, which is in accordance with of the accelerator, suppressor, cupric ion and chloride ion, the accel-
the simulation profile (Figure 9a). As shown in Figure 10d, the ex- erator deactivation, and copper deposition processes are all included
treme bottom-up filling model is characterized by a fast-growing flat in this model.

Downloaded on 2017-09-23 to IP 157.16.181.247 address. Redistribution subject to ECS terms of use (see ecsdl.org/site/terms_use) unless CC License in place (see abstract).
D548 Journal of The Electrochemical Society, 162 (10) D540-D549 (2015)

Figure 10. Cross-sectional images of filling experiment for the 40 m


140 m TSV using the different plating bath. (a) Accelerator 4 ppm, suppressor
0 ppm; (b) Accelerator 3 ppm, suppressor 6 ppm; (c) Accelerator 0.5 ppm,
suppressor 6 ppm; (d) Accelerator 0 ppm, suppressor 6 ppm.

adsorption-desorption competition mechanism between the suppres-


sor and the accelerator. Real-world filling experiments are conducted
to verify the rationality of the proposed model. The simulated via
filling tendency is in accordance with the real-world filling profiles,
which provides a guidance for the real-world via filling experiment.

Acknowledgments
This work is sponsored by National Basic Research Priorities Pro-
gram of China (No. 6132042012) and Chinese National Science and
Technology Major Project (No. 2011ZX02702-003).

List of Symbols
C concentration, mol cm3
D diffusion coefficient, cm2 s1
J flux of species in solution mol/cm2 s
F Faradays constant, 96487 C/equiv
Rg universal gas constant, 8.314 J/mol K
R radius of through silicon via, m
i current density, A cm2
T absolute temperature, K
M molecular weight of the copper, 63.55 g/mol
n number of electrons transferred in copper deposition, 2
t time, s
z position coordinate, m
k adsorption rate constant for the additive, cm/s

Greek
 electric potential inside the electrolyte solution, V
activation overpotential at the electrode surface, V
cathodic transfer coefficient, mol1
 surface concentration at saturation, mol/cm2
surface coverage, dimensionless
density of copper, 8.92 g/cm3
external influence parameter, dimensionless
Figure 9. (a) Simulated evolution of the TSVs filling profile using the plating aspect ratio, dimensionless
bath (Cacc = 0 ppm, Csup = 6 ppm); (b) Simulated time-dependent suppressor
surface coverage; (c) Simulated time-dependent cupric ion concentration. Subscripts
A related to the accelerator
I related to the suppressor
The simulation results are achieved according to the proposed Cu related to copper
model.  shape filling model appeared when only the accelerator Cl related to chloride ion
is used in the plating bath. The protuberance appears in the middle diff related to diffusion
of the via when large dose of accelerator (Cacc = 3 ppm, Csup = ads related to adsorption
6 ppm) is used in the plating bath, which will cause the void filling related to rotation speed
model. The V shape filling model is achieved when large dose of
suppressor and small dose of accelerator (Cacc = 0.5 ppm, Csup = Superscripts
6 ppm) are used in the bath. An extreme bottom-up filling model is
achieved when the plating bath only contained suppressor (Csup = related to bulk value
6 ppm). The via filling process is dominated by the diffusion- free occuring on an unoccupied site

Downloaded on 2017-09-23 to IP 157.16.181.247 address. Redistribution subject to ECS terms of use (see ecsdl.org/site/terms_use) unless CC License in place (see abstract).
Journal of The Electrochemical Society, 162 (10) D540-D549 (2015) D549

References 21. T. Hayashi, S. Matsuura, K. Kondo, K. Kataoka, K. Nishimura, M. Yokoi, T. Saito,


and N. Okamoto, Role of Cuprous Ion in Copper Electrodeposition Acceleration,
1. J. H. Lau, Overview and Outlook of Three-Dimensional Integrated Circuit Pack- J. Electrochem. Soc., 162, D199 (2015).
aging, Three-Dimensional Si Integration, and Three-Dimensional Integrated Circuit 22. K. Kondo, T. Yonezawa, D. Mikami, T. Okubo, Y. Taguchi, K. Takahashi, and
Integration, J. Electron. Packaging, 136, 40801 (2014). D. P. Barkey, High-Aspect-Ratio Copper-Via-Filling for Three-Dimensional Chip
2. T. Matsuoka, K. Otsubo, Y. Onishi, K. Amaya, and M. Hayase, Inverse analysis of Stacking, J. Electrochem. Soc., 152, H173 (2005).
accelerator distribution in copper through silicon via filling, Electrochim. Acta, 82, 23. R. C. Alkire, Effect of Fluid Flow on Convective Transport in Small Cavities, J.
356 (2012). Electrochem. Soc., 137, 818 (1990).
3. T. P. Moffat, D. Wheeler, M. D. Edelstein, and D. Josell, Superconformal 24. K. M. Takahashi, Transport Phenomena That Control Electroplated Copper Filling
film growth: Mechanism and quantification, IBM J. RES.& DEV., 49, 19 of Submicron Vias and Trenches, J. Electrochem. Soc., 146, 4499 (1999).
(2005). 25. K. Kondo, Y. Suzuki, T. Saito, N. Okamoto, and Y. Koyama, Shape Evolution of
4. S. K. Cho and J. J. Kim, Leveling with Step Potential in Damascene Cu Electrode- Electrodeposited Bumps with Shallow and Deep Cavities, J. Electrochem. Soc., 156,
position, J. Electrochem. Soc., 153, C822 (2006). D548 (2009).
5. M. Tan and J. N. Harb, Additive Behavior during Copper Electrodeposition in 26. Y. Zhang, G. Ding, P. Cheng, and H. Wang, Numerical Simulation and Experimental
Solutions Containing Cl , PEG, and SPS, J. Electrochem. Soc., 150, C420 Verification of Additive Distribution in Through-Silicon Via during Copper Filling
(2003). Process, J. Electrochem. Soc., 162, D62 (2014).
6. M. Hasegawa, Y. Negishi, T. Nakanishi, and T. Osaka, Effects of Additives on 27. D. J. Benson, An efficient, accurate, simple ALE method for nonlinear finite element
Copper Electrodeposition in Submicrometer Trenches, J. Electrochem. Soc., 152, programs, Comput. Method. Appl. M., 3, 305 (1989).
C221 (2005). 28. Y. Zhang, G. Ding, H. Wang, and P. Cheng, Effect of External Factors on Copper
7. Y. D. Chiu and W. P. Dow, Accelerator Screening by Cyclic Voltammetry for Filling in 3D Integrated Through-Silicon-Vias (TSVs), J. Electrochem. Soc., 162,
Microvia Filling by Copper Electroplating, J. Electrochem. Soc., 160, D3021 D427 (2015).
(2013). 29. D. P. Barkey, A Reaction-Plane Model for the Open-Circuit Potential of Copper in
8. T. P. Moffat and L. Y. Ou Yang, Accelerator Surface Phase Associated with Super- Aerated Copper Sulfate Solution, J. Electrochem. Soc., 145, 590 (1998).
conformal Cu Electrodeposition, J. Electrochem. Soc., 157, D228 (2010). 30. E. E. Farndon, F. C. Walsh, and S. A. Campbell, Effect of thiourea, benzotriazole
9. W. Dow, H. Huang, and Z. Lin, Interactions Between Brightener and Chloride Ions and 4,5-dithiaoctane- 1,8-disulphonic acid on the kinetics of copper deposition from
on Copper Electroplating for Laser-Drilled Via-Hole Filling, Electrochemical and dilute acid sulfate solutions, J. Appl. Electrochem., 6, 574 (1995).
Solid-State Letters, 6, C134 (2003). 31. T. A. Atanasova, K. Strubbe, and P. M. Vereecken, Adsorption/Desorption of Sup-
10. T. P. Moffat, D. Wheeler, and D. Josell, Electrodeposition of Copper in the SPS- pressor Complex on Copper: Description of the Critical Potential, ECS Transactions,
PEG-Cl Additive System, J. Electrochem. Soc., 151, C262 (2004). 37, 13 (2011).
11. Q. S. Zhu, A. Toda, Y. Zhang, T. Itoh, and R. Maeda, Void-Free Copper Filling of 32. D. L. Logan, A First Course in the Finite Element Method. Fourth ed. 2007: Thomson.
Through Silicon Via by Periodic Pulse Reverse Electrodeposition, J. Electrochem. 33. J. E. Akin, Finite Element Analysis Concepts Via SolidWorks. 2009: World Scientific.
Soc., 161, D263 (2014). 34. S. Zhongci and W. Ming, Finite Element Methods. 2013: Science Press.
12. A. S. Childers, M. T. Johnson, J. Ramirez-Rico, and K. T. Faber, Modeling 35. C. M. Tan, Z. Gan, W. Li, and Y. Hou, Applications of Finite Element Methods for
Macro-Sized, High Aspect Ratio Through-Hole Filling by Multi-Component Reliability Studies on ULSI Interconnections. 2011: Springer.
Additive-Assisted Copper Electrodeposition, J. Electrochem. Soc., 160, D3093 36. M. Souli and D. J. Benson, Arbitrary Lagrangian-Eulerian and Fluid-Structure In-
(2013). teraction Numerical Simulation, (2010).
13. Y. H. Im, M. O. Bloomfield, S. Sen, and T. S. Cale, Modeling Pattern Density De- 37. S. Erwin, R. de Borst, and T. J. Hughes, Encyclopedia of Computational Mechanics.
pendent Bump Formation in Copper Electrochemical Deposition, Electrochemical Vol. 1. 2004: John Wiley & Sons, Ltd.
and Solid-State Letters, 6, C42 (2003). 38. COMSOL Multiphysics, http://www.comsol.com/.
14. D. Wheeler, D. Josell, and T. P. Moffat, Modeling Superconformal Electrodeposition 39. E. M. Knobbe, A Female Approach for Continuous Domains with Arbitrary Moving
Using The Level Set Method, J. Electrochem. Soc., 150, C302 (2003). Boundaries, in European Congress on Computational Methods in Applied Sciences
15. R. Akolkar and U. Landau, Mechanistic Analysis of the Bottom-Up Fill in Copper and Engineering. 2004.
Interconnect Metallization, J. Electrochem. Soc., 156, D351 (2009). 40. A. Pohjoranta and R. Tenno, A Method for Microvia-Fill Process Modeling in a Cu
16. R. Akolkar and V. Dubin, Pattern Density Effect on the Bottom-Up Fill during Plating System with Additives, J. Electrochem. Soc., 154, D502 (2007).
Damascene Copper Electrodeposition, Electrochemical and Solid-State Letters, 10, 41. S. Shi, X. Wang, C. Xu, J. Yuan, J. Fang, and S. Liu, Simulation and fabrication of
D55 (2007). two Cu TSV electroplating methods for wafer-level 3D integrated circuits packaging,
17. T. Hayashi, M. Yokoi, N. Okamoto, T. Saito, and K. Kondo, The Produced Cu+ Sensors and Actuators A: Physical, 203, 52 (2013).
Ionic Concentration Distribution Simulation inside the Via with PR Pulse Current 42. M. E. Huerta Garrido and M. D. Pritzker, Inhibition of Copper Deposition by
Waveform, J. Electrochem. Soc., 161, D681 (2014). Polyethylene Glycol and Chloride, J. Electrochem. Soc., 156, D36 (2009).
18. ECS Trans.-2012-Radisic-53-9(2). 43. A. Kreider, D. P. Barkey, and E. H. Wong, On the Displacement of Adsorbed
19. L. Yang, A. Radisic, J. Deconinck, and P. M. Vereecken, Modeling the Bottom-Up Polyethylene Glycol by 3-Mercapto-1-Propanesulfonate during Copper Electrode-
Filling of Through-Silicon vias Through Suppressor Adsorption/Desorption Mecha- position, J. Electrochem. Soc., 161, D663 (2014).
nism, J. Electrochem. Soc., 160, D3051 (2013). 44. T. P. Moffat, D. Wheeler, and D. Josell, Electrodeposition of Copper in the SPS-
20. M. J. Willey and A. C. West, SPS Adsorption and Desorption during Copper Elec- PEG-Cl Additive System, J. Electrochem. Soc., 151, C262 (2004).
trodeposition and Its Impact on PEG Adsorption, J. Electrochem. Soc., 154, D156 45. K. R. Hebert, Analysis of Current-Potential Hysteresis during Electrodeposition of
(2007). Copper with Additives, J. Electrochem. Soc., 148, C726 (2001).

Downloaded on 2017-09-23 to IP 157.16.181.247 address. Redistribution subject to ECS terms of use (see ecsdl.org/site/terms_use) unless CC License in place (see abstract).

Vous aimerez peut-être aussi