Vous êtes sur la page 1sur 170

Campus de Ilha Solteira

PROGRAMA DE PS-GRADUAO EM
ENGENHARIA ELTRICA

Mini-inversores para aproveitamento de energia


fotovoltaica, com tcnicas de MPPT, sincronismo e
conexo com a rede de CA em BT, proteo de
ilhamento, gerenciamento da energia e da operao

Marcos Gutierrez Alves

Orientador:Prof. Dr. Carlos Alberto Canesin

Dissertao de Mestrado apresentada


Faculdade de Engenharia - UNESP -
Campus de Ilha Solteira, como parte dos
requisitos exigidos para a obteno do
ttulo de Mestre em Engenharia Eltrica.
rea de conhecimento: Automao.

Ilha Solteira
2013.


Gutierrez AMini-inversores para a Ilha Solteira2013 169 Sim DissertaoEngenharia AutomaoNo

.
.

FICHA CATALOGRFICA
Desenvolvido pelo Servio Tcnico de Biblioteca e Documentao

Gutierrez Alves, Marcos.


G984m Mini-inversores para aproveitamento de energia fotovoltaica, com tcnicas
de MPPT, sincronismo e conexo com a rede de CA em BT, proteo de
ilhamento, gerenciamento da energia e da operao. / Marcos Gutierrez Alves.
-- Ilha Solteira: [s.n.], 2013
169 f. : il.

Dissertao (mestrado) - Universidade Estadual Paulista. Faculdade de


Engenharia de Ilha Solteira. rea de conhecimento: Automao, 2013

Orientador: Prof. Dr. Carlos Alberto Canesin


Inclui bibliografia

1. Inversores monofsicos. 2. Energia fotovoltaica. 3. MPPT. 4. Sincronismo


e Controle para inversores solares. 5. Proteo de ilhamento.
Ao meu pai Mario Leite Alves e a minha me
Sueli Gutierrez Alves, que me acompanharam
e educaram em toda a minha vida. Minha
perseverana fruto de seus esforos.
AGRADECIMENTOS

Agradeo primeiramente Deus, pela ddiva de estar nesse mundo, pela famlia
com a qual fui abenoado, pela fora nos momentos difceis, e pela capacidade de super-
los.
Agradecimentos especiais ao professor Carlos Alberto Canesin, pela orientao,
pelo incentivo e apoio em toda esta fase.
A meus pais, Mario Leite Alves e Sueli Gutierrez Alves, a quem honro pelo
esforo com o qual me criaram, educaram e incentivaram por toda a minha carreira.
Agradeo a UNESP, ao departamento de Engenharia Eltrica da FEIS, pela
estrutura oferecida para o desenvolvimento do trabalho.
A CAPES e a FEPISA pelo apoio financeiro para a realizao do projeto.
RESUMO

Considerando-se as polticas mundiais e nacionais com relao s mudanas


climticas decorrentes da ao humana, no contexto da produo e consumo de energia
eltrica, novas fontes renovveis e alternativas para a produo de energia eltrica, com
menores impactos ambientais e elevadas eficincias tm sido exploradas na literatura
cientfica. Dentro deste contexto desenvolvido neste trabalho um sistema de gerao de
energia eltrica de pequeno porte baseado na associao em srie de poucos painis
solares fotovoltaicos. So apresentadas anlises e simulaes de diversas estruturas
monofsicas no isoladas que contemplam o estado da arte e integram parte das estruturas
mais utilizadas pela indstria. O sistema proposto para operar como Gerao Distribuda
(GD) um sistema a duplo estgio, composto pelo conversor elevador Boost entrelaado
e em cascata com o inversor monofsico em ponte completa. O sistema de GD proposto
possui um algoritmo tipo P&O (Perturband Observe) para a extrao da mxima
potncia (MPPT Maximum Power Point Tracking), mesclando o algoritmo P&O para o
rastreamento do MPP, com o potencial do algoritmo Vcte (Tenso constante) para o
processo de inicializao do sistema, melhorando o aproveitamento da energia advinda
dos painis fotovoltaicos. Alm disso, em virtude da necessidade de conexo segura deste
sistema rede pblica de distribuio de energia eltrica em corrente alternada,
implementado um mtodo de sincronismo com a rede CA em baixa tenso; alm da
anlise e sntese de um algoritmo hbrido para deteco e proteo de ilhamento, a fim de
garantir a segurana do sistema e dos usurios. O controle do conversor, a tcnica de
MPPT, a deteco e proteo de ilhamento, bem como o sincronismo com a rede em CA,
so implementadas de forma digital, utilizando-se um Controlador Digital de Sinais (DSC
Digital Signal Controller), com o objetivo de propiciar maior flexibilidade na
concepo das lgicas de controle, adequadas aplicao proposta e ao gerenciamento do
sistema de GD.


   
              
 
   
     


ABSTRACT

Considering the global and national policies related to climate change due to
human action, in the context of production and consumption of electricity, new
renewable and alternative sources for electricity production with less environmental
impacts and high efficiencies have been proposed and analyzed in the scientific
literature. In this context, this work it is developed a photovoltaic small electrical
generation system in order to operate with a few series connected photovoltaic panels.
Moreover, it is presented analyses and simulations of several structures that include the
state of the art single-phase non-isolated inverters, where the most of them are
commonly used by industry. The proposed structure developed to operate as a
distributed generation system is a dual-stage converter, composed by an Interleaved
Boost Converter cascaded with a single-phase Full-Bridge Inverter. A maximum power
point tracking technique is implemented and tested in order to increase energy
utilization, mixing the potential of P&O algorithm together with Vcte algorithm.
Furthermore, because of safe-operation grid connection necessities this work presents
analysis and implementation of a hybrid anti-island detection technique with a
synchronization method with the AC public low voltage grid. The converter control
system and MPPT techniques, anti-island detection and management system together
with the synchronization are implemented digitally, through a DSC platform with the
purpose of increasing flexibility for the overall control circuitry.

Keywords: Single-phase inverters. Photovoltaic energy. MPPT. Islanding protection.


Synchronization and control for solar inverters.
LISTA DE FIGURAS
Figura 1- Evoluo do uso das fontes de energia alternativas para as prximas dcadas. 15
Figura 2- Comparativo da energia solar entregue Terra e o total das outras fontes de energias
utilizadas. 16
Figura 3- Atlas de irradiao solar no Brasil. 17
Figura 4- Painel solar tpico de 210W fabricado pela Kyocera (Dim.: 1.5 x 0.99 x 0.046m). 19
Figura 5- Caractersticas de um painel fotovoltaico. (a) Curva corrente versus tenso. (b)
Curva potncia versus tenso, variando-se as condies atmosfricas (radiao/temperatura).
21
Figura 6- Resposta de Potncia de alguns mtodos avaliados no LEP. 22
Figura 7- Exemplo de sistema de gerao distribudo baseado em PVs conectado a rede de
distribuio. 24
Figura 8- Tecnologia de nico conversor centralizado para aplicao com mltiplos PVs. 27
Figura 9- Configurao em string para conversores solares. 27
Figura 10- Estrutura utilizada para a adequao da energia eltrica fornecida pelo painel
fotovoltaico. 28
Figura 11- Conversor boost 29
Figura 12- Curva caracterstica de GxD do conversor boost em Modo de Conduo Contnua
(MCC). 30
Figura 13- Ganho esttico do conversor Boost em funo da razo cclica, para o caso ideal e
para diversos valores de RL/R. 31
Figura 14- Conversor boost interleaved com duas clulas. 31
Figura 15- Conversor boost quadrtico. 33
Figura 16- Conversor boost quadrtico modificado. 33
Figura 17- Conversor flyback com grampeamento ativo. 34
Figura 18- Conversor Reboost. 35
Figura 19- Conversor Charge-Pump Reboost. 36
Figura 20- Inversor monofsico VSI Half-Bridge 37
Figura 21- Inversor monofsico VSI Full-Bridge 38
Figura 22- PWM senoidal bipolar. 38
Figura 23- PWM senoidal unipolar. 39
Figura 24- Conversor CC-CA VSI: NPC 40
Figura 25- Conversor CC-CA CSI monofsico 41
Figura 26- Tenso de Modo Comum entre o PV e o terra da modulao bipolar. 42
Figura 27- Tenso de Modo Comum entre o PV e o terra da modulao unipolar. 43
Figura 28- Tenso de Modo Comum entre o PV e o terra na estrutura CC-CA NPC. 43
Figura 29- Conversor para painel fotovoltaico com dois estgios de processamento de energia.
44
Figura 30- Conversor para painel fotovoltaico com estgio nico de processamento de
energia. 44
Figura 31- Inversor VSI com conexo com a rede de distribuio. 45
Figura 32- Inversor CSI com conexo com a rede de distribuio. 45
Figura 33- Desacoplamento de potncia com conversor de dois estgios. 46
Figura 34- Etapas para integrao do conversor boost + VSI na estrutura integrada. 47
Figura 35- Etapas para integrao do conversor buck-boost + VSI na estrutura integrada. 48
Figura 36- Conversor integrado buck-boost isolado. 48
Figura 37- Famlia de conversores integrados monofsicos para conexo com a rede. 50
Figura 38- Modelo de Simulao em MatLab/Simulink. 54
Figura 39- Corrente drenada do painel. 55
Figura 40- Tenso no barramento CC. 55
Figura 41- Tenso Vab antes do filtro de rede. 55
Figura 42- Corrente injetada na rede CA. 55
Figura 43- Modelo de Simulao em MatLab/Simulink. 56
Figura 44- Corrente drenada do painel. 57
Figura 45- Tenso no link CC. 57
Figura 46- Tenso VAN antes do filtro de rede 57
Figura 47- Corrente injetada na rede CA. 57
Figura 48- Modelo de Simulao em MatLab/Simulink 58
Figura 49- Corrente drenada do painel. 59
Figura 50- Tenso no link CC. 59
Figura 51- Tenso VAN antes do filtro de rede. 59
Figura 52- Corrente injetada na rede CA. 59
Figura 53- Corrente na primeira clula boost. 60
Figura 54- Corrente na segunda clula boost. 60
Figura 55- Modelo de Simulao em MatLab/Simulink. 60
Figura 56- Corrente drenada do painel. 61
Figura 57- Tenso no link CC. 61
Figura 58- Tenso VAN antes do filtro de rede. 61
Figura 59- Corrente injetada na rede CA. 61
Figura 60- Modelo de Simulao em MatLab/Simulink. 62
Figura 61- Corrente drenada do painel. 63
Figura 62- Tenso no link CC. 63
Figura 63- Tenso VAN antes do filtro de rede. 63
Figura 64- Corrente injetada na rede CA. 63
Figura 65- Modelo de Simulao em MatLab/Simulink. 64
Figura 66- Corrente drenada do painel. 65
Figura 67- Tenso no link CC. 65
Figura 68- Tenso VAN antes do filtro de rede. 65
Figura 69- Corrente injetada na rede CA. 65
Figura 70- Modelo de Simulao em MatLab/Simulink. 66
Figura 71- Corrente drenada do painel. 67
Figura 72- Tenso no capacitor ac de sada 67
Figura 73- Corrente injetada na rede. 67
Figura 74- Modelo de Simulao em MatLab/Simulink. 68
Figura 75- Corrente drenada do painel. 69
Figura 76- Tenso no capacitor ac de sada 69
Figura 77- Corrente injetada na rede. 69
Figura 78- Modelo de Simulao em MatLab/Simulink. 70
Figura 79- Corrente drenada do painel. 71
Figura 80- Tenso no capacitor ac de sada 71
Figura 81- Tenso Vab antes do filtro de rede. 71
Figura 82- Corrente injetada na rede CA. 71
Figura 83-Comparativo de eficincia entre as principais topologias. 74
Figura 84-Comparativo de peso entre as principais topologias. 74
Figura 85-Comparativo de volume entre as principais topologias. 74
Figura 86-Comparativo de densidade de potncia entre as principais topologias. 74
Figura 87- Estrutura bsica do conversor CC-CC boost interleaved e etapa CC-CA VSI. 75
Figura 88- Modelo trmico utilizado para o conversor Boost Interleaved + VSI 83
Figura 89- Perfil da HS Dissipadores modelo HS15560. 84
Figura 90- Grfico para correo de altitude no clculo do dissipador. 84
Figura 91- Potncia em funo da frequncia de operao - transistor CC-CC 93
Figura 92- Perda Total no transistor CC-CC 94
Figura 93- Potncia em funo da frequncia de operao - diodo CC-CC 94
Figura 94- Peso do indutor boost em funo da frequncia. 95
Figura 95- Volume do indutor boost em funo da frequncia. 96
Figura 96- Perda no indutor boost em funo da frequncia. 96
Figura 97- Peso e volume do dissipador + indutor em funo da frequncia de operao da
etapa CC-CC. 97
Figura 98- Eficincia total em funo da frequncia de operao da etapa CC-CC. 98
Figura 99- Esquema eltrico bsico da etapa CC-CC 99
Figura 100- Esquema eltrico bsico da etapa CC-CA 99
Figura 101- Malha de corrente da rede 100
Figura 102- Sistema Compensado 101
Figura 103- Comparaes entre corrente injetada e a referncia 102
Figura 104- Malha de tenso do barramento, que inclui a malha de corrente 103
Figura 105- Sistema Compensado 104
Figura 106- Diagrama de blocos do algoritmo PLL 104
Figura 107- Mtodo de anti ilhamento SMS adotado 106
Figura 108- Modelo de simulao para testes de ilhamento 106
Figura 109- Mudana de Frequncia 107
Figura 110- Tempo para deteco 108
Figura 111- Diagrama de blocos do algoritmo de MPPT P&O. 108
Figura 112- Diagrama de blocos simplificado para o estgio CC-CC 109
Figura 113- Diagrama de blocos da proteo de tenso do barramento. 110
Figura 114- Sequncia de execuo da interrupo de 30.06kHz 111
Figura 115- Sequncia bsica de execuo da interrupo de 10.02kHz 111
Figura 116- Fluxograma bsico da rotina de controle principal. 112
Figura 117- Fluxograma da interrupo de 30.06kHz. 113
Figura 118- Fluxograma da interrupo de 10.02kHz. 114
Figura 119- Fluxograma do controle da etapa boost e MPPT. 115
Figura 120- Resultados para o algoritmo de MPPT usando conversor boost interleaved em
regime. 117
Figura 121- Tenso da rede em 220V sincronizada com a rampa .t. 118
Figura 122- Gerador de harmnicos 119
Figura 123- Tenso de sada da Califrnia em 220V sincronizada com a rampa w.t. 119
Figura 124- Gerador de harmnicos 120
Figura 125- Tenso da sada da Califrnia em 220V sincronizada com a rampa .t. 121
Figura 126- Gerador de harmnicos 122
Figura 127- Tenso da sada da Califrnia em 220V sincronizada com a rampa .t. 123
Figura 128- Tenso na rede (Rosa), corrente na rede (Verde) e tenso dreno-source na chave
inferior da ponte VSI (Azul). 124
Figura 129- Tenso na rede (Rosa), corrente na rede (Verde) e tenso dreno-source na chave
inferior da ponte VSI (Azul). 125
Figura 130- Tenso na rede (Rosa), corrente na rede (Verde) e tenso dreno-source na chave
inferior da ponte VSI (Azul). 126
Figura 131- . Tenso na rede (Rosa), corrente na rede (Verde) e tenso dreno-source na chave
inferior da ponte VSI (Azul). 126
Figura 132- Tenso na rede (Rosa), corrente na rede (Verde) e tenso dreno-source na chave
inferior da ponte VSI (Azul). 127
Figura 133- Tenso na rede (Rosa), corrente na rede (Verde) e tenso dreno-source na chave
inferior da ponte VSI (Azul). 128
Figura 134- Arranjo experimental. 128
Figura 135- Detalhe do Conversor e da placa DSC. 129
Figura 136- Interface grfica para auxlio nos testes. 129
Figura 137- . Medio das formas de onda com corrente nominal na sada. 130
Figura 138- Tenso na rede (azul escuro), corrente na rede (azul Claro). 131
Figura 139- Tenso na rede (azul escuro), corrente na rede (azul claro). 131
Figura 140- Tenso na rede (azul escuro), corrente na rede (azul claro). 132
Figura 141- Tenso na rede (azul escuro), corrente na rede (azul claro). 133
Figura 142- Tenso na rede (Azul escuro), corrente na rede (Azul Claro). 133
Figura 143- Tenso na rede (Rosa), corrente na rede (Azul Claro), sinal de deteco de
ilhamento (Azul escuro, ativo em nvel alto). Tempo de deteco de 9.12s devido ao desvio
natural do algoritmo de PLL. 134
Figura 144- Tenso na rede (Rosa), corrente na rede (Azul Claro), sinal de deteco de
ilhamento (Azul escuro, ativo em nvel alto). Tempo de deteco 628ms. 135
Figura 145- Tenso na rede (Rosa), corrente na rede (Azul Claro), sinal de deteco de
ilhamento (Azul escuro, ativo em nvel alto) e corrente de sada do inversor (verde). Tempo
deteco de 796ms. 136
Figura 146- Tenso na rede (Azul) corrente na rede (Roxo) 137
Figura 147- Tenso na rede (Verde), corrente na rede (Azul) e corrente do inversor (Roxo)137
Figura 148- Ensaio de sobre tenso da rede de 15% 138
Figura 149- Ensaio de sub tenso da rede de 50% 139
Figura 150- Tenso na rede (Rosa) e corrente de sada do inversor (Verde). 140
Figura 151- Arranjo experimental 140
Figura 152- Detalhe do Conversor e da placa DSC. 141
Figura 153- Interface grfica para auxlio nos testes (Segunda Coluna representa etapa
inversora em Teste) 141
Figura 154- Medio das formas de onda com 870W de sada em rede 220V. 142
Figura 155- Tenso (Verde) e Corrente de Rede (Amarelo). 143
Figura 156- Sistema para medio da eficincia. 143
Figura 157- Interface grfica de monitoramento do inversor 144
LISTA DE TABELAS
Tabela 1- Eficincia confirmada em laboratrio de painis solares comerciais. 20
Tabela 2- Fator de converso para correo da temperatura. 85
Tabela 3- Fator de correo da resistncia trmica em funo do comprimento do dissipador.
86
LISTA DE ABREVIATURAS E SIGLAS


 Artifical Inteligence
 Tenso de sada da ponte inversora CC-CA
 
 
     
     
 Capacitor-Indutor
 Capacitor-Indutor
 Current Source Inverter
 Constant Voltage
 Razo cclica
       
 Discrete Fourier Transform
  Distoro Harmnica Total
! Dispositivo de proteo contra surtos
" Dispositivos de proteo diferenciais
 Digital Signal Controller
! Digital Signal Processor
 Full-Bridge
  Frequncia de operao
  Frequncia de operao
# Ganho esttico
#$$ #   %  &  ' 
 Half-Bridge
 Incremental Conductance
$( Interferncia Eletromagntica
) Corrente de mxima potncia
 Corrente de sada
 Liquid Crystal Display
$ Light Emitting Diode
$! Laboratrio de Eletrnica de Potncia
$! Laboratrio de Eletrnica de Potncia
( Modo de Conduo Contnua
( Modo de Conduo Descontnua
(!! Maximum Power Point
(!! Maximum Power Point Tracking
* Non detection zones
! Neutral Point Clamped
!+, Perturb and Observe
! Ponto de acoplamento comum
! Placa de Circuito Impresso
! Phase-Locked Loop
! Phase-Locked-Loop
! ! - 
!.( Pulse Width Modulation
"( Root Mean Square
( Slip-Mode Frequency Shift
!.( Sinusoidal Pulse Width Modulation
/. Square-Wave
  Tenso de entrada
) Tenso de mxima potncia
 Tenso de sada
 Tenso de circuito aberto
 Tenso de pico
 Sinal de referncia
)  Tenso eficaz
 Voltage Source Inverter
 Sinal triangular de referncia
* Zero Voltage Switching
SUMRIO

1 INTRODUO GERAL 14
1.1 PAINEL FOTOVOLTAICO 18
1.2 EXTRAO DE MXIMA POTNCIA DO PAINEL 20
1.3 ANTI-ILHAMENTO E SINCRONISMO 22
1.4 ESTRUTURA DO TRABALHO 25
2 ESTGIOS DE POTNCIA PARA APLICAES FOTOVOLTAICAS 26
2.1 ESTGIOS ELEVADORES 26
2.1.1 CONVERSOR CC-CC BOOST CLSSICO 28
2.1.2 CONVERSOR CC-CC BOOST INTERLEAVED 31
2.1.3 CONVERSOR CC-CC BOOST QUADRTICO 32
2.1.4 CONVERSOR CC-CC BOOST QUADRTICO MODIFICADO 33
2.1.5 CONVERSOR CC-CC REBOOST 34
2.1.6 CONVERSOR CC-CC CHARGE-PUMP REBOOST 35
2.2 ESTGIOS INVERSORES 36
2.2.1 ESTRUTURAS BSICAS 36
2.2.2 INVERSOR CC-CA MONOFSICO TIPO VSI: HB (HALF-BRIDGE) 37
2.2.3 INVERSOR CC-CA MONOFSICO TIPO VSI: FB (FULL-BRIDGE) 37
2.2.4 INVERSOR CC-CA MONOFSICO TIPO VSI: NPC 39
2.2.5 INVERSOR CC-CA MONOFSICO DO TIPO CSI 41
2.2.6 INFLUNCIA DO INVERSOR NA CORRENTE DE FUGA DO PV 41
2.3 ESTRUTURAS INTEGRADAS 44
2.3.1 CONVERSOR CC-CA BOOST + VSI INTEGRADO 46
2.3.2 CONVERSOR CC-CA BUCK-BOOST INTEGRADO 47
2.3.3 CONVERSOR CC-CA BUCK-BOOST TRI-STATE 49
2.3.4 OUTRAS ESTRUTURAS INTEGRADAS 50
2.4 CONSIDERAES DO CAPTULO 50
3 ANLISE E SIMULAES DAS PRINCIPAIS ESTRUTURAS EM ESTUDO
53
3.1 BOOST + VSI FULL BRIDGE COM MODULAO BIPOLAR 53
3.2 BOOST + NPC 56
3.3 BOOST INTERLEAVED + NPC 58
3.4 BOOST QUADRTICO MODIFICADO + NPC 60
3.5 REBOOST + NPC 62
3.6 CHARGE PUMP REBOOST + NPC 63
3.7 BUCK-BOOST INTEGRADO 65
3.8 BUCK-BOOST TRI-STATE 67
3.9 BOOST INTERLEAVED + VSI 69
3.10 CONSIDERAES DO CAPTULO 71
4 ESTRUTURAS ADMITIDAS PARA A APLICAO - ESTGIOS CC-CC E
CC-CA ADOTADOS PARA O PROJETO 73
4.1 INTRODUO 73
4.2 COMPARATIVO DAS ESTRUTURAS SIMULADAS 73
4.3 ESTRUTURAS CC-CC E CC-CA ESCOLHIDAS 74
4.4 ANLISE QUANTITATIVA 76
4.4.1 DADOS GERAIS DO PROJETO E ESPECIFICAES MNIMAS: 76
4.4.2 DIMENSIONAMENTO DOS COMPONENTES DA ETAPA DE POTNCIA DO
CONVERSOR 77
4.4.3 CLCULO DA POTNCIA COMUTADA 78
4.4.4 FATOR DE UTILIZAO 78
4.4.5 ESFOROS NOS SEMICONDUTORES 79
4.4.6 CLCULO DA POTNCIA DISSIPADA 80
4.4.7 CLCULO DO DISSIPADOR DE CALOR 82
4.4.8 CLCULO DOS ELEMENTOS MAGNTICOS 87
4.5 ANLISE PARA A FREQUNCIA DE OPERAO DA ETAPA CC-CC 93
4.5.1 SEMICONDUTORES DA ETAPA CC-CC 93
4.5.2 INDUTOR DA ETAPA CC-CC 95
4.5.3 PESO E VOLUME DO DISSIPADOR E INDUTOR 97
4.5.4 EFICINCIA ESTIMADA DO CONVERSOR EM FUNO DA FREQUNCIA 97
4.6 ESQUEMA ELTRICO BSICO 98
5 CONTROLADORES PARA A ETAPA CC-CA, ANTI-ILHAMENTO, CC-CC E
MPPT 100
5.1 CONTROLADOR DA MALHA DE CORRENTE DO INVERSOR VSI 100
5.2 CONTROLADOR DA TENSO DO BARRAMENTO CONSIDERANDO
INJEO DE CORRENTE NA REDE. 102
5.3 ALGORITMO PLL 104
5.4 TESTE DO ALGORITMO DE ILHAMENTO SMS COM CARGA RLC. 105
5.5 ALGORITMO DE MPPT 108
5.6 DIAGRAMA EM BLOCOS DO CONTROLE DA ETAPA CC-CC 109
5.6.1 PROTEO 110
5.7 CONTROLE DIGITAL DO CONVERSOR 110
5.7.1 SEQUNCIA BSICA DE EXECUO DAS ROTINAS 110
5.7.2 FLUXOGRAMA BSICO DA ROTINA DE CONTROLE PRINCIPAL 112
5.7.3 FLUXOGRAMA DA INTERRUPO DE 30.06KHZ 113
5.7.4 FLUXOGRAMA DA INTERRUPO DE 10.02KHZ 114
5.7.5 FLUXOGRAMA DO CONTROLE DA ETAPA BOOST E MPPT 114
5.8 CONSIDERAES DO CAPTULO 115
6 IMPLEMENTAO PRTICA E RESULTADOS EXPERIMENTAIS 116
6.1 OBJETIVOS 116
6.2 TESTE ALGORITMO MPPT 116
6.3 TESTE ALGORITMO PLL 117
6.4 TESTE DA MALHA DE CORRENTE 123
6.5 UNIFICAO DAS MALHAS DE CONTROLE E TESTE EM REDE BIFSICA
DE 220V 130
6.5.1 TESTE DE INJEO DE POTNCIA 130
6.5.2 TESTE DO ALGORITMO SMS 134
6.5.3 INICIALIZAO DA INJEO DE POTNCIA 139
6.5.4 EFICINCIA DO CONJUNTO INVERSOR 142
7 CONCLUSO GERAL E CONTINUIDADE DO TRABALHO 145
REFERNCIAS 147
APNDICE A 154
APNDICE B 163
14

1 INTRODUO GERAL
A crescente demanda energtica mundial e a presente preocupao com a reduo ou
extino das fontes de energias convencionais, principalmente fsseis e nuclear, aliadas
questo ambiental, gerou um incentivo significativo na pesquisa e desenvolvimento de fontes
de energia alternativas com menor impacto ecolgico e econmico. A maioria das fontes de
energias renovveis como a hidrulica, biomassa, elica e a energia dos oceanos so todas
provenientes, mesmo que indiretamente, da energia solar.
Durante o perodo diurno, a luz do sol permite o aquecimento de ambientes decorrente
da absoro da radiao solar pelas edificaes, chamado de aquecimento solar passivo e da
iluminao dos ambientes atravs de janelas ou condutores de luz (espelhos ou tubos
condutores de luz (1)(2)), permitindo um maior aproveitamento da energia solar ao invs da
utilizao de fontes de energia convencionais.
Com o avano da tecnologia, a radiao solar pode ser utilizada diretamente como
fonte de energia trmica ou eltrica, podendo ser convertida diretamente em energia eltrica,
por meio de efeitos sobre determinados materiais, entre os quais se destacam o termoeltrico e
o fotovoltaico.
O aproveitamento trmico para aquecimento de fludos feito com o uso de coletores
ou concentradores solares (3). Os coletores solares so geralmente utilizados para
aquecimento de gua para fins higinicos residenciais ou comerciais, em geral visando
substituir o uso de aquecedores eltricos convencionais, como chuveiros e torneiras eltricas,
que representam um grande consumo de energia nos horrios de pico de demanda da rede
eltrica. Os concentradores solares destinam-se a cenrios onde a energia trmica necessria
mais elevada, como para aquecimento de fludos como leo ou gua, podendo prover a
formao de vapor para movimentaes de turbinas, utilizadas, por exemplo, para gerao de
eletricidade.
A converso direta de energia solar em eltrica existe devido ao efeito que a radiao
solar (calor e luz) possui sobre certos materiais, em destaque os materiais semicondutores.
Pode-se citar os efeitos termoeltrico e fotovoltaico. O efeito termoeltrico definido pela
converso direta de energia trmica em eltrica, ou eltrica em trmica. Ao aplicar uma
diferena de temperatura, em uma juno de dois diferentes tipos de metais, surge uma
diferena de potencial (ddp) eltrico na juno, conhecida como Efeito Seebeck (4-5). De
maneira anloga, ao aplicar uma ddp na juno de dois metais diferentes surge uma diferena
de temperatura na juno, tambm conhecido como Efeito Peltier (6). O efeito fotovoltaico
15

consiste do surgimento dee uma diferena de potencial em uma juno semicondutora P-N
quando esta atingida por ftons
f (7).
Entre os vrios proocessos de aproveitamento da energia solarr no mundo, os mais
usados atualmente so o aqquecimento de gua e a gerao fotovoltaica de
d energia eltrica. No
Brasil, o primeiro mais encontrado nas regies Sul e Sudeste, deevido a caractersticas
climticas, e o segundo, naas regies Norte e Nordeste, em comunidades isoladas da rede de
energia eltrica. A Figura 1mostra um grfico com a perspectiva de evoluo
e das fontes de
energia alternativa, onde a energia fotovoltaica apresenta o maior ndicce de aumento seguido
da elica.
Figura 1- Evoluo do
d uso das fontes de energia alternativas para as prximas dcadas.

Fonte: (58)

Apesar dos fenmennos de reflexo e absoro dos raios solares pela


p atmosfera, estima-
se que a energia solar inciidente sobre a superfcie da terra seja da orddem de dez mil vezes
maior do que o consumo ennergtico mundial (8) conforme a Figura 2.
16

Figura 2- Comparativo da enerrgia solar entregue Terra e o total das outras fonttes de energias utilizadas.

Fonte: Eco Solar Equipment Ltd

Neste contexto, o coonceito de gerao distribuda de energia (G


GDEE), transformou-se
numa possibilidade tcnicaa real e atual, sujeita a diversas pesquisas e normatizaes
n em todo
o mundo.
No que se refere ao Brasil, pode-se afirmar que um pas com grrande vantagem para o
uso de energia fotovoltaicaa, pois provido de excelentes nveis de radiao solar o ano todo
devido sua localizao geeogrfica. O territrio brasileiro compreendee uma faixa de latitude
em que a incidncia de raadiao solar muito superior em relao aos continentes mais
desenvolvidos onde a gerao distribuda oriunda de painis fotovoltaiccos j uma realidade.
q um dos pases desenvolvidos que mais utilizam energia solar,
Em destaque a Alemanha, que
apresenta ndices de radiaao solar bem inferiores aos do Brasil, onde na regio mais
favorecida da Alemanha teem-se aproximadamente 1,4 vezes menos raddiao solar do que na
d Brasil (9). A Figura 3 apresenta o atlas de irradiao solar no
regio menos favorecida do
Brasil.
17

Figura 3- Atlas de irradiao solar no Brasil.

Fonte: AT
TLAS de Irradiao Solar no Brasil. 1998. (Adaptaado).

Pode-se notar que o Brasil possui uma distribuio uniforme da


d irradiao solar em
quase todo o seu territrio. Mesmo na regio sul a irradiao solar addequada para a gerao
de energia a partir de painis fotovoltaicos (PV).
Na Europa os painis fotovoltaicos j so bastante utilizados. Pases como a Alemanha
oferecem grande incentivoo instalao de conjuntos geradores de ennergia fotovoltaica aos
consumidores. Isto diminuui o consumo de energia das concessionrias fornecedoras de
energia eltrica nos horrios de pico da demanda energtica, alivviando o sistema de
transmisso e gerao. Noss horrios onde a energia produzida pelos PV
Vs no so totalmente
utilizadas pelo consumidor, o excedente de energia gerada disponibilizado na rede eltrica e
as concessionrias de energgia podem pagar o proprietrio do sistema de
d gerao fotovoltaica
pelo excedente (10)(11).
O fato de o sistemaa fotovoltaico ser conectado diretamente reede eltrica dispensa a
necessidade do uso de arm
mazenadores de energia. Sem esse componennte, o custo do sistema
diminui significativamente e permite uma melhoria no desempenho doo mesmo, uma vez que
toda a energia disponibilizada para o sistema eltrico (12).
18

Inicialmente, o elevado custo da energia advinda dos painis fotovoltaicos pode ser
facilmente absorvido pelos grandes centros urbanos e ainda, a maioria das grandes cidades
brasileiras apresenta picos de demanda de energia durante o horrio diurno e no vero, onde a
incidncia de radiao solar intensa (11). O alto custo da energia fotovoltaica deve diminuir
e se tornar concorrente da energia hidroeltrica no Brasil. O custo da energia advinda dos
painis fotovoltaicos se igualaria ao da energia disponibilizada pela rede de energia a partir de
2020 em algumas regies do Pas, caso haja programas de incentivo aplicao desta fonte,
com foi realizado na Alemanha (8).
Uma grande vantagem ambiental dos sistemas fotovoltaicos a reduo das emisses
de gs carbnico (CO2) nos grandes centros urbanos que o mesmo permite. De acordo com
(11), em 2030, a taxa de reduo anual de emisses de CO2 devido utilizao de PVs deve
estar em torno de 1 bilho de toneladas/ano, o equivalente s emisses totais da ndia no ano
de 2004, ou s emisses de 300 usinas termeltricas a carvo. Alm disso, em 2040 previsto
que 28% da energia consumida no mundo venha de painis solares. Dentro de pouco tempo, a
aplicao deste tipo de energia colaborar para a emisso de selos de reduo de emisso de
gases causadores do efeito estufa pelos rgos regulamentadores, para empreendimentos
residenciais/comerciais, levando investidores a preferirem empreendimentos com este selo de
qualidade em detrimento aos que no o possurem.

1.1 Painel fotovoltaico


O painel fotovoltaico um dispositivo que utiliza o efeito fotoeltrico para converter
energia luminosa em energia eltrica. A energia fotovoltaica considerada uma fonte limpa e
com impacto ambiental quase nulo em operao, embora no processo produtivo ainda gere
uma considervel quantidade de poluentes e o reaproveitamento do painel solar ainda no
total (13). A energia solar a fonte de energia alternativa com maior crescimento previsto
para as prximas dcadas devido aos investimentos de novas tecnologias para o aumento do
rendimento e diminuio do custo de produo. A Figura 4 apresenta um painel fotovoltaico
tpico da Kyocera.
19

Figura 4- Painel solar tpico de 210W fabricado pela Kyocera (Dim.: 1.5 x 0.99 x 0.046m).

Fonte: Kyocera do Brasil (14).


Um painel fotovoltaico fornece energia eltrica na forma de corrente contnua (CC),
tipicamente com tenso entre 12 e 68V, e com potncias variando entre 40 e 210W para
aplicaes em gerao distribuda.
Para que a energia proveniente do PV seja injetada na rede eltrica convencional em
baixa tenso (BT), existe a necessidade de um estgio de adequao, de modo a permitir a
compatibilidade entre a energia CC do PV e a energia presente na rede de distribuio que
tradicionalmente adotada na forma de corrente alternada (CA). Normalmente so necessrios
dois processos principais para a utilizao da energia fotovoltaica na rede eltrica: a elevao
da tenso do PV e a converso de corrente contnua em corrente alternada.
Cada um desses processos representa uma perda da energia captada do sol por
ineficincia, diminuindo o rendimento total do sistema. Apesar do nvel de tecnologia atual no
ramo de painis fotovoltaicos, o rendimento apresentado por estes elementos ainda so baixos
em comparao com outras fontes de energia alternativas como elica ou clula combustvel.
A eficincia de converso apresentada pelos painis fotovoltaicos disponveis comercialmente
so apresentados na Tabela 1(15).
20

Tabela 1- Eficincia confirmada em laboratrio de painis solares comerciais.

Tipo de clula Eficincia (%) Fabricante


Si (crystalline) 22.9 0.6 UNSW/Gochermann
Si (large crystalline) 21.4 0.6 SunPower
Si (multicrystalline) 17.55 0.5 Schott Solar
Si (thin-film polycrystalline) 8.2 0.2 Pacic Solar
Fonte: (15).
Sendo assim, o condicionamento da energia fornecida pelo PV para a injeo na rede
de distribuio em BT, deve apresentar rendimento bastante elevado, da ordem de 90% ou
mais de modo a permitir que a energia do PV seja aproveitada de forma otimizada e o custo
inicial para sua implantao, que ainda considerado alto, seja amortizado em menor tempo.

1.2 Extrao de mxima potncia do painel


Alm do alto rendimento do inversor, este tipo de fonte de energia necessita de
tcnicas de rastreamento de mxima potncia (MPPT Maximum Power Point Tracking) (8-
11), pois possui uma caracterstica de tenso versus corrente no linear. A Figura 5 apresenta
a curva caracterstica de um PV. O MPPT garante que a mxima potncia esteja sendo
extrada do painel, obtendo a mxima eficincia em operao, otimizando o investimento e o
retorno financeiro aplicado. necessrio salientar que existe somente um ponto de mxima
potncia (MPP Maximum Power Point) que varia de acordo com as condies climticas. A
caracterstica no linear tenso versus corrente no linear dos PVs pode ser observada na
Figura 5(a), a qual varia de acordo com o nvel de radiao solar e de temperatura, tornando a
extrao desta mxima potncia uma tarefa complexa para o conversor e para o sistema de
controle.
21

Figura 5- Caractersticas de um painel fotovoltaico. (a) Curva corrente versus tenso. (b) Curva
potncia versus tenso, variando-se as condies atmosfricas (radiao/temperatura).

(a)

(b)
Fonte: (13)

Para superar este problema, vrios mtodos para extrao da mxima potncia tm
sido propostos na literatura (16-19)(21). Entre os mais conhecidos esto os mtodos da
Tenso Constante (CV), da Tenso de Circuito Aberto, de Curto-Circuito por Pulsos, da
Perturbao e Observao (P&O), da Condutncia Incremental (IC), Hill Climbing, Beta,
Correlao de Ripple, Oscilao do Sistema e os mtodos baseados em temperatura e em
inteligncia artificial (AI)(60-61).
Dentre os diversos mtodos para localizao do ponto de mxima potncia, vide figura
5(b), levando-se em considerao custo (quantidade de sensores, componentes, clculo
computacional) e eficincia gerada (proximidade do MPP), os mtodos P&O e IC
modificados, Beta e Correlao de Ripple se destacam (19-21), apesar dos mtodos baseados
em temperatura apresentarem custo reduzido. Grande parte dos mtodos mais eficazes para
extrao da mxima potncia tem sido estudados e implementados no Laboratrio de
Eletrnica de Potncia (LEP). Na Figura 6, so apresentadas as respostas dinmicas de
potncia de alguns dos melhores mtodos avaliados, onde a curva em azul representa a
22

mxima potncia do painel e a curva em vermelho indica a potncia extrada do PV pelo


mtodo de MPPT.

Figura 6- Resposta de Potncia de alguns mtodos avaliados no LEP.


 

 

Fonte: (21)

1.3 Anti ilhamento e sincronismo


Quando se tem uma rede de distribuio eltrica junto com sistemas de gerao
distribudos na baixa tenso (BT), a concessionria de energia tem controle sobre as
grandezas eltricas da rede, como por exemplo a amplitude da tenso, frequncia da rede e
fase. Uma vez que a concessionria varia estas grandezas, os inversores conectados rede
devem se adequar.
23

No caso de corte da distribuio de energia por parte da concessionria, seja por


manuteno ou por problemas tcnicos, os inversores devem tambm se desconectar para
evitar problemas operacionais e de segurana. Se por algum motivo a concessionria de
energia deixar de fornecer energia para a rede de distribuio e o inversor ligado esta rede
no for desconectado, dizemos que ocorreu o chamado ilhamento.
O fenmeno de ilhamento para um sistema de gerao distribudo caracterizado
quando na ausncia da rede de distribuio principal (concessionria de energia), a rede local
continua energizada e alimentando as cargas locais (12; 22-24). Dessa forma, a rede local
pode trazer risco de vida para aqueles que podero vir a dar manuteno na mesma. Com isso
as normas tais como IEEE 929-2000 (62), IEEE 1547 (23)(63) e UL1741(25), que versam
sobre a interconexo de sistemas fotovoltaicos rede requerem mtodos eficazes para detectar
o ilhamento,.
Durante o ilhamento podem ocorrer problemas de segurana, qualidade de energia e
confiabilidade (12;22). A rede de energia no consegue mais controlar a tenso e a frequncia
durante o ilhamento, criando a possibilidade de danificar equipamentos dos consumidores.
Problemas tambm podem surgir durante a reconexo da rede aps um ilhamento.
Uma vez que no h nenhuma forma de garantir em qual magnitude, frequncia e fase a rede
estar quando for reconectada, existe a possibilidade de danificar equipamentos e at o
sistema de gerao distribudo, e dependendo das caractersticas do sistema de distribuio,
pode at interferir com a restaurao do servio pela concessionria de energia eltrica (22).
Dessa forma, torna-se clara a necessidade de um sistema de deteco de ilhamento por
parte do inversor conectado rede eltrica quando se trata de sistemas de gerao de energia
distribuda. Diversos mtodos de deteco de ilhamento tm sido propostos na literatura, e os
mais relevantes so os mtodos ativos e passivos includos no sistema de controle do inversor
(12;22-24).
Os mtodos, a iniciar pelos passivos, se baseiam na deteco de uma anormalidade na
amplitude, frequncia, fase ou altos contedos harmnicos na tenso no ponto de acoplamento
comum (PCC) entre o inversor e a rede quando em ilhamento. Em qualquer caso de
anormalidade, o inversor cessa a converso de energia e injeo de potncia na rede de
distribuio (12;22). A Figura 7 apresenta um exemplo de um conversor para PV conectado
rede de distribuio para injeo de potncia.
24

Figura 7- Exemplo de sistema de gerao distribudo baseado em PVs conectado a rede de distribuio.

Fonte: Dados do prprio autor.

Todo mtodo de deteco de ilhamento possui a chamada NDZ (Non detection zones)
ou no portugus, zona de no deteco que so as condies onde o inversor no detecta a
ocorrncia do fenmeno de ilhamento. Os mtodos passivos possuem uma NDZ grande em
relao aos mtodos ativos, por exemplo, quando a energia consumida pela carga for igual
energia fornecida pelo PV, no haver nenhuma alterao das caractersticas da rede no PCC
e o inversor somente com o mtodo passivo no ser capaz de detectar que a rede principal de
distribuio de energia foi desconectada.
Os mtodos ativos foram desenvolvidos com o objetivo de aprimorar a deteco do
ilhamento por parte do inversor e diminuir a NDZ para patamares aceitveis. Estes mtodos
inserem, ou tentam inserir pequenas anomalias na rede de distribuio e monitoram o efeito
sobre ela. Estes distrbios podem ser uma alterao na amplitude da tenso, alterao na
frequncia fundamental da rede, insero de contedos harmnicos, variao da potncia ativa
e reativa ou verificao de alteraes na impedncia da rede (26).
Se a rede de distribuio ainda estiver conectada, estes pequenos distrbios no tero
efeito sobre a mesma, indicando que no h a condio de ilhamento. Por outro lado, se for
possvel ao inversor alterar as caractersticas da rede, entendido que a rede no est presente
e o conversor deve ser desconectado. Como uma maneira de aumentar a eficcia da deteco
de ilhamento, so implementados no conversor mtodos ativos e passivos, onde os mtodos
passivos monitoram as grandezas eltricas da rede como tenso e frequncia (27).
Para a conexo do inversor rede de distribuio, alguns cuidados devem ser tomados
de maneira a se evitar a ocorrncia de danos ou distrbios tanto rede quanto ao conversor,
garantindo a mxima eficincia do sistema. Como pr-requisitos para a injeo de potncia na
rede, o conversor deve ter a mesma magnitude de tenso no ponto ao qual ser conectado,
mesma frequncia e nenhuma defasagem em relao fundamental da rede de distribuio.
25

A tarefa que garante a coincidncia dos parmetros de frequncia e fase entre


conversor com a rede CA chamada de sincronismo. Para isto existem tcnicas e algoritmos
baseados em PLL (Phase-Locked Loop), DFT (Discrete Fourier Transform) e mtodos
baseados nos Filtros de Kalman (28-30). Cada mtodo exige maior ou menor esforo
computacional, resultando em complexidade de hardware em troca da preciso das
informaes obtidas.
Em relao aos mtodos descritos, os algoritmos recursivos para clculo da DFT so
timas opes quando se h preocupaes com relao ao tempo de sincronismo e
capacidade de filtragem do mtodo; j os algoritmos PLL so recomendados quando a
estimao de frequncia necessria em cada passo discreto ou quando se necessita de uma
maior simplicidade na implementao; a importncia dos algoritmos baseados nos filtros de
Kalman justificada j que no necessitam de nenhuma tcnica complexa adicional para
identificar amplitude, frequncia ou fase da tenso de rede. Estes algoritmos se apresentam
como interessantes alternativas para a realizao de sincronismo com a rede de CA.

1.4 Estrutura do trabalho


O trabalho estruturado em sete captulos, aps a introduo, uma reviso sobre os
conversores elevadores estticos, estruturas CC-CA monofsicas, e estruturas integradas
feita no Captulo 2 . So apresentadas as descries das estruturas, viso da topologia, assim
como vantagens e desvantagens de cada uma.
Atravs da ferramenta Matlab/Simulink, o Captulo 3 apresenta as simulaes e
principais resultados das estruturas CC-CA mais interessantes para aplicaes com painis
solares conectadas rede eltrica de distribuio.
Aps as simulaes, o Captulo 4 inicia a parte de implementao, com um resumo
das caractersticas de todas as estruturas consideradas como candidatas. A estrutura
selecionada justificada e a metodologia de clculo apresentada.
Seguindo a anlise quantitativa, no Captulo 5 discutido a metodologia de controle e
apresentada a sequncia bsica de execuo no sistema digital.
No Captulo 6 sero mostrados os resultados prticos obtidos de forma global assim
como os resultados da etapa de potncia.
Por fim, a concluso no Captulo 7 traa um apanhado geral de tudo que abordado
neste trabalho.
26

2 ESTGIOS DE POTNCIA PARA


APLICAES FOTOVOLTAICAS
2.1 Estgios elevadores
Para aplicaes em baixa potncia, de at 1kW, como o caso deste presente estudo,
os dispositivos fornecedores de energia eltrica como o painel fotovoltaico e a clula
combustvel, no atendem as especificaes de nvel de tenso para a aplicao na rede de
distribuio domstica, seja ela em 127 ou 220Vca. Como dito anteriormente, um PV tpico
fornece em seus terminais uma tenso que varia de 12 a 68Vdc, nveis muito baixos para este
tipo de aplicao.
Nas primeiras aplicaes de conversores para PVs era comum a utilizao de grandes
associaes srie e paralelo de mdulos fotovoltaicos (multi strings), concentrados em um
nico conversor, como mostra a Figura 8, de modo que a tenso e a potncia fornecida por
estes fossem satisfatrias para a aplicao. Para evitar um fluxo de potncia entre os ramos de
PVs, era necessria a insero de diodos em srie com cada ramo. Porm tal configurao
apresentava grande dissipao de energia em funo destes diodos. Como nesta aplicao o
algoritmo de MPPT nico para todo o conjunto de PVs, no garantido que todos os painis
operem no MPP para que o sistema funcione no seu ponto timo de fornecimento de potncia,
o que pode ser descrito como desperdcio da energia captada ou ineficincia.
A configurao multi string vista pelo lado do inversor apresenta algumas vantagens
como maior rendimento devido alta potncia processada e a no necessidade de um estgio
elevador, uma vez que a associao srie de painis geralmente j fornece a tenso necessria
para conexo com a rede de distribuio em BT.
Para um melhor aproveitamento da energia captada pelos PVs, passou-se a utilizar a
configurao em string, que a montagem de PVs em srie formando-se um nico ramo,
conforme Figura 9. Esta aplicao no exige a utilizao de diodo srie, evitando perdas de
energia.
27

Figura 8- Tecnologia de nico conversor centralizado para aplicao com mltiplos PVs.
D1 D2 Dn

PV PV PV

PV PV PV

PV PV PV

CC
CA
Rede

Fonte: Dados do prprio autor.

Para que o algoritmo de MPPT seja mais eficiente, a quantidade de painis em srie
deve ser limitada, uma vez que com poucos painis h maiores chances de que a incidncia de
luz solar seja uniforme em todos eles. Dessa forma, a potncia desse tipo de configurao
limitada em um menor nvel, onde atualmente considera-se um sistema de mdia potncia que
varia de 2 a 5 painis, fornecendo entre 500W e 1000W, denominado aqui de mid-inverter.
Figura 9- Configurao em string para conversores solares.

PV PV

PV PV

CC CC
CA CA
Rede

Fonte: Dados do prprio autor.


28

O uso de transformadores obrigatrio em vrios pases por questes de segurana


permitindo o aterramento duplo da estrutura, e seu uso tambm facilita na elevao de tenso
que feita diretamente na relao de espiras. Assim, os conversores do tipo VSI, que so
conversores inerentemente estveis e mais simples de se controlar (31), podem ser projetados
para injetar corrente senoidal na rede de distribuio, e, por esta razo so largamente utilizados.
Mas tecnicamente, o seu uso tambm apresenta muitas desvantagens, como o aumento do peso
e volume, assim como a reduo da eficincia. A utilizao de transformadores de alta
frequncia uma alternativa interessante que reduz o peso e o volume, mas implica no aumento
da complexidade do conversor, e, se a isolao for exigida, ela deve ser realizada no estgio de
alta frequncia.
Quando o conversor no isolado galvanicamente, isto , sem transformador, o ganho de
tenso deve ser dado por uma etapa elevadora e a converso de CC para CA feita por um
segundo estgio, conforme a Figura 10.
Figura 10- Estrutura utilizada para a adequao da energia eltrica fornecida pelo painel fotovoltaico.

CC CA
PV Aplicao
CC CC

Fonte: Dados do prprio autor.

Em muitos pases, como no caso dos pases Europeus, a isolao galvnica no exigida
por lei, sendo que a ausncia de isolao galvnica no prejudica necessariamente a segurana.
A proteo um parmetro de projeto, que pode incluir diversas alternativas, como aterramento
e at mesmo dispositivos de proteo diferenciais (DR), por exemplo. O principal cuidado de
painis sem isolao galvnica est em no realizar manutenes com o equipamento conectado
rede, assim como quaisquer outros eletrodomsticos ou dispositivos energizados. Protees
passivas externas como disjuntores, DPS (dispositivo de proteo contra surtos) e DR,
provavelmente, sero bem vindos nos quadros das instalaes eltricas que recebero os
mdulos fotovoltaicos. Em virtude da busca de novas estruturas com reduzidos peso, volume e
custos, verifica-se uma tendncia para a pesquisa de conversores com topologias sem isolao
galvnica (32-33).

2.1.1 Conversor CC-CC boost clssico


A associao em string de PVs para aplicaes de mdia potncia, que normalmente
utilizam de 2 a 5 painis, no fornece o nvel adequado de tenso de trabalho para a conexo
com a rede eltrica, sendo necessria uma etapa elevadora. A topologia elevadora mais bsica e
29

mais utilizada para esta funo a chamada boost ou step-up e sua configurao apresentada
na Figura 11 a seguir:

Figura 11- Conversor boost

Fonte: Dados do prprio autor.

Quando o interruptor controlado Sb ligado, a tenso Vin aplicada ao indutor Lb. O


diodo Db fica reversamente polarizado e entra em bloqueio devido tenso de sada Vo ser
maior do que Vin. Enquanto a chave Sb estiver ligada, o indutor Lb acumula energia que ser
transferida para o capacitor Cb quando a chave Sb for desligada. A corrente de sada Io
sempre descontnua, enquanto a corrente de entrada no indutor Lb pode ser tanto contnua
como descontnua. O diodo Db e a chave Sb devem suportar uma tenso igual tenso de
sada. O ganho esttico desde conversor dado pela equao (1):

(1)

Sendo G o ganho esttico em tenso do conversor, Vo a tenso de sada mdia, Vin
a tenso de entrada mdia e D a razo cclica aplicada chave Sb.
Pela expresso, pode-se notar que teoricamente o ganho esttico deste conversor tende
ao infinito ao aproximarmos a razo cclica D de 1. Na prtica, o ganho utilizado neste
conversor normalmente limitado abaixo de 10 (G < 10), devido s perdas por no
idealidades nos elementos passivos, como os indutores e capacitores, e nos semicondutores.
Alm disso, um alto ganho necessrio para que a razo cclica D trabalhasse prximo ao
valor unitrio, neste caso uma pequena variao de D implica em uma alta variao de G,
dificultando o sistema de controle e a resposta dinmica do conversor. A Figura 12 apresenta
um grfico de G x D caracterstico do conversor boost considerando o componentes do
sistema ideais.
30

Figura 12- Curva caractersstica de GxD do conversor boost em Modo de Condu


uo Contnua (MCC).

Fonte: Apresentao de conveersores elevadores de Eletrnica de Potncia 2. UNE


ESP Ilha Solteira. LEP -
Prof. Carlos Alberto Canesin. Pag. 14.

Em um projeto real,, devem ser levados em considerao as perdas


p por comutao,
resistncia srie dos elementos indutivos e capacitivos, queda de tensoo nos semicondutores,
entre outros fatores que diminuem o ganho do conversor (34-35). Para demonstrar,
considerando apenas a reesistncia srie do indutor, a equao do ganho do conversor
apresentada na equao (1) resultaria na equao (2).


(2)


Sendo RL a resistncia sriee do indutor e R a resistncia da Carga.

Redesenhando a curvaa do ganho esttico (G) pela razo cclica (D) atravs da equao (2),
resulta no grfico da Figuraa 13, onde a primeira curva em que RL/R=0 a situao ideal e as
demais curvas so para o aumento da resistncia srie no indutor. Noota-se que o ganho do
conversor pode ser invivel a partir de uma determinada resistncia srie do
d indutor.
31

Figura 13- Ganho esttico do conversor Boost em funo da razo cclica, para o caso ideal e para diversos
valores de RL/R.

Fonte: (34).

2.1.2 Conversor CC-CC Boost Interleaved


Devido limitao do ganho do conversor boost pela resistncia srie dos elementos
magnticos e semicondutores, surgiu uma verso modificada chamada de boost interleaved (36-
38) que nada mais do que a conexo de n clulas boost em paralelo , as quais so defasadas
entre si da ordem de 1/(n*fs), onde fs a frequncia de operao de uma clula. Dessa forma,
cada clula boost processa somente uma parte da potncia total, permitindo obter um ganho
maior de tenso pela reduo dos esforos de corrente. A Figura 14 apresenta este conversor
com duas clulas.
Figura 14- Conversor boost interleaved com duas clulas.

Vin

Fonte: Dados do prprio autor.

Devido caracterstica interleaved, a ondulao de corrente que deve ser filtrada pelo
capacitor Cb de sada e por um possvel filtro de entrada, ser n vezes a frequncia de operao
32

do conversor, onde n o nmero de clulas boost presente, tornando o processo de filtragem


mais eficiente, com menor custo e maior qualidade da tenso de sada.
Como desvantagens pode-se citar o maior nmero de elementos no circuito, como
indutores, semicondutores e circuitos de ataque das chaves ativas. importante salientar que os
indutores interleaved podem ser acoplados em um nico elemento magntico, diminuindo peso,
volume e custo do conversor. Para isso necessrio um estudo sobre indutores acoplados
magneticamente, no sendo foco desta etapa do trabalho.
O ganho esttico (G) deste conversor dado tambm pela equao (2), tendo os mesmo
problemas do conversor boost convencional quando se necessita de altos ganhos de tenso.
Porm, quanto mais clulas utilizadas no sistema, maior ser a potncia processada com o
mesmo ganho em relao ao conversor boost de uma nica clula.
A estrutura boost interleaved apresenta uma peculiaridade que deve ser atendida. Como o
controle opera no MCC, existe a possibilidade do desbalanceamento da potncia processada por
cada clula e desta forma imprescindvel que a razo cclica D seja exatamente a mesma para
cada clula (da ordem de nano segundos de preciso), para tanto, alguns cuidados de leiaute
devem ser observados, tais como: igual comprimento/dimenses para as trilhas dos circuitos de
comando (gate), resultando em idnticas impedncias, pois pequenas diferenas podem levar a
desequilbrios de corrente, principalmente para semicondutores tipo portadores de carga
minoritrios (IGBTs).

2.1.3 Conversor CC-CC Boost quadrtico


Uma forma de contornar a necessidade de se trabalhar com razes cclicas elevadas a
utilizao de um conversor denominado de boost quadrtico (39), que apresenta como principal
vantagem a reduo da razo cclica que idealmente, consegue um ganho de tenso igual ao
quadrado do ganho do Boost clssico. O ganho esttico (G) deste conversor apresentado na
equao (3).

(3)

No entanto, h um custo no aumento do nmero de elementos, sendo necessrios dois
diodos, um indutor e um capacitor a mais que o boost clssico. A tenso de bloqueio direto
sobre o transistor igual tenso de sada, ou seja, ser a mesma tenso do barramento CC,
contudo, como este conversor permite um maior ganho de tenso, os semicondutores estaro
sujeitos a maiores esforos em relao ao conversor boost clssico. Isso pode gerar um custo
adicional para a aquisio deste semicondutor, j que o preo do transistor est diretamente
33

relacionado com a capacidade da tenso de bloqueio e no tanto com a corrente direta


suportada. Sua configurao apresentada na Figura 15.
Figura 15- Conversor boost quadrtico.

Fonte: Dados do prprio autor.

2.1.4 Conversor CC-CC Boost quadrtico modificado


A estrutura do boost quadrtico apresenta esforos adicionais nos semicondutores, uma
vez que pode-se obter um ganho de tenso mais elevado em relao ao conversor boost clssico.
Com o objetivo de diminuir os esforos de tenso sobre os semicondutores, foi proposta uma
modificao na estrutura, assim como apresentado na Figura 16 (40).
Figura 16- Conversor boost quadrtico modificado.

Vin

Fonte: Dados do prprio autor.

Dessa forma, a tenso mxima sobre as chaves ativas Sb1 e Sb2, que a do barramento
CC, dividida entre as mesmas permitindo o uso de semicondutores com tenso de bloqueio
mais baixa do que a do boost quadrtico convencional e, em consequncia, mais baratas.
Como dito anteriormente, a tenso de bloqueio de um semicondutor tem maior impacto em
seu custo do que a corrente suportada pelo mesmo. A diminuio da tenso sobre as chaves
34

tambm implica em uma diminuio das perdas por comutaes, melhorando a eficincia do
sistema.
A desvantagem dessa estrutura a substituio de uma chave passiva por uma ativa
que no est na mesma massa, ou referncia, da outra chave ativa. Logo, o circuito de ataque
dessa chave adicional deve ser isolado, dificultando o controle.

2.1.5 Conversor CC-CC Reboost


Outra opo bastante utilizada para elevao de tenso com alto ganho, no estgio inicial,
a utilizao da estrutura chamada Reboost, que deriva do conversor CC-CC isolado flyback. O
conversor flyback apresenta altos esforos nas chaves semicondutoras, principalmente devido
indutncia de disperso do transformador. Mesmo com tcnicas de grampeamento ativo e
comutaes suaves (ZVS), o rendimento do conversor flyback prejudicado (41), pois toda a
energia entre entrada e sada circula pelo transformador. A Figura 17 apresenta a estrutura do
conversor flyback com grampeamento ativo.
Figura 17- Conversor flyback com grampeamento ativo.

Fonte: Dados do prprio autor.

Baseado nisso, uma possibilidade de aumentar o rendimento das estruturas de alto ganho
baseadas no conversor flyback criar um caminho de fluxo de energia direto da entrada para a
sada, fazendo com que uma parte desta energia no circule pelo transformador. A estrutura
derivada desta idia denominada Reboost e apresentada na Figura 18. Neste conversor, a
chave S1, o transformador e o diodo de sada Do formam o conversor flyback, e, a chave S1, a
indutncia de disperso e o diodo de grampeamento formam o conversor boost. Como a energia
da indutncia de disperso agora aproveitada e no mais dissipada como no conversor flyback,
obtm-se como consequncia a reduo dos esforos de sobre tenso na chave de forma
bastante simples (41).
35

Figura 18- Conversor Reboost.

Vin

Fonte: Dados do prprio autor.

Para aplicaes com PVs, esta estrutura apresenta uma desvantagem que a corrente de
entrada descontnua, exigindo grandes volumes capacitivos para o desacoplamento de potncia.
Porm, como os indutores so acoplados, pois atuam como transformador de alta frequncia,
esses possuem indutncias prprias de valores reduzidos, diminuindo o volume indutivo e em
consequncia possuem menor peso, volume e custo, sendo uma estrutura interessante para
aplicaes com energia solar.

2.1.6 Conversor CC-CC Charge-Pump Reboost


Como a estrutura Reboost apresenta a corrente de entrada descontnua, no desejvel para
aplicaes com PV devido ao alto volume capacitivo de desacoplamento, um circuito adicional
chamado de charge-pump foi adicionado permitindo uma continuidade da corrente de entrada
com poucas modificaes no circuito. Ainda assim foi mantido um alto ganho esttico (G) e
reduo de esforos nos elementos semicondutores. Porm, a energizao inicial do circuito de
charge-pump exige correntes elevadas, causando in-rush de corrente podendo ser prejudicial ao
conversor. A esta estrutura foi dada o nome de Charge-Pump Reboost (41), e apresentado na
Figura 19.
36

Figura 19- Conversor Charge-Pump Reboost.




Fonte: Dados do prprio autor.

Apesar deste conversor permitir que a corrente de entrada seja considerada contnua, as
simulaes que sero apresentadas em captulos posteriores mostram que a ondulao de
corrente ainda elevada, exigindo tambm grandes volumes capacitivos para desacoplamento
de potncia.

2.2 Estgios inversores


Os inversores de tenso so estruturas capazes de controlar o fluxo de energia eltrica
entre uma fonte de corrente contnua e uma carga que opera com corrente alternada, podendo
controlar o valor da tenso eficaz e frequncia da tenso de sada de acordo com as
necessidades da carga (42-43). Todas as cargas que so alimentadas pelo sistema de
distribuio podem ser alimentadas com inversores, como exemplo tem-se as mquinas
eltricas de corrente alternada, sistemas de alimentao ininterrupta a partir de baterias,
aquecimento indutivo, injeo de potncia na rede de distribuio para gerao distribuda,
sendo esta ltima, o enfoque deste trabalho.

2.2.1 Estruturas bsicas


Pode-se classificar os inversores como VSI (Voltage Source Inverter) aqueles alimentados
por tenso; ou por CSI (Current Source Inverter), aqueles alimentados por corrente. Ambos
podem ser monofsicos ou trifsicos. Para os inversores do tipo VSI, existem
subclassificaes quanto estrutura empregada para o controle da energia, sendo as mais
comuns: VSI: HB (Half-Bridge) ou VSI Meia-Ponte, VSI:FB (Full-Bridge) ou VSI Ponte-
completa, Push-pull (somente com SQW - Square Wave) e VSI: NPC (Neutral Point
Clamped).
37

A forma de onda de sada, que cada estrutura entrega carga, depende da tcnica de
modulao aplicada, sendo as mais comuns moduladas por onda quadrada SQW e a PWM
(Pulse Width Modulation).
Na modulao SQW, a frequncia de operao a mesma da fundamental e o nvel de
tenso controlado pela defasagem entre os pulsos das chaves do inversor. Na PWM, a
frequncia de operao fixa e maior do que a frequncia fundamental, sendo a razo cclica
variada para realizar o controle da tenso eficaz na sada do inversor. Esta tcnica de
modulao de fcil modelagem e a implementao do controle simples, sendo robusto e
eficaz.

2.2.2 Inversor CC-CA monofsico tipo VSI: HB (Half-Bridge)


O inversor do Half-Bridge ou tambm chamado de inversor com ponto mdio, o mais
simples do ponto de vista construtivo, utilizando apenas duas chaves para a inverso da tenso
de sada. Sua estrutura bsica apresentada na Figura 20.
Figura 20- Inversor monofsico VSI Half-Bridge

Vin S1
C1 D1
2
RO Lf
Vin
Vin Cf S2
D2
2 C2

Fonte: Dados do prprio autor.

Esta estrutura indicada para inversores de baixa mdia potncias, normalmente


abaixo de 1kW, pois toda a energia processada somente por duas chaves semicondutoras.
Alm disso, a tenso de barramento Vin deve ser de no mnimo duas vezes a tenso de pico
desejada na sada do inversor para um correto funcionamento, levando a esforos de tenso
sobre as chaves.

2.2.3 Inversor CC-CA monofsico tipo VSI: FB (Full-Bridge)


A estrutura VSI: Full-Bridge e suas derivaes so talvez as estruturas mais utilizadas
para sistemas inversores. Sua estrutura bsica apresentada na Figura 21.
38

Figura 21- Inversor monofsico VSI Full-Bridge

SAC1 Vab SAC3

+
Vin - Rac Lac

S AC2 SAC4

Fonte: Dados do prprio autor.

O inversor full-bridge apresenta


a diversas vantagens como reduo dos
d esforos de tenso
e corrente nos semicondutoores, permitindo o processamento de maioress potncias em relao
ao inversor half-bridge, seendo indicado para situaes onde a potnccia a ser processada
superior a 1kW (42-43)(466). Esta estrutura tambm permite o empregoo de vrias estratgias
de modulaes, sendo as mais
m comuns a bipolar e a unipolar.
Na modulao SPWM bipolar (44), tambm conhecida como moduulao de dois nveis, o
sinal de referncia compaarado com um sinal triangular na frequncia de
d comutao de modo
a se obter os pulsos de com
mando para as chaves do inversor. Quando o valor de referncia
maior do que o valor da poortadora, o respectivo brao comandado com
mutado para o valor da
tenso do barramento CC (Vcc)
( que alimenta o inversor. Quando o vaalor de referncia Vref
(vide Figura 22) menor do
d que o valor da portadora (Vtri) tem-se o vaalor do barramento CC
invertido (-Vcc), resultandoo na forma de onda resultante Vab na sada daa ponte inversora.
Figura 22- PWM senoidal bipolar.

Fonte: Dados do prprio autor.

A modulao SPWM unipolar,


u ou modulao de trs nveis, atua de forma semelhante,
deslocando o contedo harm
mnico para altas frequncias (acima da funddamental). Os sinais de
comando para um brao daa ponte inversora so obtidos comparando o sinal
s de referncia com
39

uma portadora de maneiraa similar modulao bipolar. No entanto, os sinais de comando


para o outro brao da ponnte inversora so obtidos comparando esta mesma
m referncia com
outra portadora, defasada em
e 180 graus da primeira. Como resultado, teem-se na tenso Vab, a
V na modulao, ficando semelhante Figura 23.
insero de um nvel de 0V
Esta estratgia de modulao
m faz com que a tenso Vab tenha o dobro
d da frequncia da
portadora, ou seja, a freqquncia de operao das chaves semiconduutoras a metade da
frequncia de sada, facillitando o processo de filtragem das com
mponentes harmnicas,
diminuindo peso, volume e custo dos elementos passivos e melhorandoo a qualidade da tenso
de sada do conversor.
Figura 23- PWM senoidal unipolar.

Fonte: Dados do prprio autor.

2.2.4 Inversor CC-CA


A monofsico tipo VSI: NPC
Outra opo de estrrutura inversora a chamada NPC (Neutral Point
P Clamped) (46) e
CA, tem o objetivo de fornecer uma tenso alternada na sada. A
como todo conversor CC-C
Figura 24 apresenta a estruttura NPC.
40

Figura 24- Conversor CC-CA VSI: NPC

S1

S2
Lac

S3 Vac

S4

Fonte: Dados do prprio autor.

A estrutura NPC utiliza quatro interruptores semicondutores, dois diodos e uma


conexo com ponto central que deve ter metade da tenso do barramento CC, normalmente
dois capacitores em srie. Os dois semicondutores inferiores, S3 e S4 operam de forma
complementar aos semicondutores superiores, de modo que S3 complementar S1 e S4
complementar S2. Considerando a massa do circuito (ponto central entre Cb1 e Cb2) como
Neutro, tem-se: +Vin/2 com S1 e S2 fechadas, 0V com S1 aberta e S2 fechada e Vin/2 com S1
e S2 abertas.
Como a estrutura NPC utiliza um ponto central para a conexo do neutro da tenso de
sada, a tenso em cada capacitor Cb1 e Cb2 deve ser superior a tenso de pico a ser
disponibilizada na sada do inversor. Ou seja, tenso Vin do barramento CC deve ser
superior a duas vezes a tenso de pico de sada do conversor. Porm, diferente de uma
estrutura Half-Bridge, na estrutura NPC o esforo mximo de tenso sobre cada interruptor
a tenso de Vin/2.
Com esta topologia possvel obter-se trs nveis nas tenses de fase, sendo
semelhante modulao unipolar, oferecendo um baixo contedo harmnico na tenso de
sada e facilitando o processo de filtragem.
Esta topologia de grande interesse para aplicaes com painis fotovoltaicos, pois
devido ao neutro ser conectado a um ponto central entre os capacitores e no na mesma massa
dos painis, no h tenso de alta frequncia entre o painel e o terra do sistema. Esta tenso de
alta frequncia implica em corrente de fuga entre o painel e o terra podendo diminuir a vida
til com a acelerao da degradao do painel (47-49).
41

2.2.5 Inversor CC-CA monofsico do tipo CSI


Os conversores CC-CA monofsicos do tipo CSI (Current Source Inverter) so
inversores capazes de controlar o fluxo de corrente alternada fornecida para uma carga
(controlam a magnitude, frequncia e fase da corrente eficaz) (43). Sua principal caracterstica
a capacidade de produzir uma tenso eficaz na sada maior do que o valor mdio da tenso
de entrada, e tambm permite a modulao por PWM e o modelo matemtico semelhante ao
do conversor boost. Sua estrutura apresentada na Figura 25.
Figura 25- Conversor CC-CA CSI monofsico

S1 S3

Lac

Vin
Vac
S2 S4

Fonte: Dados do prprio autor.

A modulao clssica PWM no conversor CSI implica em um grande contedo


harmnico na corrente, alm de problemas tpicos de corrente (51) e suas derivadas di/dt
durante o chaveamento da estrutura. Algumas alteraes e melhorias na modulao PWM so
sugeridas em (50) e (51) com a finalidade de reduzir a mudana abrupta da corrente de
positiva para negativa, diminuindo os spikes de corrente. No artigo (52) discutido um
inversor CSI multi nvel, com duas chaves ativas e dois diodos adicionais. Esta estrutura
proposta consegue reduzir o contedo harmnico de baixas frequncias que um filtro passivo
LC no capaz de filtrar.

2.2.6 Influncia do inversor na corrente de fuga do PV


Uma questo fundamental para inversores para aplicaes em PVs no isoladas que a
massa do painel no se encontra no mesmo referencial da rede de distribuio CA. Assim,
dependendo da modulao e da topologia CC-CA, surge uma tenso de alta freqncia entre o
PV e o terra da carcaa do mesmo, que deve ser aterrada por questes de segurana. Esta
tenso de alta frequncia implica no surgimento de uma corrente de fuga devido
capacitncia parasita entre o PV e o aterramento. Esta corrente parasita gera interferncias
42

eletromagnticas e pode causar problemas de segurana para o proprietrio/usurio do sistema


de gerao distribuda.
Esta corrente de fuga implica na diminuio da vida til do sistema com a acelerao
da degradao do painel (64-66), efeito mais evidente nos painis com tecnologia de filme
fino. Desta forma, fundamental a verificao desta questo nos sistemas inversores para
PVs.
Para as estruturas CC-CA que se utilizam da ponte VSI, a modulao PWM bipolar a
mais adequada para operao com PVs em conversores no isolados. Este tipo de modulao
gera uma tenso de baixa frequncia (na frequncia fundamental de sada) entre o terra e o
painel fotovoltaico. Como a capacitncia parasita entre o PV e o terra da ordem de pico
Faradays, a corrente de fuga ser baixa devido alta impedncia capacitiva. A Figura 26
mostra a tenso de modo comum entre o PV e o terra, obtida por simulao no ambiente
Matlab/Simulink.
Figura 26- Tenso de Modo Comum entre o PV e o terra da modulao bipolar.

Fonte: Dados do prprio autor.

J a modulao do tipo unipolar implica em uma tenso entre o PV e o terra com


forma de onda senoidal de baixa freqncia, na freqncia da fundamental da corrente
injetada mais uma componente de alta freqncia, no dobro da freqncia de chaveamento do
conversor (Figura 27). Conseqentemente a corrente de fuga ser, em relao modulao
bipolar, mais elevada devido baixa impedncia capacitiva entre o PV e o terra.
43

Figura 27- Tenso de Modo Comum entre o PV e o terra da modulao unipolar.

Fonte: Dados do prprio autor.

A estrutura CC-CA do tipo NPC implica em um nvel constante CC de tenso entre os


terminais do PV e o terra, graas conexo do neutro da rede ao centro dos capacitores que
formam o filtro do barramento CC. A Figura 29 apresenta a tenso de modo comum entre o
PV e o terra obtido por simulao.
Figura 28- Tenso de Modo Comum entre o PV e o terra na estrutura CC-CA NPC.

Fonte: Dados do prprio autor.

Como visto, a corrente de modo comum tambm um item a ser levado em


considerao na escolha da topologia CC-CA. A estrutura VSI com modulao bipolar
mostrou-se apta a trabalhar com sistemas fotovoltaicos no isolados conectados rede
44

eltrica, uma vez que exige um menor nvel de tenso no barramento CC ao mesmo tempo
que minimiza a corrente de modo comum entre o PV e a rede eltrica.

2.3 Estruturas Integradas


Em fontes de energias alternativas, como solar, elica ou clula a combustvel,
importante que a etapa de adequao da energia para o seu destino final seja a mais eficiente
possvel. Para injeo energia na rede de distribuio, na faixa de potncia de 1kW ou menos,
so necessrias duas etapas de condicionamento, sendo uma estrutura CC-CC para elevar o
nvel de tenso e uma estrutura CC-CA para controlar o fluxo da corrente alternada para a rede.
Para um rendimento total de 90% por exemplo, cada estrutura deve ter um rendimento de algo
em torno de 95%. Esse nvel de rendimento exige otimizaes em todo o projeto e o uso de
componentes de qualidade, elevando o custo tanto do desenvolvimento quanto do conversor em
si. A Figura 29 ilustra a diviso de estruturas de um conversor para painel fotovoltaico com dois
estgios de processamento de potncia.
Figura 29- Conversor para painel fotovoltaico com dois estgios de processamento de energia.

CC CA
PV Aplicao
CC CC

Fonte: Dados do prprio autor.

Pensando nesses dois aspectos, uma nica estrutura que agregasse os dois estgios
poderia oferecer um rendimento mais elevado e o uso de um menor nmero de componentes,
ativos e/ou passivos. A Figura 30 ilustra um conversor com uma estrutura integrada.
Figura 30- Conversor para painel fotovoltaico com estgio nico de processamento de energia.

PV Aplicao

Fonte: Dados do prprio autor.

No conversor convencional com dois estgios, tem-se certa facilidade para a distribuio
das tarefas necessrias de controle, como MPPT, anti ilhamento e sincronismo com a rede de
distribuio, pois geralmente os circuitos de controle so separados. Na estrutura integrada, um
nico estgio deve ser responsvel tanto pelo ganho em tenso, pela etapa inversora e pela
implementao de todas as tarefas necessrias de controle. Quando os recursos computacionais
45

so limitados, seria muito difcil colocar todas essas estratgias de controle em um nico
hardware. Porm, com o uso dos dispositivos digitais atuais, que oferecem hardwares com alta
capacidade computacional a custos competitivos, essas dificuldades podem ser contornadas sem
grandes transtornos.
Para que seja possvel a conexo com a rede eltrica (grid-connected), o inversor deve ter
a configurao de fonte de corrente na sada, sendo necessrio o uso de um indutor para os
inversores tipo VSI (Figura 31) de forma que este possa controlar a corrente injetada na rede, ou
o uso de um filtro capacitor-indutor (CL) para os inversores do tipo CSI (Figura 32).
Figura 31- Inversor VSI com conexo com a rede de distribuio.

Fonte: Dados do prprio autor.


Figura 32- Inversor CSI com conexo com a rede de distribuio.

Fonte: Dados do prprio autor.

Quando a estrutura utilizada para a injeo de potncia diretamente na rede de


distribuio, no h necessidade do uso de baterias ou outras fontes de armazenamento de
energia, uma vez que quando a fonte de energia alternativa cessar, o inversor pode ser
desativado sem problemas para os equipamentos ligados rede. Por exemplo, no perodo
noturno quando PVs no so utilizados como fonte de energia.
46

Para operao com painis fotovoltaicos, necessrio que a corrente drenada seja
constante, de modo que o MPPT possa ser realizado de maneira satisfatria. Se a corrente
drenada for pulsante, o ponto de mxima potncia ser deslocado, gerando ineficincia na
extrao de energia e dificuldade por parte do controle. Para que o rastreamento de potncia
alcance o patamar de 98% de energia aproveitada, a ondulao de tenso do PV, no MPP, no
deve ultrapassar 8,5% (54).
Quando o conversor utilizado possui dois estgios, o conversor CC-CC de entrada
responsvel por realizar o desacoplamento de potncia, uma vez que a corrente injetada na rede
pulsante em alta frequncia (frequncia de operao da etapa inversora), e em baixa
frequncia (frequncia da rede de distribuio) como apresentado na Figura 33. O conversor
CC-CC realiza um desacoplamento ativo de potncia, minimizando os componentes passivos
que geralmente implicam em maior peso e volume no conversor e no so to eficientes.
Figura 33- Desacoplamento de potncia com conversor de dois estgios.

CC CA
PV Aplicao
CC CC

Fonte: Dados do prprio autor.

Nas estruturas integradas, o desacoplamento de potncia pode ser feito de modo passivo,
com o capacitor colocado em paralelo com o PV, no sendo mais possvel obter a mxima
eficincia. Em uma estrutura integrada, o capacitor deve suportar uma tenso menor (a tenso
em circuito aberto do conjunto de PVs), porm a capacitncia necessria para o desacoplamento
de potncia satisfatrio bastante elevada. Nos conversores de duplo estgio, o capacitor do elo
CC no necessita ter uma capacitncia to elevada, em compensao, deve suportar uma tenso
elevada, normalmente superior tenso de pico da rede nos inversores FB e duas vezes a tenso
da rede nos inversores HB e NPC.

2.3.1 Conversor CC-CA boost + VSI integrado


Um conversor com estrutura integrada formado pela unio dos dois estgio CC-CC e
CC-CA de um conversor tradicional com ou sem isolao galvnica. Como principal vantagem
oferece a reduo do nmero de componentes e simplificao do circuito (55). Por exemplo, o
conversor boost CC-CC pode facilmente ser integrado ao inversor VSI retirando-se o diodo, o
capacitor e, por consequncia, a chave do conversor boost pode ser substituda pelas chaves do
47

inversor. Para o inversor VSI, o indutor de sada pode ser retirado resultando no conhecido
conversor CSI. A Figura 34 apresenta as etapas de integrao do conversor boost + VSI em uma
nica estrutura, onde em cada etapa so retirados os componentes em vermelho.
Figura 34- Etapas para integrao do conversor boost + VSI na estrutura integrada.

Fonte: (55).

Vale destacar que as chaves devem ser unidirecionais em corrente de modo a operar como
CSI, pois seno causariam curto-circuito no capacitor de sada durante a operao.
A estrutura integrada resultante apresenta um problema operacional quando a tenso de
sada menor do que a de entrada, e, devido caracterstica boost, onde o ganho esttico (G)
no consegue ser menor do que 1. Neste caso ocorre uma deformao na forma de onda de
sada, principalmente quando a tenso de sada cruza o nvel de 0V. Para minimizar este
problema, pode ser usada uma modulao especial (56).

2.3.2 Conversor CC-CA Buck-Boost integrado


A integrao da estrutura CC-CC boost com a estrutura CC-CA VSI gera a dificuldade de
controle quando a tenso de sada do conversor menor do que a entrada. Este fato no ocorre
no conversor de dois estgios visto que a reduo da tenso feita pelo estgio CC-CA. O
estgio boost nunca precisa gerar a tenso de sada menor do que a entrada. Por esta razo,
dificilmente um estgio CC-CC buck-boost colocado antes do estgio CC-CA nesse tipo de
48

aplicao. Nos conversores integrados, a unio da estrutura CC-CC buck-boost com a estrutura
CC-CA VSI resolveria o problema de controle anteriormente citado (55). A Figura 35 apresenta
as etapas para integrao da estrutura buck-boost com a estrutura VSI.
Figura 35- Etapas para integrao do conversor buck-boost + VSI na estrutura integrada.

Fonte: (37).

Devido posio do indutor no conversor buck-boost, a chave Sb referente ao estgio CC-


CC no pode ser retirada como no caso do caso da unio boost+VSI. Como vantagem o
conversor integrado buck-boost pode ser facilmente isolado, da mesma forma que o conversor
buck-boost CC-CC pelo fato do indutor estar em derivao ao circuito (Figura 36).
Figura 36- Conversor integrado buck-boost isolado.

Fonte: (37).

A integrao das estruturas reduz o nmero de componentes ativos e passivos utilizados


no conversor. Para a conexo com a rede de distribuio, um indutor adicional deve ser inserido
entre a sada da estrutura CC-CA e a rede eltrica. O mtodo de integrao apresentado pode
49

ser facilmente aplicado a outros conversores, e a escolha correta do conversor depende


diretamente da aplicao desejada, quantidade de painis fotovoltaicos e potncia processada.
Uma desvantagem com a integrao est na herana dos mesmos comportamentos
dinmicos do conversor CC-CC. Este requer a operao em alta frequncia das malhas de
controle de sada, para garantir um nvel de distoro harmnica aceitvel na frequncia da rede.
O LEP est atualmente trabalhando no desenvolvimento de estruturas inversoras integradas para
aplicaes com energia fotovoltaica.

2.3.3 Conversor CC-CA Buck-Boost Tri-State


Este conversor possui a mesma estrutura bsica do buck-boost integrado, diferindo na
modulao dos seus interruptores, chamada de tri-state que permite que o mesmo seja
controlado da mesma forma que a associao boost+VSI, ou seja, de forma independente.
Assim, este conversor permite controlar a extrao de mxima potncia do PV independente do
controle da corrente injetada na rede. A principal diferena deste conversor, em relao ao
duplo estgio convencional, a acumulao de energia e desacoplamento de potncia de forma
indutiva, no existindo elo CC capacitivo elevado. Com a acumulao indutiva, os elementos
capacitivos deste conversor so pequenos permitindo evitar o uso de capacitores eletrolticos,
um dos principais elementos que prejudicam a vida til dos conversores de energia. Como a
corrente de entrada pulsante, mas agora em alta frequncia e no mais em 120Hz, o capacitor
de desacoplamento do PV muito pequeno (ordem de dezenas de F tipicamente).
Para aplicaes em painis fotovoltaicos, onde a vida til mdia de 20 25 anos, um
conversor que possa atingir essa mesma faixa de vida til seria extremamente interessante, tanto
do ponto de vista ambiental quanto do comercial. O desacoplamento indutivo, que reduz as
capacitncias necessrias no conversor, pode permitir o uso de capacitores de vida til mais
elevada, como capacitores de filme ao invs dos eletrolticos.
O desacoplamento de potncia indutivo exige altas correntes para o armazenamento de
energia, o que implica em perdas hmicas no indutor e perdas em conduo pelos interruptores,
diminuindo o rendimento do sistema. Em simulaes apresentadas posteriormente, o inversor
Buck-Boost Tri-State atingiu um rendimento de 84,05%, inferior aos inversores a duplo estgio
presente no mercado, que oferecem normalmente rendimentos acima de 91%. Alm disso, a alta
energia armazenada implica num volume indutivo elevado, e, em consequncia o aumento do
peso, volume e custo do conversor.
50

2.3.4 Outras estruturas integradas


Devido ao bom momento mundial para a aplicao de fontes de energia alternativa, a
busca por novas estruturas integradas est em foco de pesquisa de muitos centros de
excelncia, sendo o Laboratrio de Eletrnica de Potncia um deles (57). Alm das estruturas
apresentadas at aqui, tambm j foram publicadas a estruturas integradas baseadas no
conversor Cuk, Zeta e Sepic seguindo a mesma filosofia de reduo das chaves. A Figura 37
apresenta as estruturas monofsicas mais conhecidas para aplicao conectada rede, aps a
integrao com o estgio inversor, incluindo a boost e buck-boost j citadas.
Figura 37- Famlia de conversores integrados monofsicos para conexo com a rede.

Fonte: (57).

2.4 Consideraes do captulo


No cenrio de produo de energia fotovoltaica, tem sido intensa a busca por novas
configuraes de sistemas de gerao de energia. Atualmente a tendncia de se implantar
sistemas descentralizados, onde cada regio gera sua prpria energia consumida, ou pelo
menos grande parte dela mesmo estando conectado concessionria de energia eltrica.
Pela pesquisa de fabricantes de inversores para PVs, notou-se que em sua maioria so
de origem Alem. O Brasil, um pas com muito potencial para aplicao fotovoltaica, com seu
vasto territrio e tima localizao geogrfica est ainda em fase de desenvolvimento de seus
prprios inversores, embora didaticamente j tenha um vasto contedo publicado.
Diferentemente do passado, onde a configurao padro de arranjos de painis solares
era a de multi-string e somente em grandes potncias (>5kW) com inversores centralizados,
51

os arranjos de painis nicos ou de string tem-se tornado cada vez mais interessantes devido
queda de preos dos PVs e dos inversores. Na reviso bibliogrfica apresentada por este
trabalho, destaca-se a grande importncia das estruturas inversoras e elevadoras e a unio
entre as mesmas, com o objetivo de se obter um sistema de converso de energia entre os PVs
e a rede de distribuio com suas principais caractersticas de MPPT, anti ilhamento e
sincronismo, alm da busca por rendimentos cada vez maiores.
Foram citadas as principais caractersticas de cada estrutura CC-CC e CC-CA
comentadas neste trabalho e os desafios ainda enfrentados por elas para aplicaes com PVs,
assim como uma pequena descrio das estruturas integradas com resultados em simulaes
que validaram os modelos didticos. Cada conversor possui a capacidade de atender um
enorme leque de aplicaes, sendo que a escolha da topologia depende das necessidades
especificas de cada projeto.
As possibilidades de escolhas de topologias para estruturas CC-CC elevadoras so
amplas na literatura atual. Cada topologia apresenta vantagens e desvantagens, sejam
operacionais, de projeto e principalmente de custo. Este ltimo fator tem um peso de grande
relevncia na escolha da estrutura quando o projeto tem fins comerciais. Mesmo uma
estrutura que apresenta enormes vantagens como vida til mais elevada ou comportamento
em operao mais aprimorado, esta pode ser descartada se o seu custo for muito mais elevado
que uma estrutura mais simples e que possua mais desvantagens.
Cabe ao projetista decidir qual a melhor topologia para cada caso em particular.
Quando o ganho esttico necessrio no for to elevado, a estrutura boost convencional
geralmente a preferida devido sua robustez e facilidade de projeto. Um destaque
merecido para a estrutura Reboost devido ao seu baixo volume magntico e a seu alto ganho
esttico. J para a estrutura boost interleaved, esta destaca-se por apresentar a interessante
caracterstica de diviso dos esforos de corrente, mantendo os esforos de tenso. A fcil
modelagem e reduo da ondulao da corrente de entrada, assim como a vantagem comercial
de utilizao de componentes iguais em cada clula tambm colocam a estrutura entre as
melhores opes para a implementao.
Tambm foram apresentadas as principais estruturas inversoras monofsicas presentes
na literatura. Muitas das outras estruturas como a HERIC, H5, H6 (53), entre outras derivam
basicamente das topologias bsicas abordadas. A escolha de uma determinada topologia
inversora deve ser definida de acordo com as necessidades particulares de cada aplicao.
52

Um destaque para as estruturas do tipo Full-Bridge, as quais so destinadas a


processar um nvel maior de potncia ao mesmo tempo que oferecem um maior grau de
liberdade na escolha da modulao a ser empregada pelo controle.
As estruturas integradas apresentam uma evoluo natural dos conversores a duplo
estgio, uma vez que a busca sempre pela reduo de componentes e aumento da densidade de
potncia. Embora a integrao dos estgios aumente a complexidade do controle, os circuitos
digitais atuais oferecem um poder computacional cada vez maior, permitindo aos
desenvolvedores uma maior liberdade no projeto.
Para injeo de potncia na rede eltrica, importante que o inversor tenha baixa
distoro harmnica, uma vez que na gerao distribuda pode-se assumir que haver grande
quantidade de inversores conectados rede. Da mesma forma, a vida til do sistema de gerao
como um todo (PV mais conversor) deve ser elevada, de modo a compensar o alto investimento
inicial. A estrutura integrada buck-boost tri-state pode oferecer este aumento de vida til pelo
uso do desacoplamento indutivo, uma vez que a eficincia do sistema atingir nveis compatveis
com os inversores a duplo estgio, atravs do uso de componentes mais modernos e com menos
perdas.
53

3 ANLISE E SIMULAES DAS PRINCIPAIS


ESTRUTURAS EM ESTUDO

Primeiramente verificou-se que a maioria dos fabricantes de painis solares possuem


mdulos na faixa de 200W, com tenso de mxima potncia (Vmp) em aproximadamente
27V e corrente na mxima potncia (Imp) de aproximadamente 7,7A. Para o inversor solar
proposto seriam necessrios 5 painis em srie para prover a potncia de 1kW na entrada.
Idealmente, quanto menor o nmero de painis em srie melhor ser a performance do
algoritmo de MPPT. Assim, seria interessante a utilizao de no mximo 5 painis em srie
para prover a potncia desejada. A tenso e corrente utilizadas nestas primeiras simulaes
de 135V e 7,7A, perfazendo uma potncia de 1040W. O painel foi substitudo por uma fonte
CC nestas simulaes, onde as etapas CC operam em 25kHz e as etapas inversoras em 20kHz.
Os inversores simulados so os conversores a dois estgios Boost+VSI, Boost + NPC,
Boost Interleaved+VSI, Boost Quadrtico modificado+VSI, Reboost+VSI e Charge Pump
Reboost+VSI, e tambm integrados Buck-Boost integrado e Buck-Boost Tri-State. O ambiente
utilizado para simulao o Matlab/Simulink. Para cada simulao apresenta as principais
formas de onda, como a corrente drenada do PV, a tenso do barramento CC, a tenso de
sada do inversor antes do filtro de rede e a corrente injetada na rede de distribuio.

3.1 Boost + VSI Full Bridge com Modulao Bipolar


A estrutura boost + VSI resulta no inversor mais elementar utilizado atualmente, de
simples projeto e oferece alto rendimento e confiabilidade em uma topologia consolidada no
mercado. A Figura 38 apresenta o modelo utilizado no MatLab/Simulink para a simulao
desta estrutura.
54

Figurra 38- Modelo de Simulao em MatLab/Simulink.

Fonte: Dados do prprio autor.

m da utilizao do conversor composto pelo estgio CC-CC boost


A grande vantagem
mais o estgio CC-CA VSII sua caracterstica de fonte de corrente parra a entrada quando no
modo de conduo contnua, garantindo um valor relativamente constannte na corrente de sada
do painel, ou seja, com um
ma pequena ondulao. Isso fundamental parra assegurar a extrao
da mxima potncia do paiinel pela estrutura, conforme ilustra a Figura 39, onde a corrente na
fonte contnua em 7,7A com
c uma pequena ondulao de corrente . A Figura 39 at a Figura
42 apresentam as principaiss formas de onda do conversor boost + VSI.
55

Figura 39- Corrente drenada do painel. Figura 40- Tenso no barramento CC.

Fonte: Dados do prprio autor.


Fonte: Dados do prprio autor.
Figura 41- Tenso Vab antes do filtro de rede. Figura 42- Corrente injetada na rede CA.

Fonte: Dados do prprio autor. Fonte: Dados do prprio autor.

A unio boost + VSI apresentou, em simulao, um rendimento de 94,75%, tendo


como grande vantagem a caracterstica da topologia boost de fonte de corrente na entrada,
diminuindo consideravelmente a capacitncia necessria em paralelo com o PV. A distoro
harmnica total da corrente de sada foi de 3,70%.
Esta estrutura foi utilizada como referncia de comparao para as outras estruturas.
Por serem consolidadas no mercado, a estrutura CC-CC boost e a estrutura CC-CA VSI
oferecem facilidade comercial, uma vez que so encontrados mdulos de controle e mdulos
de potncia j especficos, com todas as protees associadas.
56

3.2 Boost + NPC


Uma estrutura inversora interessante para ser conectada com o conversor elevador a
NPC. Nesta estrutura o neutro da rede sempre est conectada a um ponto central capacitivo do
barramento CC. Para garantir esse ponto neutro central, o barramento CC dividido em dois
capacitores, cada um agora com uma tenso de no mnimo 350 V e conectados em srie, com
o neutro entre si. Devido este fato, o barramento CC deve ter uma tenso de no mnimo 700
V, exigindo que a estrutura boost opere com ganhos mais elevados, quando comparado
associao exibida no Item 3.1 (Boost + VSI Full Bridge com Modulao Bipolar). O modelo
em ambiente Matlab/Simulink para este sistema apresentado na Figura 43.
Figura 43- Modelo de Simulao em MatLab/Simulink.


  

 

" 

!"# $
*


 0

 $


"
),$
  #
/ -K-

 Gain4 Displ ay2
+

*0 

# /&


Irede ) - %. -K-
Out1 In1 Out1
 Gain2 Display
In2 
Modulador -%. #
Iboost  Rele
Vcaps
Out1
) Vbank Control e Inversor

Controle Boost


 %#&'
Scope5


-K-

Gain1
%#&(

) % -K-

Gai n3 Display1

% #

Fonte: Dados do prprio autor.

A Figura 44 at a Figura 47 apresentam as principais formas de onda deste conversor.


Como a estrutura CC-CC tambm a estrutura boost, pode-se notar na Figura 44 que a
corrente de entrada contnua com uma pequena ondulao, adequada para a extrao de
mxima potncia do PV.
57

Figura 44- Corrente drenada do painel. Figura 45- Tenso no link CC.

Fonte: Dados do prprio autor. Fonte: Dados do prprio autor.


Figura 46- Tenso VAN antes do filtro de rede Figura 47- Corrente injetada na rede CA.

Fonte: Dados do prprio autor. Fonte: Dados do prprio autor.

A modulao empregada no NPC a de trs nveis, ou seja, no semi-ciclo positivo


tem-se a tenso positiva e a tenso de neutro, e no semi-ciclo negativo, tem-se a tenso
negativa e a tenso de neutro, conforme ilustra a Figura 46. Observa-se que a tenso mxima
no ponto AN a metade da tenso do barramento capacitivo, ou seja, um capacitor
responsvel por manter a tenso no semi-ciclo positivo e o outro no semi-ciclo negativo. Esta
tenso VAN apresentada j est em regime permanente.
Pode-se observar na Figura 47 a corrente injetada na rede. Verifica-se uma corrente
com baixa distoro harmnica, com 1,83% de distoro, o que garante a qualidade da
corrente injetada na rede. O rendimento desta estrutura foi de 93,79%, embora como
comentado anteriormente, na prtica as no idealidades dos elementos dificultam a obteno
de um ganho esttico to elevado para esta potncia. Devido caracterstica NPC de exigir o
58

dobro da tenso de pico injetada na rede, justifica-se a procura por estruturas de alto ganho
esttico.

3.3 Boost interleaved + NPC


A estrutura NPC necessita de dois capacitores no elo CC, sendo que cada capacitor
deve suportar tenso superior ao pico da tenso da rede. Desta forma, a tenso do elo CC deve
ser maior do que 2 vezes a tenso de pico da rede. A estrutura CC-CC boost convencional
pode no ser capaz de fornecer um ganho to elevado, uma vez que na prtica, as resistncias
dos elementos magnticos reduzem o ganho de tenso efetivo deste conversor. Devido isto,
a estrutura boost interleaved pode oferecer um melhor funcionamento em relao a uma nica
estrutura boost. Como a potncia dividia entre as clulas, a corrente em cada uma menor,
resultando em menores perdas hmicas. A caracterstica interleaved tambm oferece a
multiplicao da frequncia da ondulao de corrente na entrada, facilitando o processo de
filtragem. A Figura 48 apresenta o modelo simulado em MatLab/Simulink.
Figura 48- Modelo de Simulao em MatLab/Simulink
 

  
[A]

Goto

 

) " 

!"# $
*


" 0  0

 $
"
 #
/ -K-





 Gain4 Display2
),$
0 ),$

*0 

# /&
+
+



Irede ) - %. -K-
Out1 In1 Out1
 Gain2 Display
In2 
Modulador -%. #
[A] Iboost  Rele
Vcaps
Out1
From
Vbank Controle Inversor

Controle Boost


 %#&'
Scope5


-K-

Gain1
%#&(
%#& Scope1

) %  -K-

Gain3 Display1

% #

Fonte: Dados do prprio autor.

A corrente no painel, a tenso no barramento CC, a tenso no ponto AN (sada da


ponte inversora) e a corrente injetada na rede so as mesmas observadas anteriormente, j que
no houve modificao no NPC, e so ilustradas pela Figura 49 at a Figura 52.
59

Figura 49- Corrente drenada do painel. Figura 50- Tenso no link CC.

Fonte: Dados do prprio autor. Fonte: Dados do prprio autor.


Figura 51- Tenso VAN antes do filtro de rede. Figura 52- Corrente injetada na rede CA.

Fonte: Dados do prprio autor. Fonte: Dados do prprio autor.

A estrutura boost interleaved + NPC apresentou um rendimento em simulao de


92,15% com distoro harmnica total na corrente de sada de 2,05%. A Figura 53 e Figura
54 mostram a corrente drenada por cada clula boost, indicando o bom funcionamento da
diviso de potncia.
60

Figura 53- Corrente na primeira clula boost. Figura 54- Corrente na segunda clula boost.

Fonte: Dados do prprio autor. Fonte: Dados do prprio autor.

3.4 Boost Quadrtico modificado + NPC


Devido necessidade do interruptor da estrutura CC-CC suportar uma tenso reversa
muito elevada, foi proposta uma modificao na estrutura quadrtica com a finalidade de
reduzir este esforo, adicionando outra chave em srie e possibilitando a remoo de um
diodo. O modelo em ambiente Matlab/Simulink para este sistema apresentado na Figura 55.
Figura 55- Modelo de Simulao em MatLab/Simulink.
  [A]

   
Goto





) " "
( 

!"# $
*

*


),$
0 
 0

+

 $
"
  #
/ -K-

 Gain4 Display2

*

*( ),$
*0 

# /&

+

Irede )  - %. -K-
Out1 In1 Out1
 Gain2 Display
In2 
Modulador -%. #
[A] Iboost  Rele
Vcaps
Out1
From
Vbank Controle Inversor

Controle Boost


 %#&' Scope5
 Scope3

-K-

Gain1
%#&(
%#& Scope1

) %   -K-
0
Gain3 Display1
 %#&0 Scope2
% #

Fonte: Dados do prprio autor.

A corrente no painel verificada na Figura 56; a tenso do elo CC na Figura 57


enquanto que a tenso e a corrente injetada na rede podem ser visualizadas na Figura 58 e
Figura 59.
61

Figura 56- Corrente drenada do painel. Figura 57- Tenso no link CC.

Fonte: Dados do prprio autor. Fonte: Dados do prprio autor.


Figura 58- Tenso VAN antes do filtro de rede. Figura 59- Corrente injetada na rede CA.

Fonte: Dados do prprio autor. Fonte: Dados do prprio autor.

A estrutura apresentou um rendimento de 94,18% e distoro harmnica total da


corrente injetada de 1,75% em simulao. Sua principal vantagem a reduo da tenso sobre
os interruptores mantendo o alto ganho esttico. Porm utiliza dois indutores de entrada,
aumentando o volume indutivo em relao as estruturas anteriores.
Da mesma forma que a estrutura boost, a estrutura CC-CC boost quadrtico
modificado apresenta a caracterstica de fonte de corrente na entrada, facilitando o processo
de extrao da mxima potncia do painel fotovoltaico.
62

3.5 Reboost + NPC


Uma possibilidade de aumentar o rendimento das estruturas de alto ganho baseadas no
conversor flyback criar um caminho de fluxo de energia direto da entrada para a sada,
fazendo com que uma parte desta energia no circule pelo transformador. Isto obtido com a
estrutura denominada Reboost, descrita no Item 2.1.5 . O modelo em ambiente
Matlab/Simulink para este sistema apresentado na Figura 60.

Figura 60- Modelo de Simulao em MatLab/Simulink.

 

  

  
tempo

Clock tempo

.
(


!"# $
)1 *

 
* * 0

$
"
1.774
 #
/ -K-

0  Gain4 Display2
  *0 


),$
*' 
# /&
[A]
0 
+

Scope1
Goto
978.4
Irede ) - %. -K-
Out1 In1 Out1
 Gain2 Display
In2 
Modulador -%. #
 Rele
Vcaps

Controle Inversor

Iboost  
 %#&'
Out1 Scope5
Vbank 
)
Controle Boost -K-

Gain1
%#&(
0.942

1039 Divide Display3


) %  -K-

Gain3 Display1 [A]

 From
% #

Fonte: Dados do prprio autor.

A corrente drenada do PV verificada na Figura 61; a tenso do elo CC na Figura 62


enquanto que a tenso e a corrente injetada na rede podem ser visualizadas na Figura 63 e na
Figura 64.
A principal desvantagem da estrutura CC-CC Reboost a corrente de entrada ser
descontnua (Figura 61), apesar deste fato, o conversor Reboost + NPC apresenta rendimento
elevado, 94,15% na simulao. Uma vantagem interessante que os indutores acoplados, que
fazem o papel de transformador, possuem indutncias prprias de tamanho reduzido, fato que
torna esta estrutura muito interessante.
63


Figura 61- Corrente drenada do painel. Figura 62- Tenso no link CC.

Fonte: Dados do prprio autor.


Fonte: Dados do prprio autor.
Figura 63- Tenso VAN antes do filtro de rede. Figura 64- Corrente injetada na rede CA.

Fonte: Dados do prprio autor. Fonte: Dados do prprio autor.

3.6 Charge Pump Reboost + NPC


A estrutura Charge Pump Reboost deriva da Reboost com a adio de um circuito
chamado de charge-pump, pode tornar a corrente de entrada contnua, mantendo alto ganho e
reduzidos esforos de tenso nas chaves. O modelo em ambiente Matlab/Simulink para este
sistema apresentado na Figura 65.
64

Figura 65- Modelo de Simulao em MatLab/Simulink.

 

  
time
  
T o Workspace
Clock

.
!"# $
0 (
*
)1 

 0

* *
$
"
*( 1.8
 #
/ -K-
 
 Gain4 Display2

*0 

# /&
[A]
0 
 

*

 Goto
),$

*2 # %& Scope1 ) - %. -K- 986.8
Irede
+

Out1 In1 Out1


 Gain2 Display
In2 
Modulador -%. #
 Rele
Vcaps

Controle Inversor

Iboost  
 %#&'
Out1 Scope5
Vbank 
)
Controle Boost -K-

Gain1
%#&(
0.9592

1029 Divide Display3


) %  -K-

Gain3 Display1 [A]

 From
% #

Fonte: Dados do prprio autor.

A corrente no painel verificada na Figura 66; a tenso do elo CC na Figura 67


enquanto que a tenso e a corrente injetada na rede podem ser visualizadas na Figura 68 e na
Figura 69.
Como se pode observar na Figura 66, a corrente de entrada torna-se contnua, porm
ainda com elevada ondulao, sendo indesejvel para a operao com o PV e exigindo
grandes volumes capacitivos para a filtragem. Maiores anlises e simulaes ainda so
necessrias para uma possvel reduo da ondulao de corrente no indutor de entrada da
estrutura charge-pumped reboost.
A combinao charge-pumped reboost + NPC apresentou em simulao um
rendimento de 93,72% e distoro harmnica total da corrente injetada na rede eltrica de
1,85%, sendo tambm um conversor atraente para a implementao.
65

Figura 66- Corrente drenada do painel. Figura 67- Tenso no link CC.

Fonte: Dados do prprio autor.


Fonte: Dados do prprio autor.
Figura 68- Tenso VAN antes do filtro de rede. Figura 69- Corrente injetada na rede CA.

Fonte: Dados do prprio autor. Fonte: Dados do prprio autor.

3.7 Buck-Boost Integrado


Os conversores integrados apresentam controle dependente uma vez que no possuem
capacitor de desacoplamento CC, o que pode dificultar a implementao dos algoritmos de
controle. Assim, o conversor precisa de malhas de controle mais rpidas, isto , executadas
com uma maior frequncia, a fim de realizar todas as funes a ele atribudas. O modelo em
ambiente Matlab/Simulink para o conversor Buck-Boost integrado apresentado na Figura 70.
66

Figura 70- Modelo de Simulao em MatLab/Simulink.


Discrete,
Ts = 1e-006 s [A]
pow ergui 
pulso +
*
),$
g
S "
-

L2 Cb1
A 
Vdce

+
B

CSI Bridge "


 
 NoOp
 Vref  #
/ 100 3.57
Scope2
Sine Wave lei de controle %#&0 Gain Display
Modulante g Rate Transition
Vsaida 

# /&
%#& 
Ientrada
Vref g2 [A] -K- 90.68
[B]
%#&2 Vref 1
Pulse Divide Gain5 n
Pot
Irede modulador

Controle


NoOp
%#& 935.9
)-%. -K-

%#&' Rate Transition2


Gain2 Display1

-%. #

2.285
-K- #

/ 100

[B] %#&3 Gain4 Scope1 Gain3 Display3



Pulse1
NoOp
# /&
) %  -K- 1032  
45
) atan cos
%#&(Rate Transition1 Gain1 Display2 0.9976
  6 -
Divide1Trigonometric
T rigonometric
% # 4 Display6
Function Function1

Fonte: Dados do prprio autor.

A corrente no painel verificada na Figura 71; a tenso no capacitor de filtro de sada


apresentada na Figura 72 e a corrente injetada na rede podem ser visualizada na Figura 73.
Como pode ser observado pela Figura 71, a corrente de entrada deste conversor
descontnua e pulsante em 120Hz, sendo necessrio um alto volume capacitivo para a
filtragem. Na Figura 73 pode-se observar a distoro na corrente quando esta cruza por zero,
sendo uma complicao ainda a ser resolvida. Apesar disso, a distoro harmnica total da
corrente injetada foi de 2,28%
O conversor buck-boost integrado atingiu eficincia de 90,72% em simulao, sendo
inferior aos conversores de duplo estgio. Pode-se observar pela Figura 71 a corrente pulsante
de entrada, atingindo pico de 28A, causando perdas hmicas mais elevadas em relao aos
outros conversores e exigindo interruptores que suportem um maior nvel de corrente.
67

Figura 71- Corrente drenada do painel. Figura 72- Tenso no capacitor ac de sada

Fonte: Dados do prprio autor.


Fonte: Dados do prprio autor.
Figura 73- Corrente injetada na rede.

Fonte: Dados do prprio autor.

3.8 Buck-Boost Tri-State


O conversor buck-boost tri-state apresenta a vantagem de desacoplamento indutivo de
potncia entre a entrada e a sada do inversor, diminuindo volume capacitivo e aumentando a
vida til do sistema, sendo de grande interesse comercial, ambiental e cientfico. O modelo de
simulao deste conversor em ambiente Matlab/Simulink est apresentado na Figura 74.
68

Figura 74- Modelo de Simulao em MatLab/Simulink.


Discrete,
Ts = ts s. 1 [DD]
pow ergui
Vcap1 Goto4

Vrede
1 m [m] -%.
) -K-
Vc
Goto1
Vcap Gain1 Display3
Io
1 Ientrada d [BB] 1 
m1
IL -%. #
Ifonte Goto3 Irede
1
Controle Si ncronismo
IL1 Conexo


/# -K-
Controle I entrada
Gain2 Display1


# /&
[BB] Modulante BB g 

From2
[m] ponte BB 1

1 From1 modulador Irede1


buck-boost integrado2 Scope1
Irede3 1 -K-

Irede2 Gai n

+
1 * g
Lin1 Sensor ),$
( - c
2
1
DC Voltage Source
" A DJ geral
Lo
Co Vg
+
B
) % 
CSI Bridge


% #
[CC]
Goto2
[CC]
-K- -K-
From3
Gain3 Display2 Gain4 Display4
[DD] Divide

From4

Fonte: Dados do prprio autor.

A corrente de entrada do painel, a tenso de sada AC e a corrente injetada na rede


podem ser visualizadas na Figura 75 at a Figura 77. Sendo a corrente de entrada pulsante em
alta frequncia, apenas um capacitor pequeno necessrio para filtr-la quando na associao
com o painel fotovoltaico real. A corrente injetada na rede apresenta contedo harmnico
reduzido, no valor de 2,06%. O rendimento obtido em simulao desta estrutura foi de
84,05%, sendo o rendimento mais baixo obtido entre os conversores simulados.
69

Figura 75- Corrente drenada do painel. Figura 76- Tenso no capacitor ac de sada

Fonte: Dados do prprio autor.


Fonte: Dados do prprio autor.

Figura 77- Corrente injetada na rede.









     
 

Fonte: Dados do prprio autor,

3.9 Boost interleaved + VSI


A combinao da estrutura CC-CC boost interleaved com a estrutura inversora VSI
interessante uma vez que reduz os esforos de corrente do estgio de entrada em relao ao
boost convencional, ao mesmo tempo que reduz o ganho de tenso necessrio para o estgio
de sada em relao estrutura inversora NPC. Alm disso, a estrutura inversora VSI
bastante conhecida na literatura, apresentando tambm uma menor complexidade de controle.
O modelo de simulao deste conversor em ambiente Matlab/Simulink est apresentado na
Figura 78.
70

Figura 78- Modelo de Simulao em MatLab/Simulink.

Fonte: Dados do prprio autor.

A corrente de entrada do painel, a tenso de sada CA e a corrente injetada na rede


podem ser visualizadas na Figura 79 at a Figura 82. Sendo a corrente de entrada composta
por um nvel CC mais uma componente pulsante em alta frequncia, apenas um capacitor
pequeno capaz de filtr-la quando na associao com o painel fotovoltaico real. A corrente
injetada na rede apresenta contedo harmnico reduzido, sendo da ordem de 2,8%. O
rendimento obtido em simulao desta estrutura da ordem de 95,8%.
71

Figura 79- Corrente drenada do painel. Figura 80- Tenso no capacitor ac de sada

Fonte: Dados do prprio autor. Fonte: Dados do prprio autor.


Figura 81- Tenso Vab antes do filtro de rede. Figura 82- Corrente injetada na rede CA.

Fonte: Dados do prprio autor. Fonte: Dados do prprio autor.

3.10 Consideraes do captulo


As simulaes das estruturas validaram as anlises apresentadas e as funcionalidades
das mesmas, cada qual com suas particularidades e desafios. As estruturas tradicionais a dois
estgios ainda so predominantes no mercado devido confiabilidade e alta eficincia. As
estruturas integradas podem ser consideradas como evoluo natural das estruturas
tradicionais, com a possibilidade de reduo de componentes e aumento da densidade de
potncia. As perdas de energia por no idealidades dos componentes ainda so um desafio
para se obter um alto rendimento, nesse sentido a descoberta de novos materiais e tecnologias
sero a chave para rendimentos prximos unidade.
72

O estudo at este captulo permitiu uma viso geral do uso de painis fotovoltaicos
como fonte de energia alternativa, assim como um melhor entendimento das estruturas de
conversores elevadores, inversores VSI e CSI e das estruturas integradas, possibilitando uma
anlise comparativa das vantagens e desvantagens de cada estrutura. As simulaes
propiciaram o rpido entendimento de cada topologia, com suas particularidades e
dificuldades de projeto, sendo desta forma possvel e escolha da melhor combinao de
estruturas CC-CC e CC-CA para implementao do projeto, apresentado a partir do Item 4 .
73

4 ESTRUTURAS ADMITIDAS PARA A


APLICAO - ESTGIOS CC-CC E CC-CA
ADOTADOS PARA O PROJETO
4.1 Introduo
Considerando-se a anlise das topologias apresentadas, e, de acordo com as referncias
bibliogrficas, este trabalho prope a anlise e implementao de um conversor composto
pela estrutura CC-CC boost interleaved (entrelaado), e, para o estgio de sada a estrutura
CC-CA monofsica em ponte (VSI) com modulao bipolar, considerando-se a conexo com
a rede de alimentao em corrente alternada atravs de um filtro apenas indutivo.

4.2 Comparativo das estruturas simuladas


Da Figura 83 at a Figura 86 apresentam-se os comparativos tericos de eficincia,
peso, volume e densidade de potncia entre as principais topologias relacionadas. Dentre elas,
as estruturas que merecem destaque so aquelas baseadas no conversor Boost Interleaved
como etapa CC-CC.
Em relao ao inversor, a topologia VSI interessante uma vez que permite ao
conversor elevador operar com razo cclica reduzida, o que possibilita ao algoritmo de
MPPT realizar a busca do ponto timo em uma faixa mais ampla de variao da tenso de
entrada, tendo ento um maior aproveitamento da mxima energia solar. Alm disso,
apresenta o menor peso, capacitor de entrada reduzido (at dispensvel) e alta eficincia.
Caractersticas fundamentais para um produto de baixo custo e vida til superior.
74

Figura 83-Comparativo de eficincia entre as Figura 84-Comparativo de peso entre as principais


principais topologias. topologias.



!"#$#%$# &'(










   



   

   

Fonte: Dados do prprio autor. Fonte: Dados do prprio autor.

Figura 85-Comparativo de volume entre as Figura 86-Comparativo de densidade de potncia


principais topologias. entre as principais topologias.

  

,
#   %$# &-.$/0(



+*




 )*
  
)


   

   

Fonte: Dados do prprio autor. Fonte: Dados do prprio autor.

4.3 Estruturas CC-CC e CC-CA escolhidas


A estrutura do conversor CC-CC selecionado para este trabalho, de acordo com o
comparativo do Item 4.2 , foi o boost interleaved operando com duas clulas, representado na
Figura 87, operando no modo de conduo contnua (MCC) com comutao dissipativa. Para
a etapa CC-CA a topologia VSI, representada na Figura 87 tambm, apresentou mais
vantagens, j comentadas, em relao estrutura inversora NPC.
75

Figura 87- Estrutura bsica do conversor CC-CC boost interleaved e etapa CC-CA VSI.
CC-CC CC-CA
Lb1 Db1

Lb2 Db2

S1 S3 Lac
Cb
PV Vac
Sb1 Sb2
S2 S4 Lac

Fonte: Dados do prprio autor.

A diviso em duas clulas se d pela potncia processada, tipicamente 400 500W


mximos por clula. O modo de conduo contnua escolhido em virtude do menor ripple
de corrente apresentado sobre o PV e por algumas vantagens em relao ao modo de
conduo descontnua:
- Reduo dos valores de pico e eficaz da corrente que circula pelos elementos da
estrutura;
- Tenso reversa mxima aplicada sobre os semicondutores da estrutura igual a
tenso de sada;
- A baixa ondulao da corrente de entrada facilita o processo de filtragem da mesma,
diminuindo o capacitor de desacoplamento entre o PV e o conversor, diminuindo tambm os
nveis de IEM (Interferncia Eletromagntica);
- As chaves ativas esto no mesmo referencial do circuito de controle, simplificando o
circuito de ataque das chaves, no necessitando de isolao galvnica ou fontes de
alimentao isoladas.
Apesar das vantagens citadas, a estrutura boost interleaved apresenta algumas
desvantagens. Como o controle opera no MCC, existe a possibilidade do desbalanceamento
da potncia processada por cada clula se no houver controle de corrente independente para
cada uma, exigindo um sistema de controle bastante preciso. Alm disso, a topologia no
permite um sistema de partida progressiva, j que no h interruptor em srie com o caminho
de corrente da entrada at o capacitor de filtragem da tenso de sada, ocorrendo corrente de
inrush. Este fato minimizado pela caracterstica de fonte de corrente do PV.
76

4.4 Anlise quantitativa


A seguir sero apresentados os principais dados de projeto e resultados de clculos
dimensionais para os conversores CC-CC boost interleaved e CC-CA VSI. As especificaes
das caractersticas de entrada foram obtidas com a utilizao de cinco painis Kyocera de
210W modelo KD210GX-LPU, totalizando 1050W de entrada.

4.4.1 Dados gerais do projeto e especificaes mnimas:


Corrente nominal de entrada
  
(4)
Tenso nominal de entrada
   (5)
Freqncia de operao da etapa CC-CC
    (6)
Freqncia de operao da etapa CC-CA
    (7)
Nmero de clulas boost utilizadas
   (8)
Corrente por clula boost

   
(9)

Ondulao de corrente no indutor de entrada
!"   # (10)
Ondulao da tenso de entrada
!"  # (11)
Frequncia do ripple de entrada CC-CC
!"   $      (12)
Tenso nominal de sada do conversor CC-CC
%  & (13)
Ondulao da tenso de sada do conversor CC-CC
'()*%  + # (14)
Tenso nominal de sada do conversor CC-CA
,-./    (15)
Frequncia fundamental de sada do conversor CC-CA
77

01  2   (16)
Tenso de pico nominal do conversor CC-CA
,34  ,-./ $ 5   (17)
Potncia nominal de entrada
6   $  7    7 (18)
Rendimento estimado da estrutura CC-CC
   (19)
Rendimento estimado da estrutura CC-CA
   (20)
Rendimento estimado total da estrutura
   $    (21)
Potncia nominal de sada
689:   $ 6  + 7 (22)
Corrente rms de sada CC-CA
689:
,-./   +
(23)
,-./
Corrente de pico de sada CC-CA
,34  ,-./ $ 5  2 
(24)
Razo cclica nominal da etapa CC-CC

;%  <  22
% (25)

Razo cclica mxima da etapa CC-CA
,34
;   & & (26)
%
Temperatura ambiente de operao mxima
=,  2  >? (27)

4.4.2 Dimensionamento dos componentes da etapa de potncia do conversor


Dimensionamento do capacitor de entrada (desacoplamento do painel fotovoltaico)
!" ;%
?  $   @ (28)
!" !"
Dimensionamento do capacitor do link CC-CC
78

6
A B
%
?'()*%   ++  @ (29)
 $ 01 $ '()*%

4.4.3 Clculo da potncia comutada


A potncia comutada o somatrio do produto dos nveis mximos de tenso e
corrente ao qual cada interruptor controlado est submetido. Em um conversor com n
interruptores controlados submetidos tenso mxima Vmax(i) e corrente mxima Imax(i),
com 1 i n, a potncia comutada dada por:
)

68 /-/  C ,DEF $ ,DEF (30)


(GH

A estrutura boost interleaved + VSI utiliza um interruptor controlado para cada clula
boost e quatro interruptores controlados para a etapa VSI, sendo a potncia comutada
resultante apresentada na equao (31) at a equao (35) seguir:

ETAPA CC-CC
Conversor boost por clula:
68IJJKLMHNO'  % $   +&+ 7 (31)
Total no conversor CC-CC:
68IJJKLM/JLP'  68IJJKLMHNO' $   2& 7 (32)

ETAPA CC-CA
Em uma nica chave
68QR!MHNSPTO  % $ ,U*    7 (33)
Total da etapa CC-CA:
68QR!M/JLP'  68QR!MHNSPTO $ +   + 7 (34)

Potncia comutada total


68 /JLP'     7 (35)
4.4.4 Fator de utilizao
O fator de utilizao (FU) uma grandeza que relaciona a potncia de sada com a
potncia comutada dos interruptores controlados, variando de 0 1. Ressaltando que a
obteno de fator de utilizao na ordem de 10-2 ou menor comum. O FU indica de forma
79

indireta, as perdas por comutao, rendimento e tambm o volume de dissipadores de calor


envolvidos no projeto, quando comparados com outras estruturas.
689:
V    2 (36)
68 /JLP'

4.4.5 Esforos nos semicondutores


INTERRUPTOR CONTROLADO (TRANSISTOR) DA CLULA
BOOST

Corrente mdia no interruptor


1RI   $ ;%  2
(37)
Corrente eficaz no interruptor
WRI   $ X;%   
(38)
Tenso mxima ideal sobre o interruptor
,DRI  %  & (39)

INTERRUPTOR NO CONTROLADO (DIODO) DA CLULA


BOOST

Corrente mdia no interruptor


1%I   $ E < ;% F  
(40)
Tenso mxima sobre o interruptor
,D%I  %  & (41)

INTERRUPTORES CONTROLADOS DA ETAPA CC-CA VSI

Corrente mdia no interruptor


\]

1QR!  $ Z E[:F1[: (42)
Y ^
]

1QR!  $ _Z ,34 $ ; $ `abE[:F 1[:
Y ^
\] (43)
c Z ,34 $ E < ; F $ `abE[:F 1[:d
]
80

1QR!   
(44)
Corrente eficaz no interruptor
\]

WQR!  e $ Z E[:Fn1[: (45)
Y ^
WQR!
] \]
(46)
e 4 $ ; $ `abE[:FFn1[: c Z E,34 $ E < ; F $ `abE[:
$ _Z E,34 :FFn1[:d
Y ^ ]

WQR!  
(47)

Tenso mxima no interruptor


,DQR!  %  & (48)

4.4.6 Clculo da potnncia dissipada


INTERRUPTOR
R CONTROLADO DA CLULA BO
OOST
Consideranddo transistor MOSFET Fairchild FCP116N60N. Dados do
componente: , , , ,
, , , .

*Os clculos de potncia dissipada foram feitos considerando a tempperatura de juno de


100C e os tempos mximoos de Tr e Tf.

Potncia em conduo:
fgghbiop  q1-"EH^^>F $ WRI n   7 (49)
Potncia de comutao:
c
H !
fghjMkl
lop  $ % $ r < t $ =0uv $  00,985W (50)
\ s


fghjMkmmop  $ % $ A c B $ =Wuv $   + w (51)
 +
6:8:,RI  +2 7 (52)
Potncia totaal dos interruptores controlados da etapa boosst:
6:8:,EPL(TJKF   $ 6:8:,RI  7
7 (53)

INTERRUPTOR
R NO CONTROLADO DA CLUL
LA BOOST
81

Considerando o diodo
d Infineon SiC IDD10SG60C. Daddos do componente:
, , , , .

Potncia em conduo:
fghbixp  ~jixp $ H^>  2w (54)
Potncia de comutao:

fghjxp  $ % $ 0H^> $ = $   & @7 (55)

6:8:,%I  27 (56)
Potncia total dos innterruptores no controlados da etapa boost:
6:8:,
,E3PKK(TJKF   $ 6:8:,%I  7
7 (57)

POTNCIA TO
OTAL DISSIPADA NA ETAPA CC-C
CC
6:8:,
  6:8:,EL(TJKF c 6:8:,E3PKKK(TJKF
(58)
 2++7

INTERRUPTOR
RES CONTROLADOS DA ETAPA CC-CA
Consideranddo transistor IGBT Fairchild HGTG7N60A
A4D. Dados principais
do componente: yPz  2 , NOMKPL   ,  + , ={   , =   ,
={{  + , q|M!}I/   >?7,
q|M%!-%  >?7.

*Os clculos de potncia dissipada foram feitos considerando a tempperatura de juno de


130C e os tempos mximoos de Tr e Tf.

Potncia em conduo:
81QR!  q1-"EH^>F $ WQR! n   27
68 (59)

Potncia de comutao:
c
]

68M8TK(
T  $ % $ $ Z ,U* $ `abE:F 1:: $ =0
 Y ^
$   & 7 (60)
82

]

68M8WWTK(  $ % $ $ Z ,U* $ `abE:F 1: $ =W
 Y ^
$   & 7 (61)

\]

681M1818TK(  $ $ Z <,U* E < ;,F $ `abE:F 1:
Y ]
 & 7 (62)

6:8:,TK(MHNSPTO  & 7 (63)


Potncia total dos interruptores controlados da etapa vsi:
6:8:,  + $ 6:8:,TK(   27 (64)

4.4.7 Clculo do dissipador de calor


Para o clculo trmico, pode-se fazer uma analogia entre as fontes de calor e
resistncias trmicas com fontes de corrente e resistncias eltricas de circuitos eltricos. Foi
determinado para os clculos que o dissipador deste conversor responsvel pela dissipao
de calor de todos os componentes de potncia, resultando no modelo da Figura 88.
Sendo Ptot_XXX(i) a potncia dissipada pelo semicondutor, Tj(i) a temperatura de
juno, Rthjc(i) a resistncia trmica entre a juno e o encapsulamento, Tc(i) a temperatura
do encapsulamento, Rthcd(i) a resistncia trmica entre o encapsulamento e o dissipador,
Td(i) a temperatura no dissipador e Ta a temperatura ambiente.
83

Figura 88- Modelo trmico utilizado para o conversor Boost Interleaved + VSI

Fonte: Dados do prprio autor.


A resistncia trmica entre encapsulamento e o dissipador foi adotada com o mesmo
valor para todos os componentes:
q:%  >?7 (65)
Devido disponibilidade no laboratrio de eletrnica de potncia, foi escolhido o
modelo HS15560 da HS Dissipadores, onde o perfil deste modelo pode ser observado na
Figura 89. As principais caractersticas mecnicas e trmicas so apresentadas seguir:

,090,  

:90,  2 
q:,M:0RH^  &>?7+ (66)
84

Figura 89- Perfil da HS Dissipadores modelo HS15560.

Fonte: (59), pg.: 81

CORREO DE ALTITUDE
Para o clculo da correo de altitude do dissipador, foi definido uma altitude
mxima de operao de 3000m. De acordo com o catalogo da HS dissipadores, deve-se
utilizar um fator de correo de 20% da temperatura mxima ao nvel do mar, conforme
Figura 90.
Figura 90- Grfico para correo de altitude no clculo do dissipador.

Fonte: (59), pg.: 5

Assumindo uma temperatura mxima de juno de todos os semicondutores aos


3000m seja
85

=^^^y  >? (67)


Adota-se que a temperatura mxima de juno ao nvel do mar com o valor de:
=^^^y
=^y   & >? (68)


FATOR DE CORREO DA TEMPERATURA


O clculo do fator de correo da temperatura feito utilizando-se a Tabela 2
fornecida pela HS Dissipadores.
Tabela 2- Fator de converso para correo da temperatura.

Diferena de temperatura Fator de converso


75C 1,000
70C 1,017
60C 1,057
50C 1,106
40C 1,170
30C 1,257
Fonte: (59), pg.: 3

A diferena de temperatura que o dissipador deve fornecer dado por:


=  =^y < =,  + >? (69)
Assumindo ento o fator de correo:
=80   2 (70)
Sendo assim, a nova resistncia trmica do dissipador ser:
q:,M:0RH^  & $  2  &2 >?7+ (71)

CLCULO DA TEMPERATURA NO DISSIPADOR EM CADA


COMPONENTE

Deve-se calcular a temperatura no dissipador em cada componente (Td1, Td2, etc) e


adotar o menor valor encontrado, a fim de garantir que a temperatura de juno ficar abaixo
do limite em todos os componentes fixados no dissipador.
Calculo da temperatura Td1 e Td2, referentes aos interruptores controlados na etapa
CC-CC:
86

=1  =1  =^y < 6:8:,K $ q:|MK c q:%


(72)
 &&>?
Calculo da temperatura Td3 Td6, referentes aos interruptores controlados na etapa
CC-CA:
=1E +2F  =^y < 6:8:,TK( $ q:| c q:%
(73)
 2&>?

CLCULO DA RESISTNCIA TRMICA NECESSRIA DO


DISSIPADOR
jabE=1  +2F
q:%    +>w (74)
 $ fh c + $ fhM`a

CLCULO DO FATOR DE CORREO DE COMPRIMENTO DO


DISSIPADOR
A variao da resistncia trmica de um dissipador no proporcional ao seu
comprimento, o fator de correo dado pela Tabela 3, fornecida pela HS Dissipadores.
Tabela 3- Fator de correo da resistncia trmica em funo do comprimento do dissipador.

Comprimento Fator de correo


10 mm 3,05
20 mm 2,21
30 mm 1,82
40 mm 1,59
50 mm 1,43
70 mm 1,22
100 mm 1,04
150 mm 0,86
200 mm 0,75
250 mm 0,67
300 mm 0,62
400 mm 0,54
500 mm 0,49
Fonte: (59), pg.: 4
87

Pode-se determinar o fator de correo necessria, e por consequncia, o comprimento


do dissipador, dividindo o Rthda encontrado na equao (74) pela resistncia trmica do
dissipador determinada na equao (71).
q:%
800,8RH^    + (75)
q:,M:0RH^
Logo pode-se determinar pela Tabela 3 o comprimento necessrio do dissipador.
?80:8MjabRH^ 2   (76)
Devido ao espao fsico necessrio para projeto e a distribuio dos componentes ao
longo do dissipador, foi adotado o comprimento de:
?80:8RH^     (77)

CLCULO DO PESO E VOLUME DO DISSIPADOR

De posse dos valores de largura, altura e comprimento, pode-se determinar o mnimo


volume de dissipador.
8(KK(U   $ 2  $     &  (78)
Para o dissipador em questo tem-se 49,486g/cm, logo com o comprimento pode-se
calcular o peso total:
68RH^    $ ++&2   +&
(79)

4.4.8 Clculo dos elementos magnticos

INDUTOR DO CONVERSOR BOOST DA ETAPA CC-CC

Parmetros adotados

Densidade de corrente mxima no condutor:


,D  +  (80)
n
Densidade de fluxo magntico mxima:
,D  += (81)

CLCULO DA INDUTNCIA
88

Variao de corrente no indutor:


  # $    2
(82)
Indutncia mnima:
 $ ;1
    (83)
 $ 
Energia no indutor:
$ n
   2  (84)


DIMENSIONAMENTO DO NCLEO DO INDUTOR

Adotando:
   (85)
[  & (86)
Pode-se calcular o produto Ae.Aw:
$ n


[    +s (87)
 $ [ $ ,D $ ,D
Selecionado 1 Ncleo Magnetics OP43939EC, com as seguintes caractersticas:

   n

[   + n


[  &s
CLCULO DO NMERO DE ESPIRAS
$ 
   0, (88)

 $ ,D

CLCULO DO ENTREFERRO

Adotando:
@  +Y $   (89)
Pode-se calcular o entreferro:
@ $ n $

   2  (90)

Como o ncleo do tipo 'EE', o entreferro dividido entre cada perna, resultando em:
89

 & (91)

DIMENSIONAMENTO DOS CONDUTORES

Raio de penetrao:

   + (92)
X
Dimetro do fio:
;,(J   $    & (93)

Logo, o fio selecionado o AWG 20 que possui dimetro de 0,081cm, suportando


corrente de at 2,329A e rea de 0,005176cm.
Seo do condutor necessria:

NJ)   &2 $  n (94)
,D
Clculo do nmero de fios em paralelo:
NJ) &2 $ 
W8    22 (95)
(J\^  2 $ 
Logo,
W8   (96)

CLCULO DO FATOR DE UTILIZAO DA JANELA

Com o intuito de verificar a possibilidade de montagem do indutor, calcula-se o fator


de utilizao da janela Ku, sendo que valores em torno ou menor do que 0,7 indicam a
possibilidade de realizao do projeto.
W8 $  $ (J\^(KJ'PJ
9   & (97)

[

COMPRIMENTO ESTIMADO DO FIO DE COBRE

O comprimento estimado do fio feito utilizando o permetro mdio da espira no


ncleo selecionado:
90

60yO  22  (98)


Logo o comprimento estimado do fio a ser utilizado :
?8(J   $ 60yO    (99)
80 $ ?8(J
q(J   + (100)
W8

PERDAS ASSOCIADAS AO INDUTOR

As perdas no indutor so dadas pela resistncia srie do enrolamento e pela perda no


ncleo magntico:
680()  q(J $ n   +7 (101)
A perda no ncleo dada com base no coeficiente de perdas por histerese (Kh), no
coeficiente de perdas por corrente (Kf) e pela variao da densidade de fluxo mdia (B),
sendo:
 + $   $ 7 (102)
H^
W  + $ n $ 7 (103)
$ 
  = (104)
 $

\
698()  \s $ E $  c W $  F
(105)
$ 8)N'OJ   &7
Logo, a perda total associada cada indutor :
6:8:,()  680() c 698()   7 (106)

A perda total nos indutores da etapa CC-CC ser:


6:8:,()M   $ 6:8:,()   7 (107)

INDUTOR DA ETAPA CC-CA

CLCULO DA INDUTNCIA
A indutncia mnima necessria para o projeto foi obtida atravs da simulao do
modelo em ambiente Matlab/Simulink, de modo a manter a estabilidade do sistema e DHT da
corrente injetada abaixo de 5%.
01    (108)
91

Adotando-se ento 2 indutores de 6,5mH.

ESCOLHA DO NCLEO
Para a escolha do ncleo foram adotados:
+

   [   81   ,D   = (109)


\ 
Clculo do AeAw estimado:
01 $ ,JL $ ,U(NJ


[     +s (110)
 $ [ $ 81 $ ,D
Selecionado o ncleo Thornton NEE 65/33/26 com:

    n
[  +  n


[  &2s
sendo suficiente para o projeto.

NMERO DE ESPIRAS
01 $ ,JL
 +0, (111)

 $ ,D
Devido a facilidade de montagem no carretel foi adotado:
  20, (112)

ENTREFERRO (GAP)
Pode-se calcular o entreferro necessrio por:
@ $ n $

    (113)
01
Resultando em um entreferro de cerca de 1.75mm de cada lado do ncleo.

DIMENSIONAMENTO DOS CONDUTORES


Raio de penetrao:

0   + 
 (114)

Portando, o maior dimetro do condutor a ser utilizado o do fio 20AWG. Como a
ondulao de corrente na frequncia de chaveamento muitas vezes menor do que a
92

ondulao de corrente na frequncia fundamental da rede de distribuio, pode-se desprezar o


efeito pelicular e utilizar um nico fio.
Seco de condutor necessrio:
,-./
M    +n (115)
81
Selecionado um nico fio de bitola 16 AWG:
(JH   &&n (116)
Fator de utilizao da janela ku:
 $ (JH
9    (117)

[
Como o fator de utilizao ku ficou abaixo de 0.7 possvel a realizao fsica do projeto.

COMPRIMENTO ESTIMADO DO FIO DE COBRE

O comprimento estimado do fio feito utilizando o permetro mdio da espira no


ncleo selecionado:
60yOM{OO  +&  (118)
Resistncia do fio utilizado 100C:

q(JHEH^^>F   2 (119)

Comprimento total do fio:
:8:,  1M $   + 2 (120)
Resistncia total do fio:
q:8:,MW8  q(JHEH^^>F $ :8:,  +  (121)

PERDAS NO INDUTOR CC-CA


As perdas no indutor de rede, assim como indutor da etapa CC-CC, so dadas pela
resistncia srie do enrolamento e pela perda no ncleo magntico:
680()M{OO  q:8:,MW8 $ ,-./ n  & 7 (122)
Perdas magnticas em cada indutor:
698{OO   ,D \s $ E $  c W $  F
(123)
$ 8M98  &7
Perda total nos indutores de rede:
6:8:,()M   $ E680()M{OO c 698{OO F  227
93

4.5 Anlise para a Frequncia de operao da etapa CC-CC


Neste Item 4.5 feita uma anlise sobre o impacto da frequncia de operao da etapa
CC-CC nos principais elementos de potncia sendo eles: o indutor boost, o transistor e o
diodo da etapa CC-CC, o dissipador e as perdas envolvidas. A frequncia variada de 25
60kHz e os dados so apresentados seguir.

4.5.1 Semicondutores da etapa CC-CC


No transistor do conversor CC-CC, as perdas associadas conduo so praticamente
constantes nesta faixa de frequncia. Porm, as perdas por comutao se tornam mais
significativas quanto maior a frequncia escolhida. A Figura 91 e a Figura 92 apresentam os
grficos das perdas para o transistor Fairchild FCP16N60N escolhido para a anlise.
Figura 91- Potncia em funo da frequncia de operao - transistor CC-CC

4
Pot ncia (W)

PPcomutao
com_sb1( fs) 3
Pconduzida
P cond_sb
Pcomutao_max
P com_max_sb1fs
( )
2

0
20 30 40 50 60
fs

Frequncia (kHz)

Fonte: Dados do prprio autor.


94

Figura 92- Perda Total no transistor CC-CC

Fonte: Dados do prprio autor.

Considerando os tem
mpos de comutao mximos apresentados peelo fabricante, pode-se
verificar que acima dos 40kkHz as perdas por comutao ultrapassam ass perdas por conduo,
alm do aumento significcativo da potncia dissipada sobre o trannsistor. Normalmente,
especifica-se como a frequncia mxima de operao aquela em que as perdas por comutao
no ultrapassem 90% da pootncia em conduo.
A Figura 93 apresennta o grfico das perdas associadas ao diodo da
d etapa CC-CC.

Figura 93- Pottncia em funo da frequncia de operao - diodoo CC-CC

6
10010
Potncia(W)

Pcomutao_max
Pcom_off_db1 ( fs ) x

6
5010

0
20 30 40 50 60
fs

Frequncia(kHz)

Fonte: Dados do prprio autor.


95

Novamente, como critrio conservativo, considera-se os tempos mximos de


comutao apresentados pelo fabricante. Em relao ao diodo de Silicon Carbide, as perdas
associadas comutao deste elemento so desprezveis, no ultrapassando 200W para toda
a faixa de frequncia considerada.

4.5.2 Indutor da etapa CC-CC


Para obter um comparativo real do impacto da frequncia de operao no indutor, foi
feito o projeto deste para 25, 32, 40 e 60 kHz utilizando o ncleo da magnetics otimizado para
cada frequncia. As figuras 89, 90 e 91 apresentam as curvas de peso, volume e perdas,
respectivamente sobre o indutor

Figura 94- Peso do indutor boost em funo da frequncia.

 ! "# $



)*1

)*1



)*+

6   

)*+ &27(
 #8/# &
6 
)*)  
&27((

)
1 234 1234 )234 )234 )2345




Fonte: Dados do prprio autor.


96

Figura 95- Volume do indutor boost em funo da frequncia.











   
  !"

 #$%$ 
    !""


         


 

Fonte: Dados do prprio autor.

Figura 96- Perda no indutor boost em funo da frequncia.

 

&

 

&
 #'   ("
&
 #$%$ #' 
 (""
&

         


 

Fonte: Dados do prprio autor.

A frequncia intitulada 60kHz* considera o mesmo ncleo de ferrite utilizado no


projeto de 40kHz. O ncleo adequado ao projeto de 60kHz, embora seja realizvel,
apresentou um aumento de temperatura significativamente maior do que os demais,
ultrapassando os 100C, tido como temperatura limite de operao deste elemento magntico
97

Analisando os trs grficos, nota-se que embora o peso e volume diminuam


praticamente na mesma proporo, a perda associada ao mesmo no decresce na mesma taxa.
A diminuio da perda no indutor com o aumento da frequncia no consegue compensar o
aumento das perdas no transistor CC-CC (Figura 92) que cresce numa taxa muito maior, o
que levaria a um aumento muito significativo de dissipador para uma reduo muito pequena
de peso/volume de indutor.

4.5.3 Peso e volume do dissipador e indutor


A Figura 97 apresenta o grfico do peso e do volume do dissipador em funo da
frequncia de operao da etapa CC-CC.

Figura 97- Peso e volume do dissipador + indutor em funo da frequncia de operao da etapa CC-CC.

Fonte: Dados do prprio autor.

O aumento do peso e do volume do dissipador no ocorre de forma linear de acordo


com o aumento da frequncia, devido ao aumento das perdas dada nos transistores da etapa
CC-CC. Ao mudar a frequncia de operao de 25 para 40kHz, tem-se um aumento de 49,5%
no peso e volume, porm ao alterar a frequncia de operao de 25 para 60kHz o aumento de
peso e volume torna-se 139,0%.

4.5.4 Eficincia estimada do conversor em funo da frequncia


98

A Figura 98 apresenta a eficincia estimada do conversor em funo da frequncia de


operao da etapa CC-CC, considerando todas as perdas dadas na etapas de potncia.
A eficincia estimada do conversor em funo da frequncia apresenta uma queda
linear porm com baixa taxa de variao, observa-se pelo grfico uma variao de menos de
0.5% da eficincia ao variar a frequncia de 25 at 60kHz. Entre 32kHz 40kHz a eficincia
quase constante.
Em face do exposto, recomendvel que a etapa CC-CC opere com frequncia de
chaveamento a partir de 30kHz e no mais do que 40kHz.

Figura 98- Eficincia total em funo da frequncia de operao da etapa CC-CC.

!

 " # 
 
)&
)&
)&
!

 "

)&
*+$ $, $ -"
)&
)&) #$%$ *+$ $, $
)&
 -""

)& 
         


 

Fonte: Dados do prprio autor.

4.6 Esquema eltrico bsico


A Figura 99 e a Figura 100 apresentam um esboo bsico do esquema eltrico do
conversor boost interleaved + VSI da etapa de potncia. Os circuitos de ataque das chaves e
fonte auxiliar foram elaborados seguindo as recomendaes de cada fabricante. Os circuitos
de snubbers foram elaborados e validados durante a etapa de testes em bancada.
99

Figu
ura 99- Esquema eltrico bsico da etapa CC-CC

Fonte: Dados do prprio autor.


Figu
ura 100- Esquema eltrico bsico da etapa CC-CA

Fonte: Dados do prprio autor.


100

5 CONTROLADORES PARA A ETAPA CC-CA,


ANTI-ILHAMENTO, CC-CC E MPPT

5.1 Controlador da Malha de Corrente do Inversor VSI


A planta de corrente do inversor VSI para injeo de corrente na rede influenciada
fortemente pela indutncia de conexo e pela taxa de amostragem para o controle. Assim,
especifica-se o controlador PI digital, considerando a taxa de amostragem igual frequncia
de chaveamento (fa=fs=30kHz), com o indutor de conexo Lr=(2x)6,5mH e resistncia de
200m. O valor da resistncia deste indutor quase no afeta esta malha de controle. A planta
a ser controlada no plano s dada por pela equao (124), considerando Vbus=400V:

(124)

Um filtro anti-aliasing (Fas) sintonizado em 15kHz inserido aps a leitura da
corrente da rede, tendo a equao dada por (125):

(125)

As realimentaes so consideradas unitrias bem como o modulador, neste momento,
e posteriormente, quando da implementao no dispositivo digital os ganhos sero adaptados
para condizer com esta realimentao. O diagrama de blocos simplificado para esta malha
apresentado pela Figura 101.
Figura 101- Malha de corrente da rede

Fonte: Dados do prprio autor.

Realizou-se o projeto considerando os diagramas de bode diretamente no plano


discreto, usando o ambiente Matlab e adotou-se a configurao do controlador como sendo
um proporcional integral (PI), como j salientado. Com o uso do controlador dado por (126),
com sua respectiva equao a diferenas (que ser implementada no DSC ), apresentada por
101

(127), mostra-se o grfico de bode, mdulo e fase do sistema compensado na Figura 102. O
sistema apresenta frequncia de cruzamento de ganho em torno de 724Hz e margem de fase
em torno de 43 graus.

(126)

(127)
Y(k) representa a sada do controlador, e(k) representa o erro e as variveis (k-1) so
os valores da amostra anterior.
Figura 102- Sistema Compensado

Fonte: Dados do prprio autor.

Com este controlador, mostra-se a corrente de referncia (verde) e a corrente injetada


(azul). Nos testes com o prottipo final, este controlador apresentou excelente desempenho,
resultando em um desvio mximo de 8 graus entre a corrente de referncia e a corrente
injetada. Os resultados prticos sero apresentados no Item 6 .
102

Figura 1003- Comparaes entre corrente injetada e a refern


ncia

Fonte: Dados do prprio autor.

5.2 Controlador daa tenso do barramento consid


derando injeo
de corrente na redee.

Esta malha de contrrole quem impe o valor RMS da correntee que deve ser injetada
na rede, e, por este motivoo, engloba a respectiva malha. Assim, tem--se um sistema a duas
malhas, uma externa corresspondente da tenso do barramento e uma innterna, correspondente
da corrente injetada. A velocidade da malha de tenso tal que no distora a corrente
injetada, ou seja, tem que possuir frequncia de cruzamento de ganho pelo menos 1 dcada
abaixo da freq. da rede (60Hz). Novamente, os ganhos dos sensoores so considerados
unitrios, sendo ajustados durante
d a implementao no DSC para conddizer com este projeto.
Esta malha estritamente dependente do valor do capacitor de barram
mento CC. A planta
apresentada na equao (1228) para o plano s. Vpkrede considerada iguaal a 311V; C o valor
mento que igual a 780F e o rendim
da capacitncia do barram mento esperado para a
estrutura VSI.

(128)

O projeto desta mallha (vide Figura 104) no necessita da mesm


ma taxa de amostragem
da corrente, e, portanto, para diminuir o esforo computacional no
n DSC, definiu-se a
103

frequncia de amostragem
m fa=10kHz. O projeto foi feito no plano diiscreto diretamente no
MatLab. A malha de correente entra no projeto com o inverso de seu ganho que tambm
unitrio, mas, na implemenntao estes ganhos foram adaptados para coondizer com o projeto.
Um filtro em 6Hz colocaddo aps a leitura da tenso do barramento, paara reduzir a influncia
da ondulao de corrente dee 120Hz no controle.

Figura 104- Maalha de tenso do barramento, que inclui a malha de


d corrente

Fonte: Dados do prprio autor.


(129)


(130)
Com este controladdor o sistema apresenta frequncia de cruzzamento de ganho em
torno de 6 Hz.
104

Figura 105- Sistema Compensado

Fonte: Dados do prprio autor.

5.3 Algoritmo PLL


O diagrama de blocos do algoritmo PLL apresentado na Figura 106. Este algoritmo
de PLL uma simplificao do Discrete 1-phase PLL, presente no ambiente
Matlab/Simulink. Os filtros PB esto sintonizados na frequncia de corte de 6Hz.
Figura 106- Diagrama de blocos do algoritmo PLL

Fonte: Dados do prprio autor.

O bloco PLL tem como entrada a tenso da rede (Vrede) e como sadas o valor da
frequncia da rede (Freq.) e a rampa .t sincronizada com a mesma. Basicamente a tenso da
rede multiplicada pelo cosseno da sada do PLL, sendo este valor filtrado por um filtro
105

passa-baixas (PB) digital. A sada deste filtro serve como entrada para o controlador PI. A
sada do PI passa por um novo filtro passa-baixas tendo como resultado a frequncia
rastreada. Tambm, a sada do PI passa por um integrador com reset para construir a rampa
sincronizada com a rede.

5.4 Teste do algoritmo de ilhamento SMS com carga RLC.


O mtodo de deteco de anti ilhamento escolhido para este trabalho foi o SMS (Slip-
mode frequency shift), devido a melhor efetividade na deteco da condio de ilhamento e
menor perturbao na rede de distribuio (22). Basicamente o mtodo SMS tenta variar a
frequncia da rede eltrica, se esta estiver presente, o conversor no ser capaz de alter-la,
indicando que no h a condio de ilhamento.
A carga RLC modelada de acordo com as equaes (131) (133), com potncia
ativa P=1kW, V=220V, f=60Hz e fator de qualidade Qf = 2. Este modelo se baseia na
necessidade do algoritmo de anti ilhamento ser capaz de detectar a sada da rede, mesmo na
presena de cargas ressonantes (R=48.4; L=64,2mH e C=109,61F).

(131)


(132)


(133)

As equaes que regem o mtodo SMS so as descritas em (134) e (135); Sendo frede
=60Hz; fmax a mxima frequncia em que se deseja a mxima variao do ngulo da carga
(max); fpll a frequncia de leitura atual do PLL. Escolhe-se fmax=62Hz, e (max = 10 graus),
significando que em 58Hz ou 62Hz a variao mxima do ngulo da carga ser de 10 graus, e,
o nvel de frequncia escolhido para o mtodo atuar tem que ser menor do que esta variao
de 2Hz, Adotando-se 1,5Hz. A sada do PLL alterada de forma a somar a contribuio da
equao (134).

(134)


(135)

106

A curva do algoritmo SMS em conjunto com a curva da carga apresentada na Figura


107, onde o ponto de cruzamento o equilbrio entre a carga e a frequncia aps retirada da
rede, sendo que qualquer valor de frequncia inferior ou superior este pode ser adotado para
a deteco do ilhamento pelo algoritmo. A Figura 108 apresenta o modelo de simulao para
o teste do mtodo de ilhamento.
Figura 107- Mtodo de anti ilhamento SMS adotado

20

15
Carga

10
Curva SMS
5
Angulo (Graus)

-5

-10

-15

-20
56 57 58 59 60 61 62 63 64
Freq(Hz)

Fonte: Dados do prprio autor.

Figura 108- Modelo de simulao para testes de ilhamento

[B]

Falha Goto
 

    
  '
  
 () *
! 

 
"   




 In1
In1
# $ % In2
In1

In2
 Iindutor Out1 Modulante Pulsos Subsystem3 
 Subsystem2
Subsystem
Memory
# $  # $ &
# $ '
 Vrede Out2
Subsystem1
Memory1
# $ 
Controle

Convert

Data Type Conversion

Fonte: Dados do prprio autor.


107

A Figura 109 mostrra a mudana da frequncia aps a sada da rede, onde tambm se
pode verificar o intervalo de
d tempo para deteco. Em 0,1s a rede rettirada e o algoritmo j
inicia a mudana da frequnncia da carga local, neste caso, reduzindo-a.
Figura 109- Mudana de Frequncia

Fonte: Dados do prprio autor.


O tempo de deteco, considerando-se a mudana de 1Hz naa frequncia, pode ser
observado na Figura 110, sendo na ordem de 170ms. A curva em azul representa a retirada da
rede e a curva em verde reepresenta a deteco da mudana de frequnncia a partir de 1Hz de
desvio.
108

Figura 110- Tempo para deteco

Fonte: Dados do prprio autor.

5.5 Algoritmo de MPPT


M
O diagrama de Blocos do Algoritmo
A de MPPT P&O apresentado na Figura
F 111. Para maior
adaptabilidade com os diiversos tipos de PVs presentes no mercaddo, selecionou-se um
algoritmo de MPPT genricco P&O como controle principal.

Figura 111-
1 Diagrama de blocos do algoritmo de MPPT P&
&O.

dP dt
dt
dV
dt
Fonte: Dados do prprio autor.

A tenso e a corrrente do painel so mensuradas e h o cmputo da potncia


instantnea PPV(t). Calcula--se a derivada da potncia e da tenso no temppo. Multiplica-se estes
dois valores para se verificcar o sinal da derivada de potncia em relaoo tenso. O Ganho
multiplicado pelo sinal daa derivada (positiva ou negativa) e este valor incrementado no
integrador at se obter o poonto de mxima potncia.
109

NOTAS:
1) O Ganho negativo para garantir a correta direo do MPPT. Este Ganho altera a
velocidade de rastreamento do MPPT e tambm as oscilaes no ponto timo. o ponto de
ajuste do mtodo.
2) Apenas o sinal da derivada de potncia (positiva ou negativa) necessrio. Assim, para
simplificao no cdigo a ser implementado no controle digital, usa-se uma multiplicao ao
invs de uma diviso ao fazer [(dP/dt)*(dV/dt)]. Originalmente no simulink foi usado
[(dP/dt)/(dV/dt)].

5.6 Diagrama em blocos do controle da etapa CC-CC


O diagrama da Figura 112 sintetiza o controle da etapa boost, composto pelo algoritmo
de MPPT, e, como controle auxiliar, uma proteo de sobre tenso do barramento CC
necessria. Neste sentido:

1) O algoritmo de MPPT P&O utiliza como entrada a tenso e a corrente do PV e fornece a


razo cclica principal de trabalho.

2) O controle de sobre tenso do barramento utiliza como entradas a tenso Vpv deste
barramento e a razo cclica principal (advinda do algoritmo de MPPT), fornecendo a razo
cclica modificada. Esta proteo somente atua em casos de sobre tenso, reduzindo a razo
cclica de modo a garantir a integridade do conversor.

Figura 112- Diagrama de blocos simplificado para o estgio CC-CC

Fonte: Dados do prprio autor.


110

5.6.1 Proteo
O diagrama de Blocos da Proteo apresentado na Figura 113.
O erro entre a tenso do barramento e a tenso mxima permitida serve como entrada
para o controlador PI, que regula as dinmicas necessrias para a correta proteo da tenso
do barramento CC. A sada desta proteo adicionada razo cclica principal de trabalho,
modificando-a de forma a proteger o conversor. Esta proteo somente atua caso os nveis de
tenso forem ultrapassados do mximo permitido em software.
Figura 113- Diagrama de blocos da proteo de tenso do barramento.

dt

Fonte: Dados do prprio autor.

5.7 Controle digital do conversor


Todo o controle do conversor foi projetado de forma digital utilizando um DSC
(Digital Signal Controller) da Freescale modelo MC56F8257, que utiliza a CPU da famlia
56800E, implementado em uma placa de desenvolvimento modelo TWR-56F8257. Este
modelo apresenta como principais caractersticas uma frequncia de operao de 60MHz,
operao de multiplicao em 1 ciclo de mquina, operao com ponto fixo no formado q15
ou q31, conversor AD de 12 bits e perifrico avanado de PWM com 6 canais de alta
resoluo.

5.7.1 Sequncia bsica de execuo das rotinas


Este item apresenta a sequncia de execuo bsica das interrupes do firmware na
Figura 114 e na Figura 115. Existem duas interrupes principais, uma na taxa de 30.06kHz e
outra na taxa de 10.02kHz. Esta ltima interrupo serve como base de tempo para a chamada
de execuo das rotinas em 1kHz e 10Hz. Cada interrupo encarregada de executar as
rotinas crticas, sendo a de controle de corrente CA, controle de tenso do barramento CC,
sincronismo e anti ilhamento, controle da etapa CC e MPPT. Estas funes sero
especificadas nos Itens 5.7.2 , 5.7.3 , 5.7.4 e 5.7.5 .
111

Figura 114- Sequncia de execuo da interrupo de 30.06kHz

Loop principal Malha 30.06kHz ADC

Malha de corrente()

Interrupo()

Converter()
Converso de valores do ADC()
Calculo de valores RMS()
Malha de protees() Trmino
Comunicao()
Trmino

Fonte: Dados do prprio autor.

Figura 115- Sequncia bsica de execuo da interrupo de 10.02kHz

Loop principal Malha 10.02kHz Malha 1khz Malha 10Hz

Malha de tenso() Malha de proteo Malha de MPPT()


Malha de PLL() do boost()
Malha de SMS()
Interrupo()

Converso de valores do ADC() Trmino


Calculo de valores RMS()
Malha de protees() Call() (async)
Comunicao()

Trmino

Trmino

Fonte: Prprio autor


112

5.7.2 Fluxograma bsico da rotina de controle principal


A seguir na Figura 116 apresentado um fluxograma bsico da rotina de controle
principal, ressaltando somente as funcionalidades essenciais. Todas as tarefas que esto nessa
rotina so executadas no tempo livre do processador, dessa forma, somente as tarefas
assncronas devem ser includas neste fluxo de cdigo.
Figura 116- Fluxograma bsico da rotina de controle principal.





    


 
    
  
 

   


    
    
  !  
 

"
#  &' #
    $       $ 

!#
  (

Fonte: Dados do prprio autor.

A etapa de inicializao executada somente uma vez e se encarrega de impor os


estados iniciais em todas as variveis necessrias, e tambm define todos os estados das portas
I/Os e PWMs.
113

Quando o perifrico de converso de sinais Analgico-Digital termina de obter as


amostras de todos os canais necessrios, feita a transformada dos valores digitais para
valores reais (tenso, corrente e temperatura).
Para o clculo dos valores eficazes de tenso e corrente, um vetor de amostras
preenchido, e quando est completo, a rotina principal realiza os clculos para obter os
valores RMS.
Derivado na interrupo de 10.02kHz, um contador avisa quando o perodo de 1kHz
foi atingido, e a rotina principal executa a malha de controle da etapa CC-CC.
A cada iterao, todas as protees so verificadas e caso necessrio, a ao cabvel
executada.

5.7.3 Fluxograma da interrupo de 30.06kHz


Esta interrupo responsvel por executar a leitura dos canais analgico-digital e
executar a malha de controle de corrente da etapa CC-CA. Sendo a malha mais crtica de
controle, esta interrupo tem prioridade sobre as demais. Todo o cdigo desta malha
otimizado para execuo mais rpida possvel.

Figura 117- Fluxograma da interrupo de 30.06kHz.

Interrupo de
30.06kHz

Atualizar Banco de
Converso dos
dados dos
canais analgicos
sensores

Malha de corrente Atualizar


PWM CC-CA
CC-CA

FIM

Fonte: Dados do prprio autor.


114

5.7.4 Fluxograma da interrupo de 10.02kHz


Esta interrupo responsvel por executar a malha do algoritmo de PLL, algoritmo
de SMS, Malha de tenso CC-CA e incrementar o contador para execuo da rotina de
controle da etapa boost e MPPT. Tem menor prioridade do que a malha de 30.06kHz e maior
prioridade do que as demais.
Figura 118- Fluxograma da interrupo de 10.02kHz.

Interrupo de
10.02kHz

Leitura Banco de
PLL/SMS dados dos
sensores

Atualizar Configuraes:
Malha de tenso
Corrente CA de
CC-CA
referncia

Contador para
1kHz

FIM

Fonte: Dados do prprio autor.

5.7.5 Fluxograma do controle da etapa boost e MPPT


Esta rotina responsvel por executar a malha de controle da etapa CC-CC,
executando o ciclo de controle da etapa boost sempre que marcado como solicitado pela
interrupo de 10.02kHz (atravs de um contador). Esta solicitao acontece na taxa de 1kHz.
Junto com a malha de controle da tenso limite da etapa boost, derivada a execuo da
rotina de MPPT, que ocorre na taxa de 10Hz.
115

Figura 119- Fluxograma do controle da etapa boost e MPPT.

Controle CC-CC Banco de


Leitura
dados dos
sensores

Sim Atualizar Configuraes:


Contador de
MPPT razo cclica do
10Hz atingido?
MPPT

No

Banco de Leitura Malha de tenso


dados dos
CC-CC
sensores

Configuraes: Leitura Atualizar Configuraes:


razo cclica do + Razo ciclica
MPPT do boost

PWM CC-CC

FIM

Fonte: Dados do prprio autor.

5.8 Consideraes do captulo


As equaes e diagramas dos controles apresentados nesse captulo foram
desenvolvidas e testadas em simulao atravs do ambiente Matlab/Simulink. Tambm foram
testadas isoladamente no ambiente de simulao do controlador DSC escolhido para o
trabalho (ambiente CodeWarrior). A unio das malhas de controle e verificao do
desempenho quando em operao real no DSC apresentada no item 6 .
116

6 IMPLEMENTAO PRTICA E
RESULTADOS EXPERIMENTAIS

6.1 Objetivos
Testar cada algoritmo implementado no DSC juntamente com as etapas de potncia
o objetivo principal deste captulo. Como o conversor proposto opera os estgios elevador e
inversor de forma independente, em virtude do barramento CC intermedirio, possvel testar
cada grupo de algoritmos de forma tambm independente. O algoritmo de MPPT pode ser
testado apenas com o conversor elevador, enquanto que os algoritmos PLL e a malha de
corrente podem ser testados com a parte do inversor VSI.
Os tempos de resposta do conversor frente aos distrbios da rede de distribuio CA
foram adaptados para atender ao projeto de norma da ABNT em discusso, nmero
03:082.01-001, chamado "Sistemas fotovoltaicos (FV) Caractersticas da conexo rede
eltrica" e 03:082.01-002 "Procedimento de ensaio de anti ilhamento para inversores de
sistemas fotovoltaicos conectados rede eltrica". Cada caracterstica atendida da norma ser
comentada no item pertinente.

6.2 Teste Algoritmo MPPT


O algoritmo de MPPT foi testado com auxlio da fonte emuladora de painis solares
TerraSAS (Photovoltaic Simulator ETS 600/25) para prover potncia nominal de 1025W de
pico. Este algoritmo apresentou excelente desempenho em regime permanente e est ainda
com uma deficincia no rastreamento quando h mais de um ponto de mxima potncia local
(efeito que ocorre quando h sombreamento em um ou mais painis fotovoltaicos no ramo). A
eficincia mdia de rastreamento do MPP ficou acima de 98% em todos os testes.
Para a inicializao da extrao da mxima potncia, foi utilizado uma combinao
com o algoritmo de MPPT de tenso constante (CV). Ao iniciar o conversor, a razo cclica D
da etapa CC-CC incrementada, de forma lenta e gradual, at um valor limite previamente
estabelecido ou at uma determinada porcentagem da tenso de circuito aberto (tipicamente
78% de Voc) do PV. Aps a inicializao, o algoritmo P&O assume o controle da extrao de
mxima potncia.
117

Figura 120- Resultados para o algoritmo de MPPT usando conversor boost interleaved em regime.

Fonte: Dados do prprio autor.

6.3 Teste Algoritmo PLL


O algoritmo PLL foi testado na rede convencional em 220V e tambm com auxlio da
fonte emuladora de tenses da Califrnia Instruments (5001iX-CTS) a fim de simular redes
com distores harmnicas. Cada situao e os respectivos resultados so apresentados
seguir.
Como primeiro teste, o algoritmo de sincronismo foi submetido uma tenso da rede
composta apenas pela fundamental de 60Hz. Como o algoritmo de PLL assume no incio que
a frequncia da rede j est em 60Hz, torna-se necessrio sincronizar apenas o ngulo, sendo
feito em poucos ciclos de rede (tipicamente menor do que 300ms). A Figura 121 apresenta a
tenso da rede em azul e a rampa t resultante do algoritmo de PLL em vermelho. Pode-se
observar o perfeito sincronismo entre os sinais.
118

Figura 121- Tenso da rede em 220V sincronizada com a rampa .t.

Fonte: Dados do prprio autor.


Na sequncia, o algoritmo de PLL foi submetido uma tenso de rede tpica com
harmnicos, como afundamento nos picos negativos e positivos. A Figura 122 apresenta as
caractersticas da tenso gerada, contendo 3% de 3 harmnica (fase 60), 8% de 5 harmnica
(fase 180) e 2% de 7 harmnica (fase 40).
Observa-se na Figura 123 que a rampa t do algoritmo de PLL conseguiu sincronizar
perfeitamente com a tenso da rede de energia. O tempo de sincronismo obtido foi o mesmo
em relao a tenso de rede sem harmnicos.
119

Figura 122- Gerador de harmnicos

Fonte: Dados do prprio autor.

Figura 123- Tensoo de sada da Califrnia em 220V sincronizada com


m a rampa w.t.

Fonte: Dados do prprio autor.

Para testar o algoriitmo em uma condio extrema, o simuladoor de rede eltrica foi
programado para gerar uma forma de onda que apresente mais de dois cruzamentos por zero
120

por ciclo de rede, resultanddo na forma de onda observada na Figura 1244 composta por 25% de
3 harmnica (fase 0), 33%
% de 5 harmnica (fase 180) e 2% de 7 harm
mnica (fase 0).

Figura 124- Gerador de harmnicos

Fonte: Dados do prprio autor.


121

Figura 125- Tenso da sada da Califrnia em 220V sincronizada com a rampa .t.

Fonte: Dados do prprio autor.

Ainda com uma considervel carga harmnica na rede, a rampa t do algoritmo de


PLL conseguiu sincronizar perfeitamente com a tenso da rede de energia eltrica (Figura
125), mantendo o mesmo tempo de sincronismo da forma de onda sem harmnicos.
Como ltimo teste, uma quarta forma de onda foi gerada, caracterizada por uma
considervel componente harmnica de 5 ordem e fase de 0. Pode ser observada na Figura
126 composta por 3% de 3 harmnica (fase 0), 8% de 5 harmnica (fase 0) e 2% de 7
harmnica (fase 0).
122

Figura 126- Gerador de harmnicos

Fonte: Dados do prprio autor.

Novamente, pode-see observar na Figura 127 que a rampa t esst sincronizada com a
fundamental de 60Hz da reede de energia eltrica. Com o algoritmo de sincronismo
s funcional,
possvel prosseguir os tesstes com a malha de injeo de corrente, aprresentada no Item 6.4 .
O algoritmo de PLL
L tambm foi testado com variaes de freequncia da rede entre
55Hz e 65Hz, apresentandoo as mesmas dinmicas e capacidade de sincrronismo mostradas em
60Hz.
123

Figura 127- Tenso da sada da Califrnia em 220V sincronizada com a rampa .t.

Fonte: Dados do prprio autor.

6.4 Teste da Malha de Corrente


A malha de corrente foi testada em conjunto com o algoritmo PLL para injeo de
corrente na rede (em fase e na frequncia desejada). O objetivo do teste mostrar a injeo de
corrente nominal de 4,5Arms na rede eltrica. Os testes inicias so feitos alimentando o
barramento CC-CC do inversor com a fonte TECTROL (TCA 300-10BR1A) de 300Vdc max.
Desta forma os primeiros testes foram limitados a injeo de corrente na rede CA monofsica
de 127Vac (180Vp). As etapas seguir mostram a injeo gradual de corrente na rede
eltrica, at o valor nominal de operao.
Como primeiro teste a etapa inversora foi conectada a rede sem injeo de corrente
(operao vazio) para demonstrar que a malha de corrente no permite o fluxo de energia
para o conversor CC, protegendo o barramento de uma possvel sobre tenso.
124

Figura 128- Tenso na rede (Rosa), corrente na rede (Verde) e tenso dreno-source na chave inferior da
ponte VSI (Azul).

Fonte: Dados do prprio autor.

Na sequncia, foi feita a injeo de corrente de 1A na rede eltrica (Figura 129), o


valor RMS de corrente injetada na rede ainda controlado manualmente atravs da interface
de controle pelo computador desktop, de modo a permitir o aumento gradual de corrente ou
fcil desligamento no caso de falhas.
125

Figura 129- Tenso na rede (Rosa), corrente na rede (Verde) e tenso dreno-source na chave inferior da
ponte VSI (Azul).

Fonte: Dados do prprio autor.

Em seguida a corrente injetada foi elevada para 1,77A. Observa-se ainda o baixo
contedo harmnico da corrente e perfeito sincronismo com a rede de distribuio (vide
Figura 130).
126

Figura 130- Tenso na rede (Rosa), corrente na rede (Verde) e tenso dreno-source na chave inferior da
ponte VSI (Azul).

Fonte: Dados do prprio autor.


Com pouco mais de 50% da corrente nominal (Figura 131), a corrente injetada na rede
foi elevada para 2,42A, ainda com baixo contedo harmnico.
Figura 131- . Tenso na rede (Rosa), corrente na rede (Verde) e tenso dreno-source na chave inferior da
ponte VSI (Azul).

Fonte: Dados do prprio autor.


127

Injetando 3,48A de corrente na rede eltrica, cerca de 75% do valor nominal, o


contedo harmnico da mesma continua abaixo dos 5%.
Figura 132- Tenso na rede (Rosa), corrente na rede (Verde) e tenso dreno-source na chave inferior da
ponte VSI (Azul).

Fonte: Dados do prprio autor.

Com o aumento gradual da corrente injetada, conseguiu-se chegar no valor nominal de


4,50A, conforme Figura 133. A DHT da corrente ficou em 2,41%. A tenso da rede eltrica
apresentava 1% de DHT durante todos os testes.
Desta forma, a malha de injeo de corrente foi validada para a continuidade dos testes
em 220Vac de tenso de rede. No foi implementado nenhum sistema de partida suave at
este teste. A malha de corrente conseguiu controlar a injeo de potncia iniciando em
qualquer ngulo da rede.
128

Figura 133- Tenso na rede (Rosa), corrente na rede (Verde) e tenso dreno-source na chave inferior da
ponte VSI (Azul).

Fonte: Dados do prprio autor.

A ttulo de ilustrao, a seguir so apresentadas algumas fotos do laboratrio de


ensaios do conversor (Figura 134 at a Figura 137).
Figura 134- Arranjo experimental.

Fonte: Dados do prprio autor.


129

Figura 135- Detalhe do Conversor e da placa DSC.

Fonte: Dados do prprio autor.


Figura 136- Interface grfica para auxlio nos testes.

Fonte: Dados do prprio autor.


130

Figura 137- . Medio das formas de onda com corrente nominal na sada.

Fonte: Dados do prprio autor.

6.5 Unificao das malhas de controle e teste em rede bifsica de


220V
O objetivo testar todos os algoritmos implementados de forma simultnea. Este teste
tem como finalidade verificar o desempenho global do sistema. O inversor opera com os dois
estgios, elevador e inversor, conectados pela malha de tenso, e, o sistema opera conectado
s redes de 127Vac e posteriormente 220Vac RMS.

6.5.1 Teste de Injeo de Potncia


Os resultados a seguir mostram a injeo de potncia na rede convencional em 220V
atravs da fonte programvel California Instruments (5001iX-CTS). Com o aumento gradual
da corrente injetada, similar ao teste em rede 127Vac.
Como primeiro teste, iniciou-se com a injeo de 1A de corrente eficaz na rede
eltrica, vista na Figura 138. Pode-se observar o baixo contedo harmnico da corrente
injetada (em azul claro), assim como o sincronismo com a rede de distribuio (em azul
escuro).
131

Figura 138- Tenso na rede (azul escuro), corrente na rede (azul Claro).

Fonte: Dados do prprio autor.


Em seguida, a corrente foi elevada para 1,5A na Figura 139 e para 2A na Figura 140,
ambas mostram o baixo contedo harmnico da corrente e perfeito sincronismo com a rede de
distribuio.
Figura 139- Tenso na rede (azul escuro), corrente na rede (azul claro).

Fonte: Dados do prprio autor.


132

Figura 140- Tenso na rede (azul escuro), corrente na rede (azul claro).

Fonte: Dados do prprio autor.


Com cerca de 3A, a Figura 141 apresenta as formas de onda de tenso da rede e
corrente injetada. A partir desse patamar, pode-se observar um pequeno rudo nos picos
positivo e negativo da corrente injetada, porm ainda abaixo dos 5% de DHT.
133

Figura 141- Tenso na rede (azul escuro), corrente na rede (azul claro).

Fonte: Dados do prprio autor.


Por fim, chegou-se ao mximo de 888W de sada do conjunto de painis emulados, a
corrente ficou em 3,93A (rede em 226Vac na Figura 142). A DHT mxima foi de 2,4%,
similar a conexo com a rede de 127Vac.
Figura 142- Tenso na rede (Azul escuro), corrente na rede (Azul Claro).

Fonte: Dados do prprio autor.


134

6.5.2 Teste do algoritmo SMS


Operando juntamente com o algoritmo de PLL, o algoritmo anti ilhamento foi testado
com carga RLC balanceada, desse modo no haver variaes nos parmetros de tenso e
frequncia na sada do converso quando a rede de distribuio for desconectada, situao esta
a qual a proteo passiva no detecta a condio de ilhamento.
Primeiramente, este algoritmo foi testado na rede em 127Vac, e como teste de
controle, a rede foi desconectada com o algoritmo de SMS desativado. A condio de
ilhamento foi detectada apenas com o desvio de frequncia do algoritmo de PLL que ocorre
devido ao balanceamento no ideal dado pela carga RLC. A corrente injetada foi de 2A.
Figura 143- Tenso na rede (Rosa), corrente na rede (Azul Claro), sinal de deteco de ilhamento (Azul
escuro, ativo em nvel alto). Tempo de deteco de 9.12s devido ao desvio natural do algoritmo de PLL.

Fonte: Dados do prprio autor.


Aps a desconexo da rede eltrica, o desvio de 1,5Hz na frequncia, necessrio para
ativar a deteco de ilhamento ocorreu em 9,12s, visto na Figura 143.
Na sequncia, o algoritmo de SMS foi ativado e testado nas mesmas condies do
teste anterior. Pode se observar pela Figura 144 o tempo de deteco em 628ms, indicando a
funcionalidade e efetividade do algoritmo implementado.
135

Figura 144- Tenso na rede (Rosa), corrente na rede (Azul Claro), sinal de deteco de ilhamento (Azul
escuro, ativo em nvel alto). Tempo de deteco 628ms.

Fonte: Dados do prprio autor.

Com corrente nominal de 3,83A, e a carga RLC readequada para a potncia atual
(Resistncia de 33, indutor de 70mH e capacitor de 100F), a Figura 145 apresenta a
deteco de ilhamento com tempo de 796ms.
136

Figura 145- Tenso na rede (Rosa), corrente na rede (Azul Claro), sinal de deteco de ilhamento (Azul
escuro, ativo em nvel alto) e corrente de sada do inversor (verde). Tempo deteco de 796ms.

Fonte: Dados do prprio autor.

A Figura 146 e a Figura 147 mostram os resultados do algoritmo SMS sendo realizado
em rede de 220Vac com carga mxima RLC, simulando as condies exigidas pelo projeto de
norma 03:082.01-002. A partir deste teste o controle digital j implementa a desconexo da
rede eltrica por parte do inversor quando ocorre a deteco da condio de ilhamento.
Com carga RLC de aproximadamente 1kW, o algoritmo levou cerca de 300ms para
detectar a condio de ilhamento aps a desconexo da rede eltrica (Figura 146).
137

Figuraa 146- Tenso na rede (Azul) corrente na rede (Roxoo)

Fonte: Dados do prprio autor.


Agora com carga RL
LC de 1kW, porm com fator de qualidade Qf=1,
Q o algoritmo SMS
apresentou tempo de deteco de 285ms, mostrado na Figura 147.
Figura 147- Tenso na rede (Verde), corrente na rede (Azul) e corrente do inversor (Roxo)

Fonte: Dados do prprio autor.


Como demonstrado, os testes com carga RLC equilibrado mostrraram que o conversor
desenvolvido atende ao prrojeto de norma 03:082.01-002 que define o tempo mximo de
deteco de ilhamento em at
a 2 segundos.
138

Para demonstrar a atuao do mtodo passivo de deteeco de ilhamento,


implementado no controle digital, apresentado a atuao do mesmoo na Figura 148 e na
Figura 149, com sobre e suub tenso de rede simulados atravs do emulaador de rede de energia
Califrnia Instruments (50001iX-CTS).
A Figura 148 mostrra um surto de sobre tenso de 15% na redee eltrica e o tempo de
deteco obtido foi de 95m
ms.
Figgura 148- Ensaio de sobre tenso da rede de 15%

Fonte: Dados do prprio autor.


A seguir, o ensaio de
d sub tenso de 50% na rede eltrica, apressentado na Figura 149,
com tempo de deteco obsservado de 45ms.
139

Fiigura 149- Ensaio de sub tenso da rede de 50%

Fonte: Dados do prprio autor.

De acordo com os
o resultados, o sistema de controle doo conversor responde
adequadamente s condies de sobre e sub tenso da rede de distriibuio CA. A norma
03:082.01-001 em discusso, define que para a condio de sobre tennso acima de 15% da
tenso nominal, o conversor deve desconectar-se em no mximo 2 seegundos. Assim como
para a condio de sub tennso menor do que 50% da tenso nominall, o conversor deve se
desconectar em no mximoo 0.1s.

6.5.3 Inicializao da injeo de potncia


Para a conexo suavve do inversor com a rede eltrica, foi implem
mentado um sistema de
aumento gradual da corrente injetada na rede at a mxima dispponvel pelos painis
fotovoltaicos. A Figura 150 apresenta esta caracterstica, com a tensoo da rede em rosa e a
corrente em verde. Importtante salientar que a tenso da rede no varria durante injeo de
corrente, visto que este um
m parmetro controlado pela concessionria de
d energia.
140

Figura 150- Tenso na rede (Rosa) e corrente de sada do inversor (Verde).

Fonte: Dados do prprio autor.

A seguir na Figura 151 at a Figura 154 so apresentadas novas fotos do laboratrio de


ensaios, considerando a utilizao do simulador de Array Solar TerraSAS.
Figura 151- Arranjo experimental

Fonte: Dados do prprio autor.


.
141

Figura 152- Detalhe do Conversor e da placa DSC.

Fonte: Dados do prprio autor.

Figura 153- Interface grfica para auxlio nos testes (Segunda Coluna representa etapa inversora em
Teste)

Fonte: Dados do prprio autor.


142

Figura 154- Medio das formas de onda com 870W de sada em rede 220V.

Fonte: Dados do prprio autor.

6.5.4 Eficincia do conjunto inversor


Neste Item apresentada a eficincia obtida do conversor em sua forma final. O
rendimento mximo ficou em 91.33%, medio obtida com o Wattmetro Yokogawa WT230.
A Figura 155 apresenta a tenso e corrente injetada na rede 220V, o conjunto inversor final
mostrado na Figura 156.
143

Figura 155- Tenso (Verde) e Corrente de Rede (Amarelo).

Fonte: Dados do prprio autor.

Figura 156- Sistema para medio da eficincia.

Fonte: Dados do prprio autor.


144

Figura 157- Interface grfica de monitoramento do inversor

Fonte: Dados do prprio autor.


Na Figura 157, pode-se observar o painel de monitoramento do conjunto inversor
atravs do computador desktop. Na primeira coluna tem-se a tenso do Painel Fotovoltaico, a
corrente drenada do PV, a tenso mantida no barramento CC pela etapa elevadora e um
histrico da tenso do PV. Na segunda coluna tem-se a tenso eficaz da rede, corrente eficaz
injetada, a frequncia da rede detectada pelo PLL e um histrico da corrente drenada do PV.
Na terceira e ltima coluna apresentada a potncia injetada na rede eltrica, um histrico da
potncia injetada, a eficincia estimada do sistema e um histrico da tenso do barramento
CC.
145

7 CONCLUSO GERAL E CONTINUIDADE


DO TRABALHO
O sistema de condicionamento e injeo de potncia ativa na rede de distribuio a
partir de painis fotovoltaicos uma soluo eficiente para a gerao de energia a partir de
fontes alternativas. O baixo custo operacional, ausncia de emisso de gases ou resduos
poluentes, baixo nvel de rudo e alta robustez do sistema caracterizam o conjunto
PV/Inversor como principal opo para o pequeno e mdio consumidor que deseja investir
nesta rea.
A baixa distoro harmnica da corrente injetada, inferior 5% em todos os testes, o
rendimento acima de 90%, juntamente com a simplicidade das estruturas, caracterizam o
conversor boost interleaved + VSI como topologias aptas para a prototipagem comercial e
produo em grandes quantidades.
Os modelos de simulao utilizados em Matlab/Simulink demonstraram ser efetivos
durante a etapa de depurao do projeto, desde que todos os parmetros sejam inseridos
adequadamente, inclusive os que so normalmente desprezados, como indutncias de trilhas
de conexo e resistncias srie de elementos passivos.
Um dos fatores ainda possvel de melhoria neste projeto o rendimento da estrutura,
podendo ainda ser otimizado para uma possvel aplicao industrial. O alto rendimento pode
ser traduzido como aumento da vida til do conjunto, menor tempo de amortizao do
investimento pelo proprietrio do sistema gerador e menor peso/volume da estrutura. O
projeto e refinamento dos elementos magnticos, assim como o layout da PCB foram
concebidos para serem otimizados em relao s perdas eltricas. Os interruptores ativos da
etapa CC-CC tambm esto otimizados quanto ao rendimento, restando ainda a possibilidade
de otimizao para os interruptores ativos da etapa CC-CA. A etapa CC-CA utiliza IGBTs
com tecnologia do ano de 2005, sendo possvel sua substituio por chaves de menores perdas
eltricas, com as mesmas caractersticas dinmicas.
O controle digital com o DSC mostrou-se um desafio a parte. A utilizao de variveis
de 16 bits muitas vezes invalidou as medies devido ao erro de quantizao e inviabilizou as
malhas de controle. Neste sentido, foi escolhido um modelo de DSC que permite operaes
com variveis de 32 bits, utilizando mais ciclos de mquina. Como o tempo de processamento
tambm incrementado, o cdigo deve ser o mais otimizado possvel, sendo este um desafio
146

ainda maior. Apesar disso, o processador selecionado foi suficiente para realizar todas as
tarefas exigidas nesta aplicao.
Para a continuidade do trabalho prope-se a integrao do controle digital na placa
principal do conversor, resultando num prottipo mais robusto do ponto de vista mecnico e
com menor nvel de rudos nos sinais lidos pelo DSC, uma vez que o acoplamento de IEM
ser reduzido significativamente com a eliminao dos cabos de conexo. Adicionalmente,
pode-se ainda estudar diferentes configuraes para o filtro de acoplamento com a rede em
CA, resultando ainda na necessidade de adequaes das malhas de controle.
147

REFERNCIAS
1 NATURALUX.Productinformationbrief.Disponvel em: <http://www.naturalux.com.br>.
Acesso em: 20 dez. 2011.

2 GRUBERGER, I. Sistema de iluminao natural tubular. Disponvel


em:<http://www.revistatechne.com.br/engenharia-civil/168/artigo211659-1.asp>.Acesso em:
21 dez. 2011.

3 U.S. DEPARTMENTE OF ENERGY.Linear concentrator systems for concentrating solar


power. Disponvel
em:<http://www.eere.energy.gov/basics/renewable_energy/linear_concentrator.html>.Acesso
em: 21 dez. 2011.

4 ASHCROFT, N. W. ;MERMIN, N. D. Solid state physics. Philadelphia: Saunders College,


1976.

5 MAEKAWA, S. et al. Physics of transition metal oxides. Berlin: Springer, 2004.

6 TAUC, J.Photo and thermoelectric effects in semiconductors.New York: Pergamon


Press, 1962.

7GUTMANN, F.; OLIVEIRA N. Efeito fotoeltrico. Disponvel em:


<http://www.fis.ufba.br/~edmar/fis101/roteiros/Fotoeletrico.pdf>. Acesso em:3jan. 2012.

8CRESESB/CEPEL. Energia solar:Princpios e Aplicaes. 2000. Disponvel em:


<http://www.crescesb.cepel.br/tutorial/>. Acesso em: 15 maio 2009.

9SALAMONI, I. T.; RTHER, R. Potencial brasileiro da gerao solar fotovoltaica


conectada rede eltrica: anlise de paridade de rede. In: ENCONTRO NACIONAL, 9,
LATINO-AMERICANO DE CONFORTO NO AMBIENTE CONSTRUDO, ENCAC, 5,
2007, Ouro Preto. Anais... Ouro Preto: UFOP, 2007. p.1-10.

10 AGNCIA NACIONAL DE ENERGIA ELTRICA - ANEEL. Energia solar. 2003.


Disponvel em: <http://www.aneel.gov.br/aplicacoes/atlas/pdf/03-Energia_Solar(3).pdf>.
Acesso em: 10 dez. 2011.

11 MARTINS, F. R.; RTHER, E. B.; ABREU, S. L. Solarenergyscenarios in Brazil. Energy


Policy, Holland,v. 36, n. 8, p. 2855-2867, 2009.

12 BALAGUER, I. J.; KIM, H.; PENG, F. Z.; ORTIZ, E. I.Survey of photovoltaic power
island detection methods. In: ANNUAL CONFERENCE OF THE IEEE INDUSTRIAL
ELECTRONICS SOCIETY, 34, 2008, Orlando. Proceedingsofthe Orlando: IEEE, 2008.
p. 2247-2252.Disponvel em: <http://aicip.eecs.utk.edu/mediawiki/images/c/cd/2008-IEEE-
IECON_Survey_of_Islanding_Methods.pdf> Acesso em: 15 dez. 2012.

13 FTHENAKISV.M.; CHULKIM H.; ALSEMA, E.Emissions from photovoltaiclife


cycles.Environmental Science & Technology, Easton, v. 42, p. 2168-2174, 2008.
148

14 KYOCERA DO BRASIL. Mdulos solares. Disponvel


em:<http://www.kyocerasolar.com.br>.Acessoem: 19 dez. 2011.

15 GREEN, M. A.; EMERY, K.; YOSHIRIO, H.; WARTA, W. Solar cell efciency tables
(version 37): Progress in Photovoltaics: Research and Applications, Chichester, v. 19, p. 84-
92, 2011.

16 DESAI, H. P.; PATEL, H. K. Maximum point algorithm in PV generation: an


overview.2007, p. 624-630.Disponvel em:
<http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=4487766>. Acessoem: 21 nov.
2011.

17 ESRAM, T.; CHAPMAN, P. L. Comparison of Photovoltaic Array Maximum Power Point


Tracking Techniques.IEEE Transactions on Energy Conversion, New York, v.24, n.2, p.
439-449, 2007.Dispponvel em:
<http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=4207429>. Acessoem: 10 jan.
2012.

18PANDEY, A.; DASGUPTA, N.; MUKERJEE, A. K.A simple single-sensor MPPT


Solution.IEEE Transactions on Power Electronics, New York, v. 22, n. 6, p. 698700,
2007.Disponvel em: <http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=4118324>.
Acessoem: 12 jan. 2012.

19 FARANDA, R.; LEVA, S.; MAUGERI, V. MPPT Techniques for PV Systems: Energetic
and Cost Comparison. In: POWER AND ENERGY SOCIETY GENERAL MEETING
CONVERSION AND DELIVERY OF ELECTRICAL ENERGY, 21, POWER AND
ENERGY SOIETY GENERAL MEETING CONVERSION AND DELIVERY OF
ELECTRICAL ENERGY, 21, 2008, Pittsburgh. Proceeding of the New York: IEEE,
2008. p. 1-6. Disponvelem:
<http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=4596156&tag=1>. Acessoem: 20
dez. 2011.

20 JAIN, S.; AGARWAL, V. Comparison of the performance of maximum power point


tracking schemes applied to single-stage grid-connected photovoltaic systems. IETElectric
Power Applications, United Kingdom, v. 3, n. 3, p. 753-762, 2007.

21 BRITO, M. A. G.; JUNIOR, L. G.; SAMPAIO, L. P.; CANESIN, C. A. Avaliao das


principais tcnicas para obteno de MPPT de painis fotovoltaicos. In: IEEE/IAS
INTERNATIONAL CONFERENCE ON INDUSTRY APPLICATIONS, INDUSCON, 9,
2010a. Proceedingsofthe So Paulo: IEEE, 2010a.Disponvel em:
<http://www.labplan.ufsc.br/congressos/Induscon%202010/fscommand/web/docs/I0039.pdf>.
Acessoem: 15 jan. 2012.

22 BOWER, W.; ROPP, M.Evaluation of islanding detections methods for photovoltaic


utility-interactive power systems.(International Energy Agency. Report IEA PVPS T5-09,
2002.). Disponvel em: <http://prod.sandia.gov/techlib/access-control.cgi/2002/023591.pdf>.
Acesso em: 15 dez. 2012.

23 IEEE STANDARDS COORDINATING COMMITTEE (IEEE SCC21). 1547 IEEE


standard for interconnecting distributed resources with electric power systems, p. 1-16,
149

2003.Disponvel em:<http://ieeexplore.ieee.org/stamp/stamp.jsp?arnumber=01225051>.
Acessoem: 15 out. 2012.

24 CHOE, G.; KIM, H.; KIM, H; CHOI, Y.; KIM, C.The characteristic analysis of grid
frequency variation under islanding mode for utility interactive pv system with reactive
power variation scheme for anti-Island. In: POWER ELETRONICS SPCIALISTS
CONFERENCE, PESC, 2006, 37, Jeju. Proceedings of theJeju: IEEE, 2006. p. 1-5.

25 CIOBOTARU, M.; AGELIDIS, V.; TEODORESCU, R. Accurate and less-disturbing


active anti-island method based on pll for grid-connected PV Inverters. In: POWER
ELETRONICS SPCIALISTS CONFERENCE, PESC, 2008, 39, PESC08. 39,
2008,Rhodes.Proceedings of theRhodes: IEEE, 2008. p. 4569-4576.Disponvel em:
<http://vbn.aau.dk/files/17004650/Accurate_and_less_disturbing_anti-
islanding_method.pdf>. Acessoem: 15 dez. 2010.

26DE MANGO, F.; LISERRE, M.; AQUILA, A. D.Overview of anti-islanding algorithms for
pv systems. Part II: active Methods. In:POWER ELECTRONICS AND MOTION
CONTROL CONFERENCE, EPE-PEMC, 12, 2006, Portoroz. ProceedingsofthePortoroz:
IEEE, 2006. P. 1884-1889. Disponvel em:
<http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=4778679>. Acessoem: 10 nov.
2012.

27 MAHAT, P.; CHEN, Z.; BAK-JENSEN, B. Review of island detection methods for
distributed generation.2008. p. 2743-2748.Disponvel em:
<http://vbn.aau.dk/ws/files/16285762/Review_of_islanding_detection_methods_for_distribut
ed_generation.pdf>. Acessoem: 15 abr. 2012.

28 HSIEH, G. C; HUNG, J. C. Phase-locked loop techniques a survey.IEEE Transactions


on Industrial Electronics, New York, v. 43, n. 6, p. 609-615, 1996.

29MCGRATH, B. P.; HOLMES, D. G.; GALLOWAY, J. J. H. Power converter line


synchronization using a discrete fourier transform (DFT) based on a variable sample rate.
IEEE Transactions on Power Electronics, New York, v. 20, n. 4, p. 877-884, 2005.

30 PDUA, M. S.; DECKMANN, S. M.; SPERANDIO. G. S.; MARAFO, F. P.; COLON,


D. Comparative analysis of synchronization algorithms based on PLL, RDFT and kalman
filter. In:INTERNATIONAL SYMPOSIUM ON INDUSTRIAL ELECTRONICS, ISIE, 30,
2007, Vigo. Vigo.Proceedings of theVigo: IEEE, 2007. p. 964-970b.Disponvel em:
<http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=4374728>.>. Acessoem: 15 jan.
2012.

31 VILLALVA, M. G.;FILHO, E. R. Dynamic analysis of the input-controlled buck converter


fed by a photovoltaic array.Controle&Automao, Campinas, v. 19, n. 4, p. 463-474, 2008.

32 LISERRE, M.; SAUTER, T.; HUNG, Y. J. Future energy systems - integrating renewable
energy sources into the smart power grid through industrial electronics.IEEE Industrial
Electronics, New York, v.4, n.1, p.18-37, 2010.

33 SPAGNUOLO, G. et al. Renewable energy operation and conversion schemes.IEEE


Industrial Electronics, New York, v.4, n.1, p.38-51, 2010.
150

34 ERICKSON, R. W.; MAKSIMOVI, D. Fundamentals of power electronics.2. ed. New


York: Kluwer Academic Publisher, 2001.912 p.

35 MOHAN, N.; UNDELAND, T.M.; ROBINS, W.P. Power electronics converters:


applications and design. 3. ed. New York: Wiley, 2003.

36 Li, W.; HE, X. Review of non isolated High Step-Up DC/DC converters in photovoltaic
grid-connected applications.IEEE Transactions Industrial Electronics, New York, v. 58,
n.4, p.1239-1250, 2011.

37 LICCARDO, F.; MARINO, P.; TORRE, G.; TRIGGIANESE, M. Interleaved dc-dc


converters for photovoltaic modules.In:INTERNATIONAL CONFERENCE ON CLEAN
ELECTRICAL POWER, ICCEP, 2007, Capri. Proceedings of theCapri: IEEE, 2007. p.
201-207. Disponvel em:
<http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=4272383>. Acessoem: 15 jun
2011.

38 HUANG, B.; SADLI, I.; MARTIN, J. P.; DAVAT, B. Design of a high power, high step-
Up non-isolated DC-DC converter for fuel cell applications.In: IEEE VEHICLE POWER
AND PROPULSION CONFERENCE, VPPC, 2006, Windsor. Proceedings of
theWindsor: IEEE, 2006. p. 1-6. Disponvelem:
<http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=4211352>. Acessoem: 10 jun.
2011.

39 LUO, F. L.; YE, H. Positive output cascade boost converters.IEEE Proceedings on


Electric Power Applications,New York, v. 151, n. 5, p. 590-606, 2004.

40 NOVAES, Y. R.; RUFER, A.; BARBI, I.A new quadratic, three-level, dc/dc converter
suitable for fuel cell applications. In: In: IEEE ON POWER CONVERSION
CONFERENCE,7, 2007, Nagoya.Proceedings of the Nogoya: IEEE, 2007. p. 601-
607.Disponvel em: <http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=4239218>.
Acessoem: 15 dez. 2012.

41 HUTCHENS, C. Design and control of charge-pumped reboost converter for pv


applications.2010. (Theses) - Virginia PolytechnicInstituteandStateUniversity, Blacksburg,
2010. Disponvelem: <http://scholar.lib.vt.edu/theses/available/etd-05112010-
145353/unrestricted/Hutchens_CL_T_2010.pdf>. Acesso em: 20 dez. 2011.

42 CANESIN, C. A. Apostila de eletrnica de potncia 2.IlhaSolteira: UNESP/DEE, 2009.

43 RASHID, M. H. Power electronics handbook.Canada: Academic Press, 2001. 895 p.

44 PERIN, A. J.Curso - Modulao PWM.Florianpolis, 2000.

45 ERICKSON, R. W.; MAKSIMOVI, D. Fundamentals of power electronics. 2. ed. New


York:Kluwer Academic Publisher, 2001.912 p.
151

46 NABAE, A.; TAKAHASHI, I.; AKAGI, H.A new neutral-point-clamped PWM


inverter.IEEE Transactions on Industry Applications,New York, v. IA-17, n. 5, 1981, p.
518-523.

47 DHERE, N.G.; BET, S.M.; PATIL, H.P.High-voltage bias testing of thin-film PV


modules. In: PHOTOVOLTAIC ENERGY CONVERSION WORLD CONFERENCE, 3,
2003, Osaka. Proceedings oftheOsaka: IEEE, 2003. v. 2, p. 1923-1926. Disponvel em:
<http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=1306316>. Acessoem: 15 jun.
2012.

48 DEL CUETO, J.A.; RUMMEL, S.R.Degradation of photovoltaic modules under high


voltage stress in the field.In: RELIABILITY OF PHOTOVOLTAIC CELLS, MODULES,
COMPONENTS, AND SYSTEMS, SPIE, 3, SPIE 2010, OPTICS AND PHOTONICS
CONFERENCE, 2010, San Diego. Proceedings of the San Diego: SPIE, 2010.
Disponvelem:
<http://proceedings.spiedigitallibrary.org/volume.aspx?conferenceid=1938&volumeid=654>.
Acessoem: 15 jun. 2011.

49 DEL CUETO, J.A.; SEKULIC, B.R.An unlikely combination of experiments with a novel
high-voltage CIGS photovoltaic array.In: WORLD ONFERENCE ON HOTOVOLTAIC
ENERGY CONVERSION, 4, 2006, Waikoloa. Proceedings of the Waikoloa: IEEE, 2006.
v. 2, p.2054-2057. Disponvelem: <http://www.nrel.gov/docs/fy06osti/39877.pdf>.
Acessoem: 20 jun. 2010.

50 LO, Y. K.; WANG, J. M.; WU, S. T.A new commutation method for a full-bridge current-
source inverter. In: IEEE INTERNATIONAL CONFERENCE ON POWER ELECTRONICS
AND DRIVES SYSTEMS, PEDS 05, 6, 2005, Kuala Lumpur. Proceedings of the Kuala
Lumpur: IEEE, 2005. p. 224-227.Disponvelem:
<http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=1619689>. Acessoem: 20 jun.
2011.

51HIRACHI, K.; TOMOKUNI, Y. A novel control strategy on single-phase pwm current


source inverter incorporating pulse area modulation. In: IEEE PROCEEDINGS OF THE
POWER CONVERSION CONFERENCE, 1997, Nagaoka.Proceedings of theNagaoka:
IEEE, 1997. p. 289-294.

52VZQUES, N.; LPEZ, H.; HERNNDEZ, C.; RODRIGUEZ, E.; OROSCO, R.; ARAU,
J. A grid connected current source inverter. In: IEEE ON INTERNATIONAL
CONFERENCE ON CLEAN ELECTRICAL POWER, ICCEP 09, 9, Capri. Proceedings of
theCapri: IEEE, 2009. p. 439-442.Disponvel em:
<http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=5212016>. Acessoem: 25 jun.
2012.

53 ARAUJO, S.V.; ZACHARIAS, P.; MALLWITZ, R.Highly efficient single-phase


transformerlessinverters for grid-connected photovoltaic systems.Industrial Electronics,
IEEE Transactions, New York, v. 57, n.9, p.3118-3128, 2010.Disponvelem:
<http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=5345742>. Acessoem: 10 jan.
2012.
152

54 KJAER, S. B.; PEDERSEN, J. K.; BLAABJERG, F.A review of single-phase grid-


connected inverters for photovoltaic modules.IEEE Transactions on Industry Applications,
New York, v. 41, n. 5, p. 1292 1306, 2005.Disponvel em:
<http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=1510827&userType=inst>.
Acessoem: 20 jun. 2011.

55JUNIOR, L. G.; BRITO, M. A. G.; SAMPAIO, L. P.; CANESIN, C. A. Integrated inverter


topologies for low power photovoltaic systems. In: IEEE/IAS INTERNATIONAL
CONFERENCE ON INDUSTRY APPLICATIONS, INDUSCON, 9, 2010, So Paulo.
Proceedingsofthe So Paulo: IEEE, 2010. Disponvel em:
<http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=5740000>. Acesso em: 20 dez.
2012.

56 BRITO, M. A. G.; SAMPAIO, L. P.; JUNIOR, L. G.; CANESIN, C. A. Inversor CS boost


monofsico em aplicaes com fontes renovveis. In: IEEE/IAS INTERNATIONAL
CONFERENCE ON INDUSTRY APPLICATIONS, INDUSCON, 9, 2010, So Paulo.
Proceedingsofthe So Paulo: IEEE, 2010b. Disponvel em:
<http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=5739990>. Acessoem: 12 jun.
2011.

57BRITO, M.A.G.; SAMPAIO, L.P.; JUNIOR, L. G.; GODOY, R. B.; CANESIN, C.A.New
integrated Zeta and Cuk inverters intended for standalone and grid-connected applications.In:
POWER ELECTRONICS CONFERENCE, COBEP, 2011,Praiamar. Proceedingsofthe...
Praiamar: IEEE, 2011. p.657-663.Disponvel em:
<http://ieeexplore.ieee.org/stamp/stamp.jsp?arnumber=06085230>. Acesso em: 12 jan. 2013.

58BRITO, M. A. G.; JUNIOR, L. G.; SAMPAIO, L. P. E.; MELO, G. A.; CANESIN, C.A.
Presentation of main maximum power point tracking strategies intended for photovoltaics. In:
POWER ELECTRONICS CONFERENCE, COBEP, 2011, Praiamar. Proceedings of the...
Praiamar: IEEE, 2011. p.524-530, 2011.Disponvel em:
<http://ieeexplore.ieee.org/stamp/stamp.jsp?arnumber=06085188>. Acesso em: 20 dez. 2011.

59 HS DISSIPADORES. Catlogo HS Dissipadores:2008/2009. So Paulo: HS


Beneficiamento de Alumnio. Disponvel em:
<http://www.hsdissipadores.com.br/catalogo.pdf>. Acesso em: 22 ago 2011.

60 WILAMOWSKI, B. M.; XIANGLI, L. Fuzzy System Based Maximum Power Point


Tracking for PV System. In: IECON 02. INDUSTRIAL ELECTRONICS CONFERENCE,
28, 2002, Sevilla.Proceedings of the Piscataway: IEEE, 1993. p. 3280-3284.

61 SIMOES, M. G.; FRANCESCHETTI, N. N.; FRIEDHOFER, M.; A Fuzzy Logic Based


Photovoltaic Peak Power Tracking Control. In: ISIE98. INTERNATIONAL SYMPOSIUM
ON INDUSTRIAL ELECTRONICS, 1998, Pretoria.Proceedings of the Piscataway: IEE,
1998. p. 300-305.

62 HUDSON, R.M.; THORNE, T.; MEKANIK, F.; BEHNKE, M.R.; GONZALEZ, S.;
GINN, J. Implementation and testing of anti-islanding algorithms for IEEE 929-2000
compliance of single phase photovoltaic inverters. In:PHOTOVOLTAIC SPECIALISTS
CONFERENCE, 39, 2002, Livermore. Conference of the Livermore: IEEE, 2002. p.1414
- 1419.Disponvel em:
153

<http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=1190874>. Acessoem: 20 dez.


2011.

63 IEEE STANDARDS COORDINATING COMMETEE.IEEE application guide for


IEEE Std 1547, IEEE standard for interconnecting distributed resources with electric
power systems. New York: IEEE, 2009. 207 p. Disponvel em:
<http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=4816078>. Acesso em: 20 jun.
2012.

64 DHERE, N.G.; BET, S.M.; PATIL, H.P.High-voltage bias testing of thin-film PV


modules. In: WORLD CONFERENCE ON PHOTOVOLTAIC ENERGY CONVERSION
PROCEEDINGS, 3, 2003, Osaka. Proceedingsofthe Osaka: IEEE, 2003. v. 2, p.1923-1926
Vol.2, 18-18 May 2003. Disponvel em:
<http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=1306316>. Acesso em: 20 dez.
2011.

65 DEL CUETO, J.A.; RUMMEL, S. R. Degradation of photovoltaic modules under high


voltage stress in the field. In:SPIE OPTICS AND PHOTONICS CONFERENCE, 2010, San
Diego. Proceedings of theSan Diego: SPIE, 2010.Disponvel em:
<http://www.nrel.gov/docs/fy10osti/47463.pdf>. Acesso em: 15 dez. 2012.

66 DEL CUETO, J.A.; SEKULIC, B.R.An unlikely combination of experiments with a novel
high-voltage CIGS photovoltaic array. In: IEEE WORLD CONFERENCE ON
PHOTOVOLTAIC ENERGY CONVERSION, 4, 2006, Waikoloa.Proceedingsofthe
Waikoloa: IEEE, 2006. v.2, p.2054-2057. Disponvel em:
<http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=4060072>. Acesso em: 20 jan.
2013.
154

APNDICE A
Produtos existentes no mercado
Neste apndice sero apresentados alguns inversores do tipo grid-tie (conectados
rede eltrica), disponveis no mercado mundial, para painis fotovoltaicos com potncia de
sada na ordem de 1kW e suas principais caractersticas fornecidas pelos respectivos
fabricantes. Observou-se, aps a pesquisa, que nesta faixa de potncia no existem ainda
muitas opes disponveis ao consumidor, especialmente no Brasil.
Como primeiro item a ser apresentado, um exemplo de micro inversor, projetado para
operar com um nico PV com potncia de 230W mximos de entrada.

ENPHASE ENERGY MICROINVERTER M190/M210


Pas de origem: EUA
Site: http://www.enphaseenergy.com/products/products/micro-inverter.cfm
Principais caractersticas:
Microinverter M190:
Inversor selado, uso externo;
230W de entrada, 190W de sada;
Tenso de trabalho de 28 54Vdc;
Corrente mxima de entrada de 10A;
Corrente de sada nominal 920mA;
Tenso de sada nominal 208Vac / 60Hz;
Eficincia mxima de 95,0%;
MPPT nominal de 99,8%;
Dissipao natural de calor;
Comunicao pela rede CA;
Temperatura de operao de -40 65C
Garantia de 15 anos;
Anti-ilhamento;
Valor de mercado aproximado: U$180,00
Microinverter M210:
Diferenas em relao ao inversor M190:
240W de entrada, 210W de sada;
Tenso de trabalho de 38 62V;
Corrente de sada nominal 1A;
Eficincia mxima de 95,5%;
Valor de mercado aproximado: U$210,00
Normas aplicveis:
UL1741/IEEE1547;
155

MASTERVOLT SOLADIN 600


Pas de origem: Amsterdam, Holanda, com sedes na Inglaterra, Alemanha, Estados Unidos,
China, Frana e Espanha.
Site: http://www.mastervolt.com/solar/products/soladin-600/
Principais caractersticas:
550W de entrada, 525W de sada, mximo 600W de entrada;
Tenso de trabalho de 28 125Vdc, mximo de 155Vdc;
Corrente mxima de entrada 8A;
Corrente nominal de sada 2.25A;
Tenso de sada nominal 230V/50Hz (49,8 50.2Hz);
Consumo noite <0.05W;
Faixa de operao do MPPT de 45 125Vdc;
Eficincia mxima de 91%;
Fusvel interno de 3,15A retardado;
Comunicao por RS-232 isolada;
Proteo de ilhamento por monitoramento da janela de tenso
e frequncia, tempo mximo de reao de 100ms;
Reduo da potncia de sada quando a temperatura interna for > 75C e
desligamento em 90C;
Fator de potncia 0.99;Temperatura de operao de 0 40C;
Peso: 2kg;
Garantia de 5 anos;
Potncia do painel recomendada de 160 700W;
Proteo de inverso de polaridade provavelmente feita com diodo anti paralelo;
Indicao de estado atravs de dois LEDs;
Desenvolvido para pases em que o circuito ENS no requerido.
Inclui anti-ilhamento QNS.
Valor de mercado aproximado: U$640,00

Normas aplicveis:
CE, EMC 89/336/EEG, EN50081-1, EN61000-3-2, EN50082-2, LV73/23/ECC,
EN60950, EN62109-1.
156

SMA SUNNY BOY 700-US 250VDC


Pas de origem: Alemanhaa
Site: http://www.sma-amerrica.com
Principais caractersticas::
Sunny Boy 700-US 250Vdcc
Potncia mximma de entrada: 780W;
Potncia nominaal de sada: 700W/700VA;
Tenso de trabalho de 125 200Vdc, nominal
de 150Vdc;
Tenso mximaa de entrada 250Vdc;
Faixa de atuaoo do MPPT de 125 200Vdc;
Corrente mximma de entrada: 7A;
Tenso de sadaa nominal: 120Vac, 60Hz (59.3
60.5Hz);
Faixa da tenso de sada: 106 132Vac;
Corrente mximma de sada: 6.6A;
Fator de potnciia: 1;
DHT < 3%;
Eficincia mximma de 93.6%;
Proteo contra curto circuito na sada;
Proteo contra inverso de polaridade na entrada;
Consumo noite: 0.1W;
Peso: 23kg;
Temperatura de operao: -25 45C;
Topologia especcificada: Transformador de baixa frequncia;
Dissipao: natuural por conveco;
Display lcd paraa comunicao com o usurio;
Comunicao RS-485
R opcional;
Garantia de 10 anos;
a
Isolao galvniica;
Anti-ilhamento;
Valor de mercaddo aproximado: U$1200,00

Normas aplicveis:
UL1741, UL1998, IEEE 1547, FCC Part 15 (Class A & B), CSA
C C22.2 No. 107.1-
2001
157

LATRONICS PVE1200
Pas de origem: Austrlia
Site: http://www.latronics.com.au/products/grid-connect-inverter-pv-edge-series
Principais caractersticas:
Potncia mxima de entrada: 1600W;
Potncia nominal de sada: 1250W;
Tenso de trabalho de 54 100Vdc;
Tenso nominal de trabalho de 80 90Vdc;
Tenso mxima de entrada: 100Vdc;
Faixa de atuao do MPPT de 54 100Vdc;
Incio de operao em 70Vdc;
Faixa da tenso de sada: 205 265Vac 50/60Hz +/-
1Hz selecionvel;
Consumo noite nulo por desconexo da rede
eltrica;
Eficincia mxima de 94%;
Isolao galvnica de 3500V com transformador toroidal;
Temperatura de operao: -10 50C;
Anti-ilhamento com sobre/sub tenso, sobre/sub frequncia, active phase shift;
Peso: 11kg;
Proteo contra inverso de polaridade na entrada atravs de diodo anti-paralelo;
Proteo contra sobre carga;
Proteo contra sobre temperatura;
Dissipao: Ventilao forada e controlada;
Display LCD e LED's para comunicao com o usurio;
Garantia de 5 anos;
Valor de mercado aproximado: U$1300,00

Normas aplicveis:
AS3100, AS4777, AS1044, EN61000-6-1, EN61000-6-3, EN55014, EN60335-1 CE
& C-Tick
158

CENTROSOLAR POWERSTOCC OS 1200 MV EXCELLENT


Pas de origem: Alemanha
Site: http://www.centrosolar.de/en/products-
service/inverter/single/bpid/169/product/show/inv/powerstocc-ps-1200-mv/
Principais caractersticas:
Potncia mxima de entrada: 1100W;
Potncia nominal de entrada: 900W;
Faixa da tenso de entrada: 125 450Vdc;
Faixa de atuao do MPPT de 180 350Vdc;
Corrente mxima de entrada: 10Adc;
Potncia mxima de sada: 900W;
Potncia nominal de sada: 825W;
Tenso nominal de sada: 230V +/- 15%
50Hz +/-5Hz;
Corrente nominal de sada: 3.3A;
Corrente mxima de sada: 4A;
DHT < 5%;
Eficincia mxima de 93.4%;
Eficincia europia de 90.7%;
Fator de potncia: 1;
Temperatura de operao: -25 60C;
Consumo noite: 0.2W;
Proteo contra sobre tenso de entrada e sada por varistor;
Proteo contra inverso de polaridade por diodo em antiparalelo;
Grau de proteo: IP21;
Display LCD para comunicao com o usurio;
Monitoramento de falha de aterramento;
Anti-island por impedncia;
Dissipao: Natural por conveco;
Chave de desconexo CC;
Checar datasheet com fotos internas;
Comunicao via RS-485;
Algoritmo proprietrio Ride-Through para deteco de falhas da rede comercial;
Garantia de 5 anos;
Peso: 14kg
Consumo noite < 0.2W;

Normas aplicveis:
EN60529, VDE 0126-1-1, 73/23/EC, 89/336/EEC EMV, 93/68/EEC CE, EN61000-3-
2-3, EN61000-6-1, EN61000-6-2, EN/IEC61000-4-13, EN/IEC61000-4-14,
EN/IEC61000-4-28, ENV50204, EN61000-6-3, EN61000-6-4, EN50178, EN60664-1;
159

QNG QNGST-A1000W
Pas de origem: China, exportando para North America, South America, Eastern Europe,
Southeast Asia, Africa, Oceania, Mid East, Eastern Asia, Western Europe.
Site: http://chanergy.en.made-in-china.com/product/WMyQADSJgvcE/China-Solar-Grid-
Tie-Power-Inverter-1000W.html
Principais caractersticas:
Potncia mxima de entrada 1200W;
Potncia mxima de sada 1100W;
Potncia nominal de sada 1000W;
Consumo noturno mximo de 0,5W;
Tenso de entrada mxima de 500Vdc;
Tenso de trabalho de opcional de 21 36V, 46 75V
ou 82 128V;
Corrente de entrada mxima de 10,2A 22A (depende
da tenso de entrada);
Faixa da tenso de sada de 160 260Vac (50 ou 60Hz
+/- 1%);
Fator de potncia >=0,99;
Taxa de distoro harmnica menor do que 3%;
Eficincia mxima de 94,00%;
Eficincia do algoritmo de MPPT de 99,00%;
Comunicao RS-485 e RS-232;
Comunicao com o usurio atravs de display LCD;
Temperatura de operao de -25 55C;
Garantia no informada;
Dissipao forada e controlada por ventilador;
Anti-ilhamento;
Peso: 18,5kg;
Dimenses: 440 x 95 x 350mm;
Grau de proteo IP65;
Proteo contra inverso de polaridade na entrada;
Proteo contra sobre temperatura;
Proteo contra sobre carga;
Proteo contra curto-circuito;
Controlador por DSP;
Isolao de 2500V;
Valor de mercado aproximado: U$100,00.

Normas aplicveis:
CE
160

FRONIUS FRONIUS IG 15
Pas de origem: EUA
Site: http://www.fronius.com
Principais Caractersticas:
Potncia nominal de sada 1300W, mxima de
1500W;
Tenso de trabalho de 150 400Vdc, mxima de
500V;
Corrente mxima de entrada 10.73A;
Corrente nominal de sada 5.7A;
Eficincia mxima de 94,20%;
Tenso de sada nominal 230V, 50Hz (49.8
50.2Hz);
Faixa de operao do MPPT de 150 400Vdc;
DHT da corrente < 3%;
Consumo noite 0,15W;
Ventilao forada e controlada;
Grau de proteo IP21, opo para uso externo IP45;
Peso 9kg;
Temperatura de trabalho de -20C 50C, reduo da potncia de sada a partir de
35C;
Proteo de isolao, sobre tenso e polaridade reversa de entrada;
Transformador de alta frequncia;
Anti-island;
Valor de mercado aproximado: U$1800,00

Normas aplicveis:
CE, EN 61000-3-2 (harmonic oscillations), EN 61000-6-2, EN 61000-6-3, EN 50081-
1 (EMV-interference emissions), EN 50082-2 (EMV-interference immunity), EN 50178
(electric safety), E DIN VDE 0126 (ENS: country versions with standard ENS card),
Regulation 89/336/EEC electromagnetic compatibility, Regulation 93/68/EECCE marking,
161

KACO BLUEPLANET 1502XI


Pas de origem: Alemanha, com sede nos EUA e Canada
Site: http://www.kaco-newenergy.com/
Principais caractersticas:
Potncia mxima de entrada: 1787W;
Potncia nominal de sada: 1500W;
Tenso de trabalho de 125 400Vdc;
Tenso mxima de entrada: 550Vdc;
Faixa de atuao do MPPT de 125 400Vdc;
Corrente mxima de entrada 14.3A, 21.45Asc
Tenso nominal de sada: configurvel 208, 220 ou 240V
60Hz (59.3 60.5Hz);
Faixa da tenso de sada: 208V 184 226Vac, 220V
198 242Vac, 240V 211 264Vac.
Corrente nominal de sada: 8A;
Eficincia mxima: 95.5%;
Dissipao: natural por conveco;
Proteo contra curto circuito na sada;
Proteo contra inverso de polaridade na entrada;
Proteo contra sobre temperatura;
Deteco de falha de aterramento;
Desconexo CA/CC;
Display LCD para comunicao com o usurio;
Comunicao RS-485;
Temperatura de operao: -20 60C, com reduo da potncia de sada a partir
dos 40C;
Emisso de rudo audvel < 35db;
Consumo noite: 0.3W;
Garantia de 10 anos;
Anti-ilhamento;
Peso: 19kg;
Valor de mercado aproximado: U$1700,00

Normas aplicveis:
UL 1741, IEEE 1547, NEC, CSA 22.2 No.107.1-01;

Para este anexo, a pesquisa foi formulada com os principais fabricantes no mercado de
inversores para painis solares que se aproximam das caractersticas eltricas do prottipo
desenvolvido. Mesmo sendo de pases e valor de mercado final distintos, os inversores aqui
apresentados so muito semelhantes em relao ao rendimento e potncia processada,
indicando que nenhum deles utiliza uma estrutura muito diferente ou relativamente inovadora
em relao s estruturas j consolidadas no mercado. Acredita-se que existam pequenas
162

melhorias e alteraes para fornecer o diferencial para a concorrncia, principalmente no


quesito rendimento, aspecto muito observado para utilizao em fontes de energia renovveis.
163

APNDICE B
Principais componentes selecionados
Baseados nos esforos calculados na anlise qualitativa foram selecionados os
seguintes componentes principais para a implementao do prottipo:

CAPACITOR DE ENTRADA

Sugerido capacitor EPCOS modelo MKP B32654A3335


3,3F x 250Vdc Box 15.0 x 24.5 x 31.5mm.

CAPACITOR DO LINK CC

Sugerido 2 Capacitores EPCOS em paralelo ALU Snap-in


long life 105 B43504A53967 390F x 450Vdc Radial 35 x 45mm (d
x l)

SENSOR DE CORRENTE AC

Sugerido Allegro ACS716KLATR-12CB-T Bidirectional


12.5A Hall Effect Current Sensor.

SENSOR DE TENSO AC

Sugerido Avago ACPL-C79A Miniature Isolation Amplifiers

SENSOR DE CORRENTE DC
Como para o monitoramento da corrente na etapa CC-CC no
necessrio o isolamento galvnico, pode-se utilizar um sensor
resistivo. Sugerido WSR Series da Vishay - Power Metal Strip WSR3
10m 3W 1%.

SENSOR DE TENSO DC
164

Sugerido resistor SM
MD Panasonic da srie ERJ. Resistor SMD
de preciso para tenses eleevadas.

SUGESTO DO
O CONTROLE DIGITAL

Sugerido DSC Freescale MC56F8257 ou MC56F8037, so


modelos presentes no laborratrio de eletrnica de potncia (LEP).

TRANSISTOR DA ETAPA
E CC-CC

Sugerido transistor MOSFET Canal N da Fairchild modelo


FCP16N60N, de baixa resisstncia de conduo.

TRANSISTOR DA
D ETAPA CC-CA
Selecionado o transsistor IGBT HTGT7N60A4D da Fairchild
(modelo disponvel no LEP,
L podendo ser substitudo por novas
geraes).

DIODO DA ETA
APA BOOST

Sugerido diodo de SiC para avaliao no laboratrio modelo


IDD10SG60C da Infineon.

CIRCUITO DE ATAQUE DAS CHAVES DA ETAP


PA CC-CC

Sugerido Dual Gatee Driver no isolado, modelo FAN3227 da


Fairchild. Indicado para transistores de tecnologia MOSFET, possui
pinos de habilitao de moddulao independentes para cada chave.

CIRCUITO DE ATAQUE DAS CHAVES DA ETAP


PA CC-CA
Sugerido opto drivver da Avago modelo ACPL-332J. Este
driver indicado para trannsistores de tecnologia IGBT, porm pode
ser utilizado em MOSF
FETs tambm, permitindo uma maior
flexibilidade durante a ettapa de desenvolvimento. Implementa a
tecnologia Miller Clamp,, que dispensa o uso tenso negativa para o
165

bloqueio da chave controlada, facilitando o projeto da fonte de


alimentao auxiliar.

CIRCUITO INTEGRADO DE CONTROLE DA FONTE AUXILIAR


Sugerido circuito integrado de controle FSD146MRBN da
Fairchild. Este modelo projetado para a topologia Flyback e possui
o transistor de potncia j integrado. indicado para aplicaes de at
17W.

Vous aimerez peut-être aussi